module multiplexer4 #( parameter WIDTH = 32 ) ( input logic [1:0] sel, input logic [WIDTH-1:0] in0, input logic [WIDTH-1:0] in1, input logic [WIDTH-1:0] in2, input logic [WIDTH-1:0] in3, output logic [WIDTH-1:0] out ); multiplexer #(.WIDTH(WIDTH), .CHANNELS(4)) multiplexer_inst ( .sel(sel), .in_bus({in0, in1, in2, in3}), .out(out) ); endmodule