commit 1b017953ee18ae33e8c72797956382d0ea580367 Author: Pablo Rodriguez Date: Mon Nov 24 21:44:39 2025 -0500 first commit diff --git a/.gitattributes b/.gitattributes new file mode 100644 index 0000000..b7a1158 --- /dev/null +++ b/.gitattributes @@ -0,0 +1,2 @@ +*.jpg binary +*.bit binary diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..39894a4 --- /dev/null +++ b/.gitignore @@ -0,0 +1,7 @@ +/tools/.env/** +/sim/output/** +/vivado_flow/output/** +/vivado_flow/.Xil/** +/vivado_flow/*.jou +/vivado_flow/*.log +/vivado_flow/clockInfo.txt diff --git a/README.TXT b/README.TXT new file mode 100644 index 0000000..daf027c --- /dev/null +++ b/README.TXT @@ -0,0 +1,32 @@ +Video Card + - PR 2025 + +Project to practice Verilog and FPGA design flow in Vivado. + +The design will display a static image to a monitor via the VGA +port of the Basys3 board. For demonstration purposes an LED light +and the seven segment display also light up. The image is stored +in the FPGA's block RAM, it can be customized by using the Python +script provided in the tools directory to convert any image file +you want to display: + python3 tools/main.py input.png > init/vram.init + +To simulate; go into the `sim` directory and run `make`; +then use `vvp output/testbench.vvp` to create the waveform dump. + +To synthesize the design for the Basys3 board; enter the `vivado_flow` directory +and run `vivado -mode batch -source run_batch.tcl`. This will create the bitstream +file to program the FPGA. + +Basys3 resources: + + Diligent reference manual: + https://digilent.com/reference/programmable-logic/basys-3/reference-manual + +Xilinx Vivado resources: + + Block RAM and HDL coding techniques: + https://docs.amd.com/r/en-US/ug901-vivado-synthesis/Single-Port-Block-RAM-with-Resettable-Data-Output-Verilog + + Design flow with Tcl scripts: + https://docs.amd.com/r/en-US/ug894-vivado-tcl-scripting/Compilation-with-a-Non-Project-Flow diff --git a/init/vram.init b/init/vram.init new file mode 100644 index 0000000..6fb030c --- /dev/null +++ b/init/vram.init @@ -0,0 +1,120000 @@ +001000100010 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100010 +001100110010 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +010000110011 +001100110010 +001000100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +010001000011 +010101010100 +011101100101 +100001110110 +011101100110 +010101010100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010101010100 +010101010100 +011001010101 +011101110110 +100001110110 +100010000111 +101010011000 +110011001011 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110011001100 +101010101010 +101010011001 +101010011000 +101010101001 +101110101001 +101010101001 +100110001000 +011001010101 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010101000100 +010101000100 +010001000100 +010101010100 +010101010101 +010101100110 +011001110111 +011101111000 +011101110111 +011001100110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +011001010101 +011001100110 +011001110110 +011101111000 +011110001000 +011101111000 +011101110111 +011101110111 +011101111000 +011101111000 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001001 +100010001001 +011110001000 +011101110111 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110010111 +101010010111 +101010010111 +101010010111 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110111000 +101110111000 +101110111000 +101110111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110010111001 +110111001001 +110011001001 +110111001001 +110111001001 +110111001001 +110111001010 +110111001010 +110111001010 +110111001010 +110111011011 +110111011011 +110111011100 +110111011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011101 +111011101101 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101111 +111011101111 +111011101111 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101111 +111011101111 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111011101 +110010111010 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101010 +101110111010 +101110111010 +110010111011 +110011001011 +110011001011 +110011001011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111010 +101110111010 +101110111011 +110011001011 +110011001100 +110011001100 +110011001100 +110010111011 +101110111011 +101010101010 +101010101010 +100110011010 +100110011001 +100110011001 +100110011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100110011001 +101010101010 +101110111011 +101110111011 +101110111011 +101010101010 +100010001001 +011101111000 +011101111000 +011101110111 +011001100111 +011001100110 +011001100110 +011101110111 +100010001000 +101010101010 +110011001100 +110011011100 +110011001100 +110011001100 +110011001100 +101110111011 +101110111011 +101110111010 +101110111010 +101110111010 +101110111010 +101110101010 +101110111010 +101110111011 +110011001011 +110011001100 +110011001100 +110011001100 +101110111011 +101010101011 +100110011010 +100010011001 +100010001001 +100010001001 +100010011001 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +110011001011 +110111011101 +110111101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011011101 +110011011101 +110011011101 +110011011101 +110011011101 +000100100010 +001000110010 +001101000100 +010001010100 +001101000011 +001000110010 +001000100010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001100110011 +001000110011 +001000100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001100110010 +010000110011 +010101000011 +010101000100 +010101000100 +010101000100 +010001000011 +001101000011 +001101000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010100 +010101010100 +011001010101 +011001100101 +011101110101 +100110011000 +110011001100 +111011101110 +111011101111 +111011101110 +111011101110 +111011101110 +111011101110 +110111011101 +110111011110 +110111101110 +110111011110 +110011001100 +100110011001 +100010000111 +100010000111 +100010000111 +100001110111 +100010000111 +100010000111 +100001110110 +011001100101 +010101000100 +010001000011 +010000110011 +010001000011 +010001000011 +010101010100 +010101010101 +010101010100 +010101000100 +010101000100 +010101010101 +011001100110 +011001110111 +011001110111 +011001100110 +011001010110 +011001010101 +011001010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001100110 +011001100110 +011101100110 +011101110111 +011101110111 +011101110110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100110 +011101110111 +011101110111 +011101111000 +011101110111 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001110100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110010111 +101010010111 +101010010111 +101010100111 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110100111 +101110101000 +101110101000 +101110111000 +101110111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110010111001 +110010111001 +110111001001 +110110111001 +110111001001 +110111001001 +110111001010 +110111001010 +110111011011 +110111011011 +110111011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111011101 +110111001011 +101110101001 +101110101000 +101010101000 +101010101001 +101010101001 +101010101001 +101010101001 +101010101001 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010101001 +101010101001 +101010101001 +101010101001 +101010011001 +101010011001 +101010011001 +101010101001 +101010011001 +101010011001 +101010011001 +101010011001 +100110011000 +100110011000 +100110011000 +101010011001 +101010101001 +101010101010 +101010101001 +100110011001 +100110011001 +100110011000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001000 +100010001000 +100010001000 +100110011001 +100110011001 +100110011001 +100010001000 +011110001000 +011101110111 +011101110111 +011001100111 +011001100110 +011001100110 +011001100101 +011001100110 +011101110111 +100110001000 +101010101001 +101010101001 +101010101001 +101010011001 +100110011001 +100110011000 +100110001000 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100110011000 +100110011001 +100110011001 +100110011001 +100110011001 +100010001001 +011101111000 +011101110111 +011001110111 +011001100111 +011001100110 +011001100110 +011001100111 +011001100111 +011101110111 +100010001000 +101010101010 +101111001011 +110111011101 +110111101110 +111011101110 +111011101110 +110111101110 +110111011110 +110111011110 +110111011101 +110111011101 +110011001100 +110011001100 +110010111011 +101110111010 +101110111010 +101110111010 +101110111010 +101110111010 +101110111011 +110011001100 +110011001100 +110111011101 +110111011101 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011011101 +110011011101 +110011011101 +010001010100 +010001010100 +011001100110 +011101110111 +011101110110 +010101100101 +001101000011 +010001010100 +010101010100 +010101010100 +010001010100 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110100 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +001101000100 +010001000101 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +011101100101 +101010010111 +110111001011 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111011101 +110111011101 +110111011101 +110011011101 +101110111011 +100110011001 +100010011000 +100010011000 +011110000111 +011101110110 +011101100110 +011101100110 +011101100110 +011001100101 +010101010100 +010001000011 +010001000011 +010101000011 +010101000100 +010101010100 +011001010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101010101 +011001100101 +011001100110 +010101010101 +010101010101 +010101010100 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100101 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100110 +011101110111 +011001100110 +011001010101 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001110100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100010000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110010111 +101010010111 +101010010111 +101010011000 +101010101000 +101010101000 +101010101000 +101010101000 +101010101000 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +101110101000 +101110101000 +101110100111 +101110100111 +101110101000 +101110101000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110010111001 +110110111001 +110110111001 +110110111001 +110111001001 +110111001001 +110111001010 +110111011011 +111011011100 +111011011100 +111011011100 +111011011011 +111011011011 +111011011011 +111011011011 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011101 +111011101101 +111011011101 +111011011100 +110111011100 +110111011100 +110111011100 +110111011100 +110111011011 +110111011011 +110111011011 +110111011100 +110111011100 +110111011100 +110111011100 +110111011100 +110111011100 +110111001011 +110011001011 +101110111001 +101110101000 +101110011000 +101010011000 +101010101000 +101010101001 +101010101001 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +100110000111 +100110010111 +101010011000 +101010011000 +101010011000 +100110011000 +100110000111 +100110000111 +100110001000 +100110011000 +100110011000 +100110011000 +100110001000 +100110001000 +100010000111 +100001110110 +100001110111 +100010000111 +100010001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011101110111 +011101110111 +011101110111 +100001111000 +011110001000 +011101111000 +011101110111 +011101100111 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001100101 +011101100110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100110 +011101100110 +011101110110 +011101110111 +011101110111 +011101111000 +011001110111 +011001100111 +010101100111 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +100010001000 +101010101001 +101110111011 +110011001100 +110111011101 +110111011101 +110111011101 +110111011101 +110011001100 +110011001011 +101110111011 +101110111010 +101010101001 +100110011000 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100110001000 +101010011001 +101010101010 +101110111011 +110011001100 +110011001100 +110111011101 +110111011101 +110111011110 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +100010011000 +100010000111 +100010000111 +100110011000 +100110011001 +100110011000 +011101110110 +011001110110 +011101110110 +100010010111 +100010010111 +011101110110 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +010000110100 +001100110100 +001100110011 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100110011 +001100110100 +001101000100 +010000110100 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +010101000100 +010101000011 +010101010100 +010101010100 +010101000100 +010101010100 +100001110101 +101010010111 +101110111010 +110111011100 +111011101110 +111011101111 +111011101110 +110111101110 +110111011110 +110111011101 +110011001100 +101110111100 +101110111011 +101111001100 +101110111011 +101010101010 +100010000111 +011101100110 +011001100101 +011001100101 +011001010100 +010001000011 +010001000011 +010101000100 +011001010100 +011001010100 +011001000100 +011001000100 +011001000100 +011001000100 +010101000100 +011001000011 +010101000100 +010101010100 +010101000100 +010001000100 +010101000100 +010101000100 +010101010100 +011001010100 +010101010100 +010101010100 +010101010100 +011001010101 +011001100101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011001100110 +011001100101 +011001010100 +010101010100 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001100100 +011101100101 +011101100100 +011101100100 +011101100100 +100001100101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100010000110 +100010000110 +100110000110 +100110000110 +100110000111 +100110000111 +100110010111 +100110010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110101000 +101110101000 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110110111001 +110110111001 +110111001001 +110111001001 +110111001010 +111011011011 +111011011100 +111011011100 +111011011011 +111011011011 +111011011010 +111011011010 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +110111001011 +110111001011 +110111001011 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110010111010 +110010111010 +110010111010 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +101110101000 +101110101000 +101110101000 +101110101000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +100110000111 +100110000111 +100110000111 +100110011000 +101010011000 +100110011000 +100110000111 +100110000110 +100010000110 +100110000111 +100110001000 +100110001000 +100110001000 +100110001000 +100010000111 +100001110110 +100001110110 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +011110001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100111 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100110 +011101100110 +011101100111 +011101100111 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100110 +011001100111 +011001111000 +011001111000 +011001110111 +010101100111 +010101010110 +010101010101 +010101010101 +010101010101 +010001010101 +010101010101 +010101010110 +011001100110 +011110000111 +100010011000 +100110011001 +101010101010 +101110111010 +101110111010 +101110111010 +101110101001 +101010101001 +100110011000 +100110000111 +100010000111 +011101110101 +011001100101 +011001100100 +011001100100 +011001100100 +011101100101 +100001110110 +100010000111 +100110011000 +100110011000 +100110011001 +101010101010 +101010111011 +101110111100 +110011001100 +110011001100 +110011011101 +110111011101 +110111011110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +101110111010 +101110111010 +101010111010 +101110111010 +110011001011 +101110111010 +100110101001 +100010000111 +100010000111 +101010111010 +101110111010 +101110101001 +100010000110 +010001000011 +001100100010 +001100100010 +001100100010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100100010 +001100100010 +001100110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010001000011 +010101010100 +011101100101 +100110000110 +101010101000 +110111011100 +111011101110 +111011101110 +111011101111 +111011101110 +110011011101 +101110111011 +101110111011 +110011001100 +110111011101 +110011001100 +101110111011 +100110011001 +011101100110 +011001010101 +010101010100 +010101000100 +010001000011 +010101000011 +011001010100 +011101010101 +011101010100 +011001000100 +011001000011 +011001000100 +011001000100 +011001000011 +011001000011 +011001000011 +010101000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001010101 +011001100100 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101010011 +010101010011 +011001010100 +011001010100 +011101100101 +011101100101 +011101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100010000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110010111001 +110111001001 +110111001010 +111011011011 +111011011100 +111011011011 +110111011011 +110111001010 +110111001010 +110111001010 +111011011010 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011001010 +110111001010 +110111001001 +110111001001 +110111001001 +110111001001 +110110111001 +110110111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111000 +110010101000 +110010101000 +110010100111 +101110100111 +101110100111 +101110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110011000 +100110011000 +100110000111 +100110000111 +100001110110 +100001110110 +100001110110 +100110000111 +100110000111 +100110000111 +100110000111 +100010000110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100001111000 +100001111000 +011101110111 +011101110111 +011101111000 +100001111000 +100001111000 +011101111000 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001100110 +011001100110 +011101100111 +011101100111 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001111000 +011001111000 +011001110111 +011001100111 +010101100110 +010101100110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010110 +010101010110 +010101100110 +011001100111 +011101110111 +011101110111 +100010001000 +100110001000 +100110001000 +100010000111 +100010000111 +100001110110 +011101110110 +011101100101 +011001010100 +010101010100 +010101000011 +010101010011 +011001010100 +011101100101 +100010000110 +100110011000 +101010011000 +100110011000 +100010000111 +011101110110 +011110000111 +100010001000 +100110011001 +100110101010 +101010101010 +101110111011 +110011001100 +110111011101 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011001101 +110011001100 +110011001100 +110011001011 +110011001100 +110111011100 +110111011100 +101110111010 +100110011000 +100010011000 +101110111011 +110111011100 +110111001011 +101010101001 +011001100101 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100100010 +001100100010 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100100010 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010001000011 +010000110011 +010001000011 +011001010100 +100001110101 +101010101000 +110011001011 +110111011101 +111011101110 +111011101110 +110011001100 +101010101010 +100110011001 +101110111010 +110011001100 +101110111100 +101010101010 +100110001000 +011001100101 +010101000100 +010101000100 +010101000100 +010101000100 +011001010100 +011001010100 +011101010101 +011101010100 +011001000100 +011001000011 +011001000100 +011001000011 +011001000011 +011001000011 +011001000011 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001100100 +011001100100 +011001100100 +011001100101 +011001100101 +011001100101 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110110 +100010000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110010111 +100110010111 +101010010111 +100110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +101110101000 +110010101000 +110010101000 +110010100111 +110010101000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110111001001 +110111001010 +111011011011 +111011011011 +111011011011 +110111001010 +110111001010 +110111001001 +110111001001 +110111001010 +111011001010 +111011011010 +111011011010 +111011011011 +111011011011 +111011011010 +111011011010 +111011011010 +111011001010 +110111001010 +110111001010 +110111001010 +110111001010 +110110111001 +110110111001 +110110111001 +110110111001 +110010111001 +110010111001 +110010111001 +110010111000 +110010101000 +110010111000 +101110101000 +101110100111 +101110100111 +101110010110 +101110010111 +101110010111 +101110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000110 +100001110110 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100010000110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101010100 +010101010101 +011001010101 +011001100110 +011001100111 +011101100111 +011101100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001100111 +011001111000 +011001111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100110 +011001100110 +011101100110 +011101100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +010101010100 +010101000100 +010001000011 +010001000011 +010101010100 +011001100101 +100110011000 +101110111010 +101110111010 +101010101001 +011110000110 +011001100101 +010101010101 +010101100101 +011001100110 +011001110110 +011110000111 +100010011000 +101010101010 +110011001100 +110111011110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011001101 +110011001100 +110011001100 +110011001100 +101110111011 +101110111011 +101110111011 +101110111011 +110011001011 +110011001011 +110111001100 +101110111011 +100110011000 +100010001000 +101110111010 +110111001100 +110111001100 +101110101010 +011101110111 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100100010 +001100100010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010100110011 +010101000011 +010101000011 +011001000100 +010101000100 +010001000011 +001100110011 +010000110011 +010001000011 +010101010011 +011001100101 +100010000111 +101010101000 +110011001011 +110111001100 +101110111011 +100110001000 +011101110110 +100110001000 +100110011001 +100110011001 +100110001000 +011101100111 +011001010100 +010101000100 +010101000100 +011001010100 +010101000100 +011001000100 +011001010100 +011001010100 +011001010100 +011001000100 +011001000011 +011001000100 +011001000011 +011001000011 +011001000011 +011001000011 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +011001100101 +011101100101 +011001010100 +011001010011 +011001100100 +011001100101 +011001100101 +011001010101 +010101010100 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100110 +011101100110 +011101100101 +011001010101 +011101100101 +011101100101 +011101100110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110010111 +100110010111 +100110010111 +100110010111 +100110010110 +100110010110 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010101000 +110010101000 +110010100111 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111000 +110010111001 +110111001001 +110111001011 +111011011011 +111011011011 +110111001010 +110111001010 +110111001001 +110111001001 +110111001001 +110111001001 +110111001010 +110111001010 +111011001010 +111011001010 +111011011011 +111011011011 +111011011011 +111011011011 +111011001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111000 +110010101000 +101110100111 +101110010111 +101110010110 +101110010111 +101110100111 +101110101000 +101110100111 +101010010111 +101010010111 +101010010111 +101010010111 +101010000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000110 +100110000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +100110000110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110101 +011101110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001111000 +100001111000 +100001110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000100 +010101010101 +011001100110 +011001100110 +011101100111 +011001100111 +011001100111 +011001100110 +011001100111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001100111 +011001110111 +011001110111 +011001110111 +011001100111 +010101100111 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101010110 +010101010101 +011001010101 +011001010101 +011001010101 +010101010101 +010101010100 +010001000100 +010001000011 +010001000011 +010101010100 +011101110110 +100110011001 +101111001011 +110011001100 +101110111010 +100010000111 +010101010100 +010001000011 +001101000011 +010001010100 +010101010101 +010101100101 +011001110110 +100010011000 +101110111011 +110011011101 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111011110 +110111011101 +110011001100 +110011001100 +101111001100 +110011001100 +110111011101 +110111011101 +110111011110 +110111011110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110011011101 +110011001100 +101111001100 +101110111011 +101110111011 +101010101011 +101010101010 +100110011001 +100010011000 +100110011001 +100110011001 +100110011001 +101010101001 +101010101010 +101010101001 +100010001000 +011101110111 +100110011000 +101110111010 +101110111010 +100110011001 +011101100110 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100100010 +001100100010 +010000110010 +010000110010 +010000110011 +010100110011 +010000110010 +010000110010 +001100100010 +010000100010 +010000110011 +010000110011 +010000110011 +010101000011 +010101000011 +010000110011 +010000110011 +010000110011 +010100110011 +010101000011 +011001000011 +010101000100 +010001000011 +010001000011 +010001000011 +010101010100 +010101000100 +010101000011 +010101000011 +011001100100 +100010000111 +101010101001 +101010011001 +100001110111 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001000100 +010101000100 +010101000011 +011001000011 +011001010100 +011001010100 +011001000011 +011001000011 +011001000100 +011001000011 +011001000011 +011001000011 +011001000011 +011001000100 +011001000011 +011001000011 +011001000011 +011001000100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001000100 +010101010100 +011001010101 +011001010101 +011001010100 +011001010011 +011001010100 +011001010101 +011001010101 +011001010101 +010101010100 +010101010100 +010101000011 +011001010100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100110 +100001110111 +100001110111 +011101110110 +011101100110 +100001110110 +100001110111 +100001110111 +100010000111 +100010000111 +100001110111 +100001110110 +100001110101 +100001110110 +100101110110 +100101110110 +100001110101 +100001100101 +100001110101 +100101110101 +100101110101 +100101110110 +100101110110 +100101110110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110010111 +100110010111 +100110000110 +100110010110 +101010010110 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110010111 +101110010111 +101110100111 +101110101000 +110010101000 +110010101000 +101110100111 +101110100111 +110010100111 +110010101000 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111001 +110111001010 +110111001011 +110111011011 +110111001011 +110111001010 +110111001001 +110111001001 +110111001001 +110111001001 +110111001001 +110111001001 +110111001001 +111011001010 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110010111001 +110010111010 +110010111010 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +101110010111 +101110010110 +101010010111 +101110010111 +101110101000 +101110101000 +101010101000 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000110 +100110000110 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101110101 +011101110101 +100001110101 +100001110101 +100001110101 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110110 +100001110111 +100001110111 +100010001000 +100010001000 +100010001000 +100001111000 +100001111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001100101 +011001010101 +011001010101 +011001010100 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101010101 +011001100110 +011001100110 +011001100111 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011001100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100111 +011001100111 +010101100110 +010101100110 +010101010110 +010101010110 +010101100111 +010101100111 +010101100111 +011001100111 +010101100111 +010101100111 +010101100110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010001000100 +010001000011 +010001000100 +010101010101 +011101110110 +100110101001 +110011001011 +110011001100 +101110111010 +100010000111 +010101100100 +010001000011 +001101000011 +010001000011 +010101010100 +011001100101 +011001100110 +011110000111 +100110101001 +101111001011 +110111011101 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111011101 +110011001100 +101110111011 +101010101010 +100110011001 +100110011010 +101010101011 +101110111011 +110011001100 +110011001101 +110011011101 +110111011101 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011110 +110111011110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011001101 +110011001100 +101110111011 +101110111011 +101010101010 +100110011001 +100010011000 +100010001000 +100010001000 +011101110111 +010101010101 +010101010101 +010101100101 +010101100101 +010101100101 +011001110110 +011001110111 +010101100110 +010101100110 +011001110111 +011110001000 +011110001000 +011101110111 +010101010101 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +010000110011 +010001000011 +010101000100 +010101000100 +010101000100 +010000110011 +001100110010 +001100100010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010100110011 +010000110011 +010100110011 +010101000011 +010101000011 +010101000011 +011001000100 +010101000011 +010001000011 +010101000100 +010101010100 +010101010100 +010001000011 +010001000011 +010001000011 +010101010100 +011101100101 +011101100110 +011101010101 +011001000100 +011001000100 +011001000011 +011001000011 +011001000011 +011001000011 +010101000011 +010101000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +010101000011 +010101000011 +010101000011 +011001000011 +011001000011 +011001000100 +011001000011 +011001000011 +010101000011 +010101000100 +011001000100 +011001010100 +011001010100 +011001010100 +011001010100 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001010100 +011001010101 +011001010100 +010101010100 +010101010100 +010101000011 +011001010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110101 +100001110101 +100001110110 +100101110110 +100101110110 +100001110101 +100001100101 +100001110101 +100101110101 +100101110101 +100101110110 +100110000110 +100110000110 +100110000111 +100110000111 +101010010111 +101010010111 +101010010111 +101010000111 +100110000111 +100110000110 +100110000110 +100110000110 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +110010100111 +110010101000 +110010100111 +101110100111 +101110100111 +110010100111 +110010100111 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111001 +110111001010 +110111001011 +110111001011 +110111001010 +110010111001 +110010111001 +110110111001 +110110111001 +110111001001 +110111001001 +110111001001 +110111001001 +110111001010 +111011011011 +111011011100 +111011011100 +111011011011 +110111001011 +110111001010 +110111001001 +110111001001 +110111001001 +110111001010 +110110111010 +110110111010 +110011001010 +110111001010 +110011001010 +110010111001 +110010111001 +110010111001 +110010111000 +101110111000 +101110111001 +110010101001 +101110101000 +101010010110 +101010010110 +101010010111 +101010011000 +101110101000 +101110101000 +101010101000 +101010011000 +101010011000 +101010010111 +101010010111 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +011101111000 +011101110111 +011101100110 +011001100101 +011001010101 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101010101 +010101010101 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001010101 +010101010101 +010101010101 +010001010101 +010001010101 +010001010101 +010101010101 +010101010101 +010101010101 +010001010101 +010001010101 +010001010101 +010101010110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101010110 +010101010101 +010001010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010101010101 +011101110111 +100110011001 +101010101010 +101110111010 +100110011001 +011101110110 +010101010100 +010001000011 +001101000011 +010001000100 +010101010100 +011001100101 +011001110110 +011101110111 +100010011000 +101010101010 +110011001100 +110111011110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111011101 +110011001101 +101110111011 +101010101010 +100010011000 +011110000111 +011001110111 +011101110111 +011110001000 +100110011001 +100110101010 +101010111011 +101010111011 +101111001100 +110011001100 +110011001101 +110111011101 +110011001101 +110011001100 +110011001100 +110011001100 +110011001100 +110011001101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011001101 +110011001100 +110011001100 +110011001100 +101110111011 +101010101010 +100110011001 +100010011000 +100010000111 +011101110111 +011101110110 +011001100110 +011001100110 +010101010101 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000101 +010001010110 +010001010110 +010101100110 +010101100111 +010101100110 +010101010110 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010000110011 +010000110011 +001100100010 +001100110011 +010001000100 +010101010101 +010101010101 +010101010101 +010001000100 +010000110011 +001100110010 +010000110010 +010000110011 +010101000011 +010000110011 +010000110011 +010000110010 +010000110011 +010101000100 +010101010101 +010101010110 +011001010110 +010101010101 +010101000100 +010000110011 +010000110011 +010101000100 +010101010100 +010101010100 +010101010100 +011001010100 +010101000100 +010001000011 +010001000011 +010101000100 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010101000100 +011001010100 +011001010100 +011001000100 +011001000011 +011001000011 +011001000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001000011 +011001010100 +011001010100 +011001000100 +010101000100 +010101000011 +010101000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +010100110011 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +011001000100 +011001000011 +011001000011 +011001000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010011 +010101000011 +011001010100 +011001100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001100101 +100001110101 +100001110101 +100101110101 +100101110110 +100101110110 +100110000110 +100110000110 +100110000111 +100110000111 +101010000111 +101010010111 +101010000111 +101010000111 +101010000111 +100110000110 +100110000110 +101010000110 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110011000 +101110011000 +101110011000 +101110101000 +101110101000 +101110100111 +110010100111 +110010101000 +110010100111 +110010100111 +101110100111 +101110100111 +110010100111 +110010100111 +110010101000 +110010101000 +110010111000 +110010111001 +110010111001 +110111001010 +110111001011 +110111001010 +110110111001 +110010111000 +110010111000 +110010111000 +110110111001 +110110111001 +110111001001 +110111001001 +110111001001 +110111001010 +111011011011 +111011011100 +111011011100 +111011011011 +110111001010 +110111001001 +110111001001 +110111001001 +110010111010 +110010111001 +110010111001 +110010111000 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +101110101000 +101110101000 +101110101000 +101110101001 +101110101001 +101110101000 +101010010110 +101010010110 +101010000110 +101010010110 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +100001110101 +011101110101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101111000 +100001111000 +100001111000 +011101111000 +011101111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101100110 +011001100101 +011001010101 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010101010100 +010101010101 +010101010101 +010101010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001010101 +010101010110 +010101010110 +010101100110 +010101100111 +010101100111 +010101100110 +010101010110 +010001010101 +010001000100 +001101000011 +001101000011 +010001000011 +010001000100 +010001000100 +010001010100 +010001010100 +010101010101 +011001100110 +011110000111 +100010001000 +100010001000 +011110000111 +011001100101 +010101010100 +001101000011 +001101000011 +001101000011 +010001010100 +010101100101 +011001110110 +011101110110 +100010000111 +100110011001 +101110111011 +110011011101 +110111011110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011101 +110111011101 +110011001100 +101111001100 +101010101010 +100110011001 +011110000111 +011001100110 +010101100110 +010001010101 +010001010110 +010101100111 +011001111000 +011110001000 +011110001001 +100010011001 +100110011010 +100110101010 +101010101011 +101110111011 +101010101011 +101010101010 +100110011001 +100110011001 +101010101010 +101010101011 +101110111011 +110011001100 +110011001100 +101110111100 +101110111011 +101110111011 +101010101011 +101010101010 +101010101010 +100110101001 +100110011000 +100010001000 +011101110111 +011001110110 +011001100110 +011001100101 +010101010101 +010101010100 +010101010100 +010001000100 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001101000101 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010110 +010001010110 +010101010110 +010001010101 +010001000101 +010001000100 +010000110011 +001100100010 +001100110011 +010001000101 +010101010110 +010101100111 +010101100111 +010101010110 +010001000100 +010000110011 +010000110011 +010001000100 +010101000100 +010101000100 +010000110011 +010000110011 +010001000100 +010101010110 +011001101000 +011001111000 +011001111000 +011001100111 +010101010110 +010001000100 +010001000100 +010101010101 +010101100110 +011001100110 +011001100110 +011001100110 +010101000100 +010000110011 +001100110011 +010000110011 +010000110011 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010101000011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +011001010100 +011001010101 +011001010101 +011001010100 +010101000100 +010101000011 +011001000011 +011001000100 +011001010100 +011001000011 +011001000011 +010101000011 +010101000011 +011001010100 +011001100101 +011001100101 +011001010101 +011001010100 +011001000011 +011001000011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +011001010100 +011001100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100101110110 +100101110110 +100101110110 +100110000110 +100110000110 +100110000110 +100110000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000111 +101010000111 +101010010111 +101010011000 +101010011000 +101010010111 +101010010111 +101010011000 +101110011000 +101110011000 +101110010111 +101110011000 +101110011000 +101110011000 +101110011000 +101110011000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +101110100111 +101110100111 +101110100111 +101110100111 +110010100111 +110010101000 +110010101000 +110010111001 +110010111001 +110111001010 +110111001011 +110111001011 +110111001010 +110010111001 +110010111000 +110010111000 +110010111000 +110110111000 +110110111001 +110110111001 +110110111001 +110110111001 +110111001010 +110111001011 +110111011011 +110111011011 +110111001010 +110111001001 +110111001001 +110111001001 +110111001010 +110110111010 +110010111001 +110010101000 +110010100111 +110010100111 +110010111000 +110010111000 +110010101000 +101110100111 +101110010111 +101110010111 +101110010111 +101110101000 +101110101000 +101110100111 +101010010111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001100101 +011001010101 +011001010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000100 +010001000100 +010001010101 +010101010101 +010101100110 +010101100110 +010101100110 +010001010101 +010001000100 +001101000011 +001100110011 +001101000011 +001101000011 +010001000100 +010001010100 +010001010100 +010001010100 +010001010100 +010101010101 +011001100110 +011001100110 +011001100110 +010101010101 +010101010100 +010001000011 +001100110011 +001100110011 +001101000011 +001101000011 +010101010101 +011001100110 +011101110110 +011101110111 +100010001000 +101010101010 +101110111011 +110011001100 +110011001100 +110011001100 +110011001101 +110011001101 +110011001101 +110011011101 +110011011101 +110111011101 +110111011101 +110111011101 +110011001100 +101111001100 +101110111011 +101010101010 +100110011001 +100010001000 +011001110111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000101 +010001010110 +010101100111 +010101100111 +010101100111 +011001110111 +011001110111 +011101111000 +011110001000 +100010001001 +011110001000 +011101110111 +011001110111 +011001110111 +011101111000 +100010001000 +100010011001 +100110011001 +100110011001 +100110011001 +100110011000 +100010001000 +100010001000 +100010001000 +011110001000 +011101110111 +011001100110 +010101100110 +010101010101 +010101010101 +010101010101 +010101010100 +010101000100 +010101000100 +010001000011 +010001000011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001101000101 +010001010110 +010101010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010110 +010101010110 +010001010110 +010001000101 +010001000100 +010001000100 +001100110011 +001101000100 +010001010101 +010101100111 +010101100111 +010101100111 +010101100111 +010001010101 +010000110011 +010000110011 +010101000100 +010101000101 +010101000100 +010100110011 +010000110011 +010001000100 +010101100110 +011001111000 +011001111001 +011001111001 +011001111000 +010101010111 +010001000101 +010101000100 +010101100110 +011001111000 +011001111000 +011001111000 +011001100111 +010101010101 +010000110011 +001100110010 +001100110011 +001100110011 +010001000100 +010001000101 +010001000100 +001100110011 +010000110011 +010101000100 +011001010101 +011001010100 +011001010100 +011001010101 +011001100110 +010101100110 +010101010101 +010101010100 +010101000011 +010001000011 +010101010100 +010101100110 +011001100110 +011001100110 +011001100110 +010101010100 +010101000011 +011001000011 +011001010100 +011001010101 +011001010100 +011001000011 +010101000011 +011001010101 +011001100110 +011101110111 +011101110111 +011101110111 +011001100110 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010100 +010101010100 +010101000011 +010001000011 +010101000011 +010101010100 +011001010101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001100101 +100001110101 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100010000110 +100110000110 +100110000110 +100110000111 +101010000111 +101010000111 +100110000111 +100110000111 +101010000110 +101010000110 +101010000111 +101010000111 +101010010111 +101010011000 +101010011000 +101010010111 +101010010111 +101010010111 +101110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +101110101000 +101110101000 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +110010101000 +110010101000 +110010111001 +110010111001 +110111001010 +110111001011 +110111001011 +110011001010 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110110111001 +110110111001 +110110111001 +110111001010 +110111001010 +110111001011 +110111001010 +110111001001 +110111001001 +110110111001 +110110111001 +110110111010 +110010111001 +110010111000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010110 +101010010110 +101010010111 +101110010111 +101010010111 +101010010111 +101010010111 +101010010110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +100001110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100101 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000100 +010001000101 +010001010101 +010101010110 +010001010101 +010001000101 +010001000100 +001101000011 +001101000011 +001101000011 +010001000100 +010001000100 +010001010100 +010001010100 +010001000100 +010001000100 +010001000100 +010101010101 +010101010101 +010001010100 +010001000100 +010001000011 +001101000011 +001100110011 +001100110011 +001100110011 +001101000011 +010001000100 +010101010101 +011001100110 +011001100110 +011101110111 +100010001000 +100110011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101011 +101010101011 +101010101010 +101010101010 +101010101001 +100110011000 +100010000111 +011101110111 +011001110111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +010001010110 +010001010110 +010001100111 +010101100111 +010001100110 +010101100110 +010101100110 +010101100111 +011001100111 +010101100110 +010101010110 +010001010101 +010001010101 +010101010110 +010101100110 +011001100111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101100110 +010101010101 +010101010101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010101000100 +010101000011 +010001000011 +010000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010101010101 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001000101 +010001010101 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010101 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110010 +010000110011 +010101010110 +010101111000 +011001111000 +011001111001 +011001101000 +010101100111 +010001010110 +010101010110 +011001100111 +011001111000 +011001111000 +011001111001 +011001101000 +010101010110 +010001000100 +001100110011 +001100110011 +010001000100 +010101010101 +010101010101 +010101000101 +010001000100 +010001000100 +010101010101 +011001010101 +011001010101 +011001010101 +011001100110 +011001100111 +010101100111 +010101100110 +011001010101 +010101010100 +010101000100 +010101010101 +010101100111 +011001110111 +011001110111 +011001100111 +010101010101 +010101000011 +011001000100 +011001010101 +011001100110 +011001010101 +011001000011 +010101000011 +011001100101 +011001111000 +011110001000 +011110001000 +011001111000 +011001100111 +011001010101 +011001010100 +011001010101 +011001100101 +011001100110 +011001100110 +011001010101 +011001010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101010100 +011101100100 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100101 +011101100101 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100010000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +101010000111 +101010000111 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010111001 +110010111001 +110111001010 +110111001011 +110111001010 +110010111001 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110110111001 +110110111001 +110110111001 +110111001010 +110111001010 +110111001001 +110110111001 +110110111001 +110110111000 +110110111001 +110010111001 +110010111000 +110010101000 +110010100111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010111 +101110010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010000110 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001100101 +011001010101 +011001010101 +011001010101 +011101010101 +011101010101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010101000100 +010101010100 +010101000100 +010001000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +001101000011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +001101000011 +001101000011 +010001000100 +010001000100 +010001010100 +010001010100 +010001000100 +010001000100 +001101000011 +001101000011 +010001000100 +010001000100 +010001000100 +010001000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +010001010101 +010101010101 +011001100110 +011001110111 +011101110111 +011101111000 +011101111000 +011101111000 +011001110111 +011001110111 +011101110111 +011101111000 +011110001000 +011110001000 +011110001000 +011101110111 +011001110111 +011001100110 +011001100110 +011001100110 +010101100110 +010101010110 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +001101010101 +010001010110 +010001010110 +010101010111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010101010101 +010101010100 +010101010101 +010101010101 +010101000101 +010001000101 +010001000100 +010001000100 +001101000100 +010001000100 +010001000100 +010001000100 +010101000100 +010001000100 +010001000011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110011 +010000110100 +010000110100 +010000110100 +010000110100 +010000110011 +010000110011 +010000110100 +010001000101 +010001010110 +010001010110 +010001010101 +010001010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010001010101 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +010000110011 +010000110010 +010000110011 +010001010101 +010101100111 +011001101000 +011001101000 +010101100111 +010101100111 +010101100110 +010101010110 +010101100111 +011001110111 +011001111000 +011001101000 +011001100111 +010101010110 +010001000100 +010000110011 +010000110100 +010001000100 +010101000101 +010101000101 +010101000100 +010001000100 +010001000100 +010101010101 +011001010101 +011001010101 +011001010101 +011001100110 +011001100111 +011001110111 +011001100111 +011001100110 +011001010110 +010101010110 +010101100110 +011001110111 +011001111000 +011001111000 +011001110111 +011001010110 +010101000100 +011001000100 +011001010101 +011101100101 +011001010101 +011001000011 +011001000011 +011001010101 +011001110111 +011110001000 +011110001000 +011101111000 +011001110111 +011001100110 +011001100101 +011001100110 +011001110111 +011001110111 +011001110111 +011001100110 +011001010100 +010101000011 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100110000110 +100110000110 +100001110110 +100001110110 +100010000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010010110 +101010010110 +101110010110 +101110010110 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110100111 +110010101000 +110010111001 +110110111010 +110111001010 +110111001011 +110010111010 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111000 +110010111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111000 +110010101000 +110010101000 +110010101000 +110010101000 +110010100111 +110010100111 +101110100111 +101110010111 +101110010110 +101110010110 +101010010110 +101010010110 +101010010110 +101010000110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +101010010111 +101010011000 +100110010111 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101010100 +011001010101 +011001010101 +010101010101 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000100 +010001000100 +010001010100 +010001000100 +010001000100 +010001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001101000011 +001101000011 +010001000011 +001101000011 +001100110011 +001100110011 +001100110011 +001101000011 +010001000100 +010001010101 +010101010110 +010101100110 +011001100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101010110 +010101010101 +011001010110 +011001100110 +011001100110 +010101010110 +010001010101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +010001010110 +010001010110 +010101010111 +010101010110 +010101010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +010001000100 +010001000100 +010101000100 +010101000100 +010101000101 +010001000101 +010001000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000100 +010001000011 +010000110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110011 +010000110100 +010000110100 +010000110011 +010000110011 +010000110011 +010000110100 +010001000101 +010001010110 +010001010110 +010101010110 +010101010110 +010101010101 +010001010101 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101010110 +010001000101 +010000110011 +010000110010 +010000110011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010001000101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010110 +010101010110 +010101100111 +010101100111 +010101100111 +010101010110 +010101010101 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +010101000100 +010101000100 +011001010101 +011001100111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001111000 +011001111000 +011001110111 +011001100110 +010101000100 +011001000011 +011001010100 +011001010101 +011001010100 +011001000011 +011001000011 +011001010101 +011001110111 +011110001000 +011110001000 +011101111000 +011001110111 +011001110111 +011101100111 +011101110111 +011101110111 +011101111000 +011101110111 +011101100110 +011001010100 +010101000011 +010101000011 +010101000100 +010101010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +011101010100 +011101010100 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100101 +011101110110 +011101110111 +011101111000 +100010001000 +100010001000 +100001110111 +100001110111 +100001110110 +100001110110 +100010000110 +100110000110 +100001110110 +100001110110 +100010000111 +100110001000 +100110001000 +100110001000 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010010110 +101010010110 +101010010110 +101110010111 +101110010111 +101110010111 +101010010111 +101110010111 +101110100111 +101110100111 +101110010111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010111001 +110011001010 +110111001010 +110111001010 +110010111001 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111000 +110010111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111001 +110010111000 +110010101000 +110010101000 +110010101000 +110010101000 +110010100111 +101110100111 +101110100111 +101110010110 +101110010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010000110 +101010010110 +101010000110 +101010000110 +101010010110 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +101010011000 +101010101001 +101010011001 +101010011000 +100110000111 +100110000110 +100110000101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000100 +011001010101 +011001100110 +011001100110 +011001100110 +011001010101 +010101010101 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +010001000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +010001010101 +010001010101 +010101010110 +010101010110 +010101100110 +010101100111 +010101100111 +010101100111 +010001010111 +010101010111 +010001010111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101010110 +011001100110 +011001100111 +011001100111 +010101100110 +010001010101 +001101000100 +001000110100 +001000110100 +001101000100 +001101000101 +010001010110 +010001010110 +010101010110 +010101010110 +010101010110 +010101010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001101000100 +001101000100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110011 +010001000100 +010101000101 +010101010101 +010101000101 +010001000100 +010001000100 +010101000100 +010101010101 +010101010110 +010101010110 +010101010110 +010101010101 +010101000100 +010000110100 +001101000100 +010001010110 +010001010110 +010101010110 +010001010110 +010001010110 +010001000101 +010000110011 +010000110010 +010000110011 +010101000100 +010101000100 +010100110011 +010000110010 +010000110011 +010001010101 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000101 +010001000101 +010001000101 +010001010110 +010101100110 +010101010110 +010101010101 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010101000011 +010001000011 +010001000011 +010100110011 +010101000100 +010101010101 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +010101100110 +011001100111 +011001110111 +011101111000 +011001111000 +011001110111 +011001100110 +010101000100 +010101000011 +011001000011 +011001010100 +011001010100 +011001000011 +011001000011 +011001010101 +011001100111 +011101111000 +011101111000 +011001111000 +011001111000 +011001110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101100101 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100001110110 +100010000111 +100110001000 +100110011000 +100110001000 +100110001000 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000111 +101010010111 +101010010110 +101010010111 +101010011000 +101110101000 +101010101000 +101010101000 +101010101000 +101010100111 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110101000 +110010111001 +110011001010 +110111001011 +110111001011 +110011001010 +110010111001 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111001 +110010111001 +110010111001 +110110111001 +110110111001 +110110111001 +110110111001 +110010111000 +110010101000 +110010101000 +110010101000 +110010101000 +101110100111 +101110010111 +101110010111 +101110010111 +101110010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010000110 +101010000110 +101010000110 +101010000101 +101010000110 +101010010110 +101010010111 +101010000110 +100110000110 +100110000110 +101010010111 +101010011001 +101010101001 +101010101001 +101010011000 +100110000110 +100110000110 +100010000101 +100001110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010100 +011001100110 +011001100110 +011001010101 +011001010101 +010101010101 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +001101000100 +001101000011 +001100110011 +001100110011 +001100110011 +001101000100 +010001000100 +010001000101 +010001000101 +010001010101 +010001010110 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100110 +011001100111 +011001100111 +011001100111 +010101100111 +010001010110 +001101000100 +001000110011 +001000110011 +001100110100 +001101000101 +010001010101 +010001010110 +010101010110 +010101010110 +010101010110 +010001010110 +010001010101 +010001000101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000100 +001101000100 +001100110011 +001101000011 +001101000011 +001101000011 +001101000100 +001100110100 +001100110100 +001100110100 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110011 +010101000101 +010101100110 +010101100111 +010101100110 +010101010110 +010101010110 +010101010101 +010101010110 +010101010110 +010101100110 +010101010110 +010101010101 +010001000100 +001100110011 +001100110011 +001101000101 +010001010110 +010101100110 +010101100110 +010101100110 +010001010101 +010001000100 +010000110011 +010101000011 +010101000100 +010101000101 +010101000100 +010000110011 +010000110011 +010101010101 +010101100111 +010101100111 +010101100111 +010101100111 +010001010101 +010001000100 +010000110011 +010000110011 +001100110011 +001101000101 +010001010110 +010101100110 +010101010101 +010101000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010101000100 +010101000100 +010101000100 +010101000100 +010100110011 +010101000100 +010101010101 +010101100111 +010101100111 +011001100111 +011001100110 +010101010101 +010101010101 +010101100110 +011001110111 +011001111000 +011001111000 +011001100111 +010101010110 +010101000100 +010101000011 +011001000100 +011001010100 +011001010100 +011001000011 +011001000011 +010101010100 +011001100110 +011001110111 +011001110111 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001110111 +011001100110 +011001010100 +010101000011 +010101000011 +010101000100 +010101000011 +011001000011 +011001000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101100101 +011101100110 +011101110110 +011101110111 +100001110111 +100001110111 +100001110111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100010000111 +100110001000 +100110011000 +100110001000 +100110001000 +100110001000 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100101110110 +100110000110 +100110000110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101010011000 +101110101000 +101110101001 +101110101000 +101110101000 +101010101000 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110101000 +110010111010 +110011001011 +110111001011 +110011001011 +110010111001 +101110111001 +101110101001 +101110101001 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111001 +110010111001 +110110111001 +110010111001 +110110111001 +110010111001 +110010111001 +110010101000 +110010101000 +110010101000 +110010100111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010110 +101010010110 +101010010110 +101010010110 +101010000110 +100110000110 +101010000110 +101010000110 +101010000101 +101010000101 +100110000101 +101010000110 +101010010111 +101010010111 +100110000110 +100110000110 +100110000110 +100110010111 +101010011000 +101010011000 +100110011000 +100110000110 +100110000110 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100100 +011101100101 +011101100100 +011101100100 +011101100100 +011101010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010101010100 +011001100110 +011001100110 +010101010100 +010101000100 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000100 +001101000011 +001101000011 +001100110011 +001100110011 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +010001010110 +001101000100 +001000110011 +001000110011 +001000110100 +001101000100 +010001010101 +010001010101 +010001010101 +010001010101 +010001000101 +001101000101 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010101 +001101000101 +001101000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +010000110011 +010001000101 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010101 +010101010110 +010101010110 +010101010110 +010101010110 +010101010110 +010001000101 +010001000100 +001101000100 +010001010101 +010001010110 +010101100111 +010101100111 +010101100111 +010101010110 +010001000100 +010000110011 +010101000100 +010101000101 +011001010101 +010101000100 +010000110011 +010000110011 +010001000101 +010101010111 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000100 +010000110011 +010001000100 +001101000101 +010001010110 +010101100110 +010101010110 +010101010101 +010101010101 +010101010101 +010001000101 +010001000100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010101000100 +010101010101 +011001100111 +011001100111 +011001100111 +011001100110 +011001010101 +010101010101 +010101010110 +011001110111 +011001111000 +011001111000 +011001100111 +010101010110 +010101000100 +010101000011 +011001010100 +011001010101 +011001010101 +011001000100 +011001000011 +010101010100 +010101100110 +011001100111 +011001100111 +010101100111 +010101010110 +011001010101 +011001010101 +011001010101 +011001100111 +011001100111 +011001110111 +011101100110 +011001010101 +011001000100 +010101010100 +011001010100 +011001010100 +011001000100 +011001010100 +011001010100 +011101010101 +011101010101 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +100001110110 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001110110 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100110000111 +100110000111 +100110000111 +100110000111 +100101110110 +100101110110 +100101110110 +100101110110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +101010000101 +101010000110 +101010000110 +101010010111 +101010010111 +101010010111 +101010010111 +101110011000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110101001 +110010111010 +110011001011 +110111001011 +110011001011 +110010111001 +101110111001 +101110101001 +101110101001 +110010101001 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +110010101000 +110010101000 +110010100111 +101110100111 +101110010111 +101010010111 +101010010111 +101010010111 +101110010110 +101010010110 +101010010110 +101010010110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +100110000101 +100110000101 +100110000110 +100110000110 +101010010111 +100110000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000111 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +100001110100 +100001110100 +100001110100 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101010101 +011001100110 +011001100110 +010101010100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +001101000101 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001101000100 +001000110011 +001000110011 +001000110100 +001101000100 +001101000101 +001101000101 +010001000100 +001101000100 +001101000100 +001100110100 +001000110011 +001000110100 +001101000100 +001101000101 +010001010101 +010001010101 +010001000101 +001101000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110100 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100011 +010001000100 +010101010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101000101 +010101000101 +010101010110 +010001010110 +010001010110 +010101010110 +010001010101 +010001010101 +010001010101 +010001010110 +010101100111 +010101100111 +011001100111 +011001100111 +010101010110 +010001000100 +010000110011 +010100110011 +010101000101 +010101000101 +010101000100 +010100110011 +010000110011 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010101100110 +010001010110 +010101010101 +010101010101 +010101010101 +010101010110 +010101100110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010110 +010001010101 +010001000101 +010101000101 +010101010101 +010101010101 +010101010110 +010101010110 +010101010101 +010101000100 +010101000100 +010101010101 +011001100111 +011001111000 +011001111000 +011001100111 +011001010110 +010101010101 +010101100110 +011001110111 +011001111000 +011001111000 +011001111000 +010101100110 +010101000100 +010101000011 +011001010100 +011001010101 +011001010101 +011001010100 +011001000011 +010101010100 +010101100110 +011001100111 +011001100111 +010101100111 +010101010110 +010101010101 +011001010101 +011001100110 +011001100111 +011001110111 +011001111000 +011101100111 +011001010101 +011001010100 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011101010101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011101010100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110110 +011101110110 +100001110110 +100001110111 +100010001000 +100010001000 +011110001000 +100010001000 +100001110111 +100001110110 +100001110110 +100101110110 +100101110110 +100101110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100101110101 +100101110101 +100101110101 +100101110110 +100110000110 +100110000101 +100101110101 +100101110101 +100110000101 +101010000110 +101010000110 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101110011000 +101110101000 +101110101000 +101110101000 +101110011000 +101110010111 +101110010111 +101110010111 +101110100111 +101110101000 +101110111001 +110011001010 +110111001011 +110111001011 +110011001010 +110010111001 +101110101001 +101110101001 +101110101001 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +110010101000 +110010100111 +101110010111 +101110010111 +101110010110 +101010010111 +101010010111 +101010010111 +101010010110 +101110010110 +101010010110 +101010000110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +100110010111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110101 +100001110101 +100001110100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101010100 +011001010110 +011001010101 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +001101000101 +001101000101 +001101000101 +001101000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110100 +001100110100 +001100110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101000100 +010001000101 +001101000101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110100 +010101000101 +010101000101 +010101000101 +010001000101 +010001000101 +010101000100 +010101000101 +010001010110 +010101010110 +010001010110 +010001010110 +010101010101 +010001010101 +010001010101 +010001010110 +010101100110 +010101100111 +010101100111 +010101100111 +010101010110 +010001000100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110010 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010110 +010101010110 +010101100110 +010101100110 +010101010110 +010101010101 +010101000100 +010101000100 +010101000101 +010001000101 +010001000100 +010001000100 +010101000100 +010101000101 +010101010101 +010101010101 +010101000101 +010001000011 +010101000100 +010101010101 +011001100111 +011001110111 +011001111000 +011001100111 +011001100110 +010101100110 +011001100111 +011001110111 +011001111000 +011001111000 +011001111000 +011001100111 +010101010101 +010101000011 +011001000100 +011001010101 +011001010101 +011001000100 +011001000011 +010101010100 +011001100110 +011001111000 +011001111000 +011001111000 +011001100111 +011001100111 +011001100110 +011001100111 +011001110111 +011001111000 +011001111000 +011101100111 +011001010101 +011001010101 +011001010110 +011001100110 +011001010101 +011001010100 +011101010100 +011101100101 +011001100110 +011001100110 +011001010101 +011001010101 +011001010101 +011101100101 +011101100100 +011101100101 +011101100110 +011101110110 +011101110111 +011101110110 +011101110110 +011101110110 +100001110111 +100010001000 +100010001000 +011110001000 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110110 +100001110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100101110110 +100101110110 +100101110110 +100101110110 +100101110101 +100101110101 +100101110101 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +101010010111 +101010010111 +101010010111 +101110010111 +101110011000 +101010011000 +101010011000 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +101110101000 +110010111001 +110011001010 +110111001011 +110011001011 +110010111010 +101110101001 +101110101001 +110010101001 +110010101001 +101110101000 +110010101000 +110010101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010101000 +110010101000 +110010101000 +101110010111 +101110010111 +101110010111 +101110010110 +101110010111 +101110010111 +101010010110 +101010000110 +101010010110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +100110010110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110101 +100001110101 +100001110101 +100001100100 +100001100100 +011101100100 +011101100101 +011101100101 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010101000100 +010101000100 +010001000011 +010000110011 +010000110011 +001100110011 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +001100110011 +001101000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110010 +001100110011 +001000110011 +001100110011 +001101000011 +001101000011 +001100110011 +001100110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000101 +001101000101 +001101000100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110100 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110100 +010001000100 +010001000101 +010001000100 +010000110100 +010000110100 +010001000100 +010101000101 +010001010101 +010101010110 +010101010110 +010101010110 +010101010101 +010001000101 +010001000101 +010001010101 +010101010110 +010101100111 +010101100111 +010101100110 +010101010110 +010001000100 +010000110011 +010000110010 +010101000011 +010101000100 +010101000011 +010000110011 +010000110010 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010101010110 +010001010110 +010001010101 +010101010101 +010101010110 +010101010110 +010101100110 +010101010110 +010101010101 +010101000100 +010101000100 +010001000101 +010001000100 +010001000100 +010101000100 +010001000100 +010001000100 +010001000101 +010101000101 +010001000100 +010000110011 +010101000011 +010101010101 +010101100110 +010101100111 +011001100111 +011001100111 +010101100110 +010101100110 +011001100110 +011001100111 +011001110111 +011001111000 +011001111000 +011001100111 +011001010101 +010101000011 +011001000100 +011001010101 +011001010100 +011001000011 +011001000011 +010101010100 +011001100110 +011001110111 +011001111000 +011001111000 +011001111000 +011001110111 +011001100111 +011001110111 +011001111000 +011101111000 +011101110111 +011101100110 +011001010101 +011001010100 +011001010101 +011001010101 +011001010101 +011101010100 +011001010100 +011101010101 +011101100101 +011001100110 +011001010101 +011001010101 +011001100101 +011101100101 +011101100100 +011101100101 +011101110110 +011101110110 +011101110111 +011101110110 +011101110110 +011101110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110110 +100001110111 +100001110111 +100010000111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100110000110 +100101110101 +100110000101 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +101010000110 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010011000 +101110010111 +101110010111 +101110010111 +101110010111 +101110101000 +110010101000 +110010111001 +110011001011 +110011001011 +110010111010 +110010101001 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +101110101000 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101010010110 +101010010110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +101010000101 +100110000101 +100110000101 +100110000101 +100110000101 +100110000110 +100110000111 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110010 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001000100011 +001000110011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001100110100 +001101000100 +001100110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110100 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010101000101 +010101010101 +010101010110 +010101100110 +010101010110 +010101010101 +010001000100 +010000110100 +001101000101 +010001010110 +010101100110 +010101100110 +010101100110 +010101010110 +010001000100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110010 +010001000100 +010101010110 +010101100111 +010101100111 +010001010110 +010001000101 +001101000100 +010000110100 +010001000100 +010001000100 +010001010101 +010001010101 +010101010110 +010101010101 +010101000100 +010001000100 +010001000101 +010001000101 +010001010101 +010101000101 +010101000100 +010101000100 +010001000101 +010101000100 +010001000100 +010000110011 +010001000011 +010101000100 +010101010110 +010101100110 +010101100110 +010101100110 +010101010101 +010101010101 +010101010110 +010101100110 +011001100111 +011001100111 +011001100111 +010101100110 +010101010101 +010101000011 +011001000100 +011001010100 +011001010100 +011001000011 +011001000011 +010101000100 +011001010110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100110 +011001100111 +011001100111 +011001100111 +011001100111 +011101100110 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011101010100 +011101010100 +011101010101 +011101100101 +011101100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110101 +100001110101 +100001110101 +100001110110 +100101110110 +100101110110 +100101110101 +100101110101 +100101110101 +100110000101 +100110000110 +100110000110 +100110000110 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010101000 +101010101000 +101010101000 +101110010111 +101110010111 +101110011000 +101110101000 +110010101001 +110010111010 +110011001010 +110011001010 +110010111010 +101110101001 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +101110101000 +101110100111 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +101110100111 +101110100111 +101110010111 +101110010111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010111 +101110010110 +101010010110 +101010000110 +101010000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100110000110 +100101110101 +100101110101 +100110000110 +100110000110 +100110000110 +100110000110 +100101110101 +100101110101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +011101110100 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +010001000011 +010001000011 +010001000011 +001101000011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001100110100 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001101000100 +001101000100 +001100110100 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110010 +001000100011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110100 +010001000101 +010101000101 +010001000101 +010001000101 +010001000101 +010001000101 +010101000101 +010101010110 +010101100110 +010101100110 +010101100110 +010101010110 +010101000101 +010001000100 +001101000101 +010001010110 +010101100110 +010101100110 +010101100110 +010101010110 +010001000101 +010000110011 +010000110011 +010101000100 +010101010101 +010101000100 +010101000011 +010000110010 +010001000100 +010101010110 +010101100111 +010101100111 +010001010110 +001101000101 +001100110011 +001100110011 +010000110011 +010000110011 +001101000100 +001101000101 +010001010110 +010101010110 +010101000101 +010001000101 +010001010101 +010001010110 +010101010110 +010101010110 +010101010101 +010101010101 +010001010101 +010001000101 +010001000101 +010000110100 +010000110011 +010101000100 +010101010110 +010101010110 +010101010110 +010101010110 +010101010100 +010101000100 +010101010101 +010101100110 +010101100111 +010101100111 +010101100111 +010101010110 +010101000100 +010101000011 +011001010100 +011001010101 +011001010101 +011001010100 +011001000011 +011001000100 +010101010101 +010101100110 +010101100110 +010101010110 +010101010110 +010101010101 +011001010101 +011001010101 +011001010110 +011001100110 +011001100110 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011101010100 +011101010100 +011101100101 +011101100101 +011101100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110100 +100101110100 +100101110101 +100110000110 +100110000110 +101010010111 +101010010111 +101010010111 +101010010111 +101010011000 +101010101000 +101110101001 +101110101001 +101010101000 +101110010111 +101110010111 +101110011000 +110010101000 +110010111001 +110010111010 +110011001010 +110010111010 +110010111010 +101110101001 +101110101001 +101110101000 +101110101001 +101110101000 +101110101000 +101110101000 +101110101000 +101110100111 +101110100111 +101110100111 +101110100111 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010110 +101110010110 +101010010110 +101010000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100101110101 +100110000110 +100110000110 +100110000110 +100110000110 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100100 +011101100100 +011101100100 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001101000100 +001101000100 +001100110100 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110010 +001000100011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110011 +010000110100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +010101000101 +010101010110 +010101100110 +010101100111 +011001100111 +010101100110 +010101010110 +010001010110 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010111 +010001000101 +010000110011 +010000110011 +010101000100 +010101010101 +010101000100 +010101000011 +010000110011 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000100 +010001000100 +010001000100 +001101000100 +001101000101 +010001010110 +010101010110 +010101000101 +010001000101 +010001010110 +010001010110 +010101010110 +010101010110 +010101010110 +010001000101 +010001010101 +010001000101 +001101000101 +010001000100 +010000110011 +010101000100 +010101010110 +010101100111 +010101100111 +010101010110 +011001010101 +010101010101 +010101010101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101000100 +010101000011 +011001010100 +011001010101 +011001010101 +011001010100 +011001000011 +011001000100 +010101010101 +010101010110 +010101100110 +010101100110 +010101010110 +010101010101 +011001010101 +011001010100 +011001010101 +010101010110 +010101100110 +011001100110 +011001010101 +011001010101 +011001010110 +011001100110 +011001100110 +011101100101 +011101010100 +011101100101 +011101100110 +011101100110 +011001100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +011101110111 +100001110110 +100001110111 +100010000111 +100010001000 +100010001000 +011101110111 +011101110111 +011101110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001100100 +100001100101 +100001100101 +100001110110 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100101110101 +100101110100 +100101110100 +100101110101 +100110000110 +100110000110 +100110010110 +101010010111 +101010010111 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010011000 +101110010111 +101110010111 +101110101000 +110010101000 +110010111001 +110010111010 +110010111010 +110010111010 +101110101001 +101110101001 +101110101000 +101110101000 +101110101001 +101110101001 +101110101000 +101110101000 +101110100111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +110010100111 +110010100111 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100101110101 +100110000110 +100110000110 +100110000110 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001100101 +011101100101 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110010 +001000110010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001101000100 +001100110100 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100011 +010000110100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +010101000100 +010101010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001000101 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110010 +010001000100 +010101010110 +010101100111 +011001111000 +011001100111 +010101100111 +010101100110 +010101010110 +010101010101 +010101010110 +010001010101 +010001010101 +010101010110 +010101010101 +010101000101 +010001000100 +010001000101 +010001010101 +010101010110 +010101000101 +010101000101 +010001000101 +010001000101 +010001000101 +010001000100 +010000110100 +010001000011 +010101000100 +010101010110 +010101100111 +011001100111 +011001100111 +011001100110 +011001100110 +010101100110 +011001100111 +011001111000 +011001111000 +011001111000 +011001100111 +010101010101 +010101000011 +011001010100 +011001010101 +011001010101 +011001010100 +011001000011 +010101000100 +010101010101 +011001100111 +011001110111 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001010110 +011001100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011001100110 +011001100111 +011101100110 +011101100110 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +011101110111 +100001110110 +100001110111 +100001110111 +100010000111 +100001110111 +011101110111 +011101110111 +011101110110 +100001110101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +011101100101 +100001110110 +100001110111 +100001110111 +100001110110 +100001110101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100101110100 +100101110100 +100101110100 +100101110101 +100110000110 +100110000110 +100110000110 +101010000110 +101010000110 +101010000110 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110101000 +110010101000 +110010111001 +110010111010 +110010111010 +101110101001 +101110101000 +101010101000 +101110100111 +101110101000 +101110101000 +101110101000 +101110101000 +101110011000 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +110010101000 +110010101000 +110010101000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110100111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100110000101 +100101110101 +100110000110 +100101110101 +100101110101 +100110000101 +100101110101 +100101110101 +100101110110 +100110000110 +100110000110 +100110000110 +100110000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100100 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000011 +010001000011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100011 +010000110100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +010101000100 +010101000101 +010001010110 +010001010110 +010001010110 +010101010110 +010101010110 +010101010110 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010001000101 +010000110011 +010000110010 +010100110011 +010101000100 +010101000100 +010101000011 +010000110010 +010000110011 +010001010101 +010101100111 +010101100111 +011001100111 +010101100111 +010101100111 +010101010110 +010101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010101010101 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010101000100 +010100110100 +010000110100 +010001000100 +010000110100 +010000110100 +010000110011 +010000110011 +010101000100 +010101010110 +010101100111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100111 +011001100111 +011001111000 +011001111000 +011001111000 +011001100111 +010101010101 +010101000011 +011001000100 +011001010100 +011001010101 +011001010100 +011001000011 +010101000011 +011001010101 +011001100111 +011001111000 +011101111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011101100110 +011101100110 +011001010101 +011001100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011001100101 +011001100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100010001000 +100001110111 +100001110111 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +100001110110 +100010000111 +100010000111 +100001110110 +011101110101 +011101100101 +011101100101 +011101110110 +100001110110 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100100 +100001100100 +100101110100 +100101110101 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110000111 +101010010111 +101010010111 +101010010111 +101110010111 +101110101000 +110010101001 +110010111001 +110010111001 +101110101001 +101110011000 +101010010111 +101010010111 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010010111 +101110010111 +101010010111 +101010010110 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110010111 +110010101000 +110010101000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100110000101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110110 +100101110110 +100110000110 +100110000110 +100110000110 +100101110101 +100001110101 +100001110101 +100001110100 +100001110100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110011 +001100110011 +001000110011 +001000110010 +001000110010 +001000110010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110011 +001000110011 +001000110010 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001100110100 +001100110100 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +010001000011 +000100100010 +000100100010 +000100100010 +000100010010 +001000100010 +001100100010 +001100100010 +001100110011 +010001000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010101000101 +010001000101 +010001010101 +010001010101 +010001010101 +010001000101 +010001000100 +010001000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001000100 +010000110011 +010000110011 +010101000011 +010101000100 +010101000100 +010101000011 +010000110011 +010000110011 +010001000101 +010001010110 +010001010110 +010101010110 +010101010110 +010001010110 +010001000100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +010001000100 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010101000100 +010101000100 +010001000100 +010001000100 +010001000100 +010000110100 +010000110011 +010000110011 +010101000100 +010101010101 +010101010110 +010101100110 +010101100110 +011001100110 +010101010110 +010101010110 +010101100111 +011001100111 +011001110111 +011001110111 +011001100111 +010101010101 +010101000011 +011001000011 +011001010100 +011001010100 +011001010100 +011001000011 +010101000011 +010101010101 +011001100111 +011001110111 +011001111000 +011001110111 +011001100111 +011001100111 +011001100110 +011001100110 +011001110111 +011001110111 +011101100110 +011001100101 +011001010101 +011001010101 +011001100101 +011101100101 +011101100101 +011101010100 +011101010101 +011101100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001110110 +100001110111 +011110000111 +011101110111 +100001110111 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100001110111 +100001100110 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +011101110101 +100001110111 +100010001000 +100010001000 +100010000111 +100001110110 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100101110100 +100001110101 +100110000110 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +101010011000 +101010011000 +101010011000 +101010010111 +101110011000 +101110101000 +110010111001 +110010111001 +101110101001 +101110101000 +101010011000 +101010011000 +101010010111 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +101010000110 +101010000111 +101010000111 +101010000111 +101010010111 +101110010111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100101110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100110000110 +100110000110 +100110000110 +100001110101 +100001110101 +100001110100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001000110010 +001000110011 +001000110011 +001000110011 +001000110010 +001000110010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000110010 +001000110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +010001000011 +000100100010 +000100100010 +000100010010 +000100010010 +001000100010 +001100100010 +001100100010 +001100110011 +010001000101 +010001010110 +010001010111 +010001010110 +010001010110 +010001010110 +010101000101 +010001000101 +010001010101 +010001010101 +010001010101 +010001000101 +010001000100 +010000110100 +001101000100 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001000100 +010000110011 +010000110011 +010101000100 +010101000101 +011001000101 +010101000100 +010100110011 +010000110011 +010001000100 +010001000101 +010001010101 +010001010101 +010001010101 +001101000100 +001000110011 +001000100010 +001000100001 +001000100001 +001000100010 +001000110011 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001000101 +010101000101 +010101000101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010000110011 +010101000011 +010101000100 +010001010101 +010001010101 +010101010101 +010101010101 +010101000100 +010101010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101000101 +010101000011 +011001000100 +011001010100 +011001010101 +011101010100 +011001000011 +011001000011 +010101010101 +011001100111 +011001110111 +011001110111 +011001100111 +011001100110 +011001010101 +011001010101 +011001100110 +011001100110 +011001100111 +011001100110 +011001100101 +011001010101 +011001010101 +011001100101 +011101100101 +011101100101 +011101010100 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +100001100101 +100001110110 +100001110111 +011101110111 +011101110110 +100001110110 +100001110110 +011101110111 +100001110111 +100001110111 +011101110111 +011101110111 +011101110110 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +011101110101 +100001110111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100101110101 +100001110110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110011000 +101010011000 +101010011001 +101010011000 +101010010111 +101110011000 +101110101001 +110010111001 +110010101001 +101110101001 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +101010000110 +101010000110 +101010000111 +101010000111 +101010010111 +101110010111 +110010100111 +110010101000 +110010101000 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110010111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100110000101 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100110000110 +100110000110 +100101110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +010101000100 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001000110011 +001000110011 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001100110011 +010000110011 +001000100010 +001000100010 +000100010010 +000100010010 +001000100010 +001100100010 +001100100010 +001100100011 +010001000101 +010001010110 +010001010111 +010001010110 +010001010110 +010001010110 +010101000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010000110011 +010000110011 +010101000100 +010101000101 +011001000101 +010101000100 +010000110011 +010000110011 +010001000100 +010001010110 +010001010110 +010001010110 +010001010110 +001101000100 +001100110011 +001000100010 +001000100010 +001000100001 +001000100010 +001000110011 +001100110100 +010001000100 +010001000100 +010001000101 +001101000101 +010001000101 +010001000101 +010101000101 +010001010101 +010001010101 +010001010110 +010001000101 +010001000100 +010001000100 +010101000011 +010001000100 +010001010101 +010001010110 +010101010101 +010101010100 +010101000100 +010101010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100110 +010101010101 +010101000011 +011001000100 +011001010101 +011101100110 +011101010101 +011001000011 +011001000100 +011001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001100111 +011001010101 +011001010101 +011001100110 +011001110111 +011001110111 +011101110111 +011101100110 +011101100101 +011001100110 +011001100110 +011001100110 +011101100101 +011101100100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101100110 +011101100110 +011101100101 +011101100101 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +011101110110 +011101110111 +100001110111 +100001110111 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001110101 +100001110110 +100010000110 +100110000111 +100110000111 +100110000110 +100110000111 +100110000111 +100110011000 +100110011000 +101010011000 +101010011000 +101010010111 +101110101000 +110010101001 +110010101001 +101110101001 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010010111 +101110010111 +110010100111 +110010100111 +101110100111 +101110010111 +101010010111 +101110010111 +101110100111 +101110100111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100110000101 +100110000110 +100110000110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001100110010 +001000100010 +001000010010 +000100010010 +000100010010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110100 +010001000110 +010001010110 +010001010110 +010001000101 +010001000101 +010001000101 +010001000101 +010001010110 +010001010110 +010001100111 +010101010111 +010101010110 +010101010110 +010101010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010000110100 +010000110011 +010000110011 +010101000100 +010101000101 +010101000100 +010000110011 +010000110011 +010001000101 +010101010110 +010101100111 +010101100111 +010101100111 +010001010110 +010001010101 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001000100 +010101000100 +010000110011 +001100110100 +001101000100 +001101000100 +010001000100 +010101000100 +010001000101 +010001010101 +010001010101 +010001000101 +010001000100 +010000110011 +010101000011 +010101000101 +010101010110 +010101100110 +010101100110 +011001010110 +010101010101 +010101010110 +010101100111 +011001100111 +011001110111 +011001110111 +011001100111 +010101010101 +010101000011 +011001000100 +011001010101 +011101100110 +011101010101 +011001000011 +011001000100 +011001010101 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001100111 +011101100110 +011101110111 +011101111000 +011001111000 +011101110111 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101111000 +011101110111 +011101110111 +011101100110 +011101100101 +011101100100 +011101100101 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101100110 +011101100110 +011101100101 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +011101110110 +011101110111 +100001110111 +100001110111 +011101110110 +011101100101 +011101100101 +011101110110 +100001110110 +100001110110 +100001100110 +100001100110 +100001100110 +100001100110 +011101110110 +100001110110 +100001110101 +100001100100 +100001100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000110 +100110000110 +100110000111 +100110000111 +100110011000 +100110011000 +100110010111 +100110010111 +101010011000 +101110101000 +110010101001 +110010101001 +101110101000 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +100110010111 +101010011000 +101010011000 +101010011000 +101010010111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000110 +101010000110 +101010010111 +101110010111 +101110100111 +110010100111 +101110100111 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100110000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100110000110 +100110000110 +100010000110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010011 +010101010011 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001101000011 +001101000011 +001101000011 +001100110011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001100110010 +001100110011 +001000110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000110010 +000100010001 +000100010010 +000100010010 +000100010001 +001000100010 +001100100010 +001100100001 +001100100010 +001100110100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000101 +010001010110 +010001010110 +010001010111 +010101010110 +010101010110 +010101010110 +010101010110 +010101010111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010000110100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000011 +010000110011 +010000110011 +010001000101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010101010101 +010101000100 +010000110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +010000110011 +010101000011 +010101010101 +010101100110 +010101100111 +011001100111 +011001100111 +010101100110 +010101100110 +011001100111 +011001100111 +011001111000 +011001111000 +011001101000 +011001010110 +010101000100 +011001000011 +011001010101 +011101010101 +011101010100 +011001000011 +011001000011 +011001010101 +011001110111 +011101111000 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101100110 +011101100101 +011001100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101100100 +011101100100 +011101100101 +011101110111 +011101110111 +100010001000 +100001110111 +100001110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101110111 +011101110111 +011101100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +100001110111 +100010001000 +100010001000 +100010000111 +100001110110 +011101100101 +011101100101 +011101100110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001100100 +100001100100 +100001100101 +100001110110 +100010000110 +100010000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +100110011000 +100110011000 +101010011000 +101010011000 +101110101001 +110010101001 +101110101001 +101010011000 +101010010111 +100110000111 +100110011000 +101010011000 +100110000111 +100110000111 +100110000111 +100110010111 +101010011000 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +101010000110 +101010010111 +101110010111 +101110100111 +110010100111 +110010100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110110 +100101110101 +100001110101 +100001110101 +100001110101 +100110000110 +100010000110 +100001110110 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101100100 +011001010100 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001100110010 +001100110010 +001100110010 +001101000010 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110011 +001000110011 +001000110011 +001000110010 +001000110010 +001000100010 +001000100010 +001000110010 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001100110011 +001000110011 +001000110010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001100100010 +001100100010 +001100100010 +010000110011 +010001000100 +010001000101 +010001000101 +001101000100 +010000110100 +010001000100 +010001000101 +010001000101 +010001010110 +010001010110 +010001010101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010000110100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000011 +010100110011 +010000110011 +010001000101 +010001010110 +010101100111 +010101100111 +010101100111 +010101010110 +010001010101 +010001000101 +010001010101 +010001010110 +010001010110 +010001010110 +010101010101 +010101000101 +010000110011 +010000110011 +010000110100 +010000110100 +010000110100 +010000110100 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010101000011 +010101000101 +010101010110 +010101100110 +010101100110 +011001100110 +011001100110 +010101010110 +010101100110 +010101100111 +010101100111 +011001100111 +011001100111 +010101010110 +010101000100 +010101000011 +011001010100 +011101010101 +011101010100 +011001000011 +011001000011 +011001010101 +011001100111 +011001111000 +011001111000 +011001111000 +011101110111 +011101110111 +011101100111 +011101110111 +011001110111 +011101110111 +011101110111 +011101100101 +011001100101 +011001010101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011101110110 +011101100110 +011101100110 +011101100101 +011101010100 +011101100100 +011101100101 +100001110111 +011110001000 +011110001000 +100001110111 +100001110111 +011101110111 +011101110111 +100010001000 +100010001000 +011110000111 +100001110111 +100001100110 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +100001110111 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001100101 +011101100101 +011101100110 +100001110110 +100001110101 +100001100101 +100001100100 +100001100100 +100001110101 +100101110110 +100110000111 +100110000111 +100110000111 +100110000111 +100110001000 +100110011000 +100110011000 +101010011001 +101010011000 +101010011000 +101110101001 +101110101001 +110010101001 +101110101001 +101010010111 +100110000111 +100110000111 +101010011000 +101010011000 +101010011000 +100110000111 +100110000111 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +101010000110 +101110010111 +101110010110 +101110010111 +101110010111 +101110100111 +101110100111 +101110100110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110110 +100101110101 +100001110101 +100001110101 +100001110101 +100010000110 +100110000110 +100010000110 +100001110110 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001000110011 +001000110010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100011 +001000100010 +000100100011 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001100100010 +001100100010 +001100110011 +010001000101 +010001010101 +010001000101 +001101000101 +010001000101 +010001000101 +010001000101 +010001000101 +001101000101 +001101000101 +001101000100 +001100110100 +001100110100 +001100110100 +001101000100 +001101000101 +010001010110 +010001010110 +010001010110 +001101000101 +010000110100 +010000110011 +010100110011 +010101000100 +010101000101 +010101000100 +010100110011 +010000110011 +010001000100 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +001101000100 +001100110011 +010000110100 +010001000100 +001101000100 +010001000101 +010001010101 +010101000101 +010101000100 +010001000100 +010001000100 +010001000101 +010001000101 +010101000100 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000011 +010001000100 +010101000101 +010001010101 +010101010101 +010101010101 +010101000100 +010101000100 +010001000101 +010001010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101000011 +011001000011 +011001010100 +011001010100 +011001010100 +011001000011 +011001000011 +011001010101 +011001010110 +010101100111 +010101100110 +011001100111 +011001100111 +011001100110 +011001100101 +011001100110 +011001100110 +011001100111 +011001100110 +011101100101 +011101010101 +011001010101 +011001010101 +011101100101 +011101100101 +100001100101 +011101100101 +011101100110 +011101100110 +011101100110 +011001100101 +011101100101 +011101100101 +011101010100 +011101100100 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001110110 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100100 +100001100100 +100001110101 +100101110110 +100110000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +101010011000 +101010011001 +101010101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110011000 +101010000111 +100110000111 +100110000111 +101010011000 +101010011000 +101010011000 +100110000111 +101010010111 +101010011000 +101010011000 +101010010111 +101010000111 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +101010000110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100110000110 +100001110101 +100001110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100110000110 +100110000110 +100001110110 +100001110110 +100001110101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +001000100001 +001000100001 +001100100010 +001100110011 +001101000101 +001101010101 +010001010101 +001101010101 +010001000101 +010001000101 +010001000101 +010001000101 +001101010101 +001101000101 +001101000101 +010000110100 +001100110100 +001100110100 +001101000100 +001101000101 +010001010110 +010001010110 +010001010110 +010001000101 +010000110100 +010000110011 +010000110100 +010101000101 +010101010101 +010101000101 +010101000011 +010000110011 +010001000100 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001100110100 +001100110011 +010000110011 +001100110011 +001100110100 +001101000101 +010001010101 +010101000101 +010101000101 +010001000101 +010001000101 +010001000101 +010001000101 +010101000101 +010001000100 +001100110100 +001100110100 +001100110011 +001100110011 +010000110011 +010000110011 +010001000100 +010001000101 +010001000101 +010001000100 +010101000100 +010101000100 +010001000100 +010001000101 +010001010101 +010101010110 +010101010110 +010101010101 +010101000100 +010101000011 +010101000011 +011001010100 +011001010101 +011001010100 +011001000011 +011001000011 +011001010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101010110 +011001010101 +011001010100 +011001010101 +010101010110 +010101010110 +011001100110 +011001100110 +011001010101 +011001010101 +011001010101 +011101100101 +011101100110 +100001100101 +100001100110 +011101100110 +011101100110 +011001100110 +011001100101 +011001100101 +011101100101 +011101100100 +011101100100 +011101100101 +011101110110 +011101110111 +011101110111 +011101100110 +011101100101 +011101100110 +011101110110 +011101110110 +011101100110 +011001100110 +011101100110 +011101100101 +011101100100 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +011101100101 +011101110110 +011101100110 +011101110110 +011101110101 +011101100101 +011101100101 +011101110110 +100001110110 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +101010011000 +101010011000 +101110101001 +101110101001 +101110101001 +101110101000 +101010010111 +101010000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +101010000111 +101010011000 +101010011000 +100110010111 +101010000111 +100110000110 +100110000110 +100101110110 +100110000110 +100110000110 +101010000110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100110000110 +100001110101 +100001110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100110000110 +100110000111 +100001110110 +100001110101 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000010001 +000000010001 +000000000001 +000000000000 +000100010001 +001000010001 +001000100001 +001100100010 +001100110011 +001101000100 +001101000101 +010001010101 +001101010101 +010001000101 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010001000101 +010001000101 +010001010110 +010001010111 +010101100111 +010101100111 +010101100111 +010001010110 +010001000100 +010000110011 +010000110100 +010101000101 +010101010101 +010101000101 +010101000011 +010000110011 +010001000100 +010001010110 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000100 +010001000100 +001101000100 +001101000101 +010001010101 +010001010101 +010101010101 +010001000100 +001101000100 +001101000101 +001101000101 +010001000101 +010001000100 +010000110100 +001100110100 +001100110100 +001100110100 +001100110011 +010000110011 +010000110011 +010101000100 +010101010101 +010101010101 +010101010110 +010101010101 +010101010101 +010101010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010101 +010101000100 +010101000100 +011001010101 +011001010101 +011001010101 +011001010100 +011001000100 +011001010101 +011001100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001010110 +011001010101 +011001010101 +011001100110 +011001100110 +011001100111 +011101100110 +011101100101 +011001010101 +011001100101 +011001100110 +011101100110 +100001100101 +011101100101 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011101100101 +011101100100 +011101100100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110110 +011101100101 +011101100101 +011101100110 +011101110111 +011101110110 +011001100110 +011101100110 +011101100101 +011101100100 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +011101100101 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101110110 +011101110110 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100100 +100001100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000111 +100110000110 +100110000111 +100110011000 +100110011000 +100110011000 +101010011000 +101110101000 +101110101001 +101110101001 +101110011000 +101010000111 +100110000110 +100110000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +101010011000 +101010011000 +100110010111 +101010000111 +100110000110 +100110000110 +100101110101 +100101110101 +100110000110 +101010000110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100101110110 +100001110101 +100001110101 +100001110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110110 +100110000111 +100010000110 +100001110101 +100001110101 +100001110101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +010101010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001100110011 +001000110011 +001000110011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000100010001 +001000010001 +001000100001 +001100100010 +001100100011 +001100110100 +001101000100 +010001000101 +010001000101 +010001000100 +010000110100 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010001000100 +010000110011 +010000110011 +010101000100 +011001000101 +010101000100 +010101000011 +010000110011 +010001000100 +010101010110 +010101100111 +010101111000 +010101100111 +010101100111 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010101010110 +010101010101 +010001000100 +010000110011 +001100110100 +001100110100 +010000110100 +010000110100 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110011 +010000110011 +010101000101 +010101010110 +010101100111 +010101100111 +011001100111 +011001100110 +010101100110 +010101100111 +011001100111 +011001110111 +011001111000 +011001111000 +011001100110 +010101000100 +010101000011 +011001010100 +011001010101 +011101010101 +011001010100 +011001010100 +011001010101 +011001100111 +011001111000 +011101111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011101110111 +011101100110 +011101100101 +011001100101 +011001100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011001100110 +011101100110 +011101100101 +011101100100 +011101010100 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100101 +011101100100 +100001100100 +100001100101 +100001100100 +100001100100 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +100001110110 +100001110110 +100001110110 +100001110111 +100001110110 +100001110110 +100001110101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100100 +100001100100 +100001100101 +100001110101 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +101010011000 +101010011000 +101010011000 +101010101001 +101110101001 +101110101001 +101110101001 +101010011000 +101010000111 +100110000110 +100110000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +100110010111 +101010000111 +101010000110 +100110000110 +100101110101 +100101110101 +100110000101 +101010000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100110000110 +100110000111 +100001110110 +100001110101 +100001110101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001100110100 +001100110100 +001100110100 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000000010001 +001000010001 +001000010001 +001000100010 +001100100010 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010000110100 +010001000100 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010101010110 +010001010110 +010001010110 +010001010110 +010001010111 +010101010111 +010001010111 +010001010110 +010001000100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110011 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010101010110 +010101010110 +010101010101 +010101000100 +010000110011 +001100110011 +010000110100 +010000110100 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +010000110010 +010000110011 +010101000101 +010101010110 +010101100111 +010101100111 +011001100111 +011001100111 +010101010110 +010101100110 +011001100111 +011001110111 +011001111000 +011001110111 +011001100110 +010101010100 +010101000011 +011001010100 +011001010101 +011101010101 +011101010100 +011001010100 +011001010101 +011001110111 +011001111000 +011101111000 +011101111000 +011101110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011101010100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101111000 +011110001000 +011101110111 +011101100110 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +011101100101 +011101110110 +100010000111 +100010001000 +100010001000 +100001110111 +100001110111 +100001110111 +100010000111 +100001110111 +100001110110 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100100 +011101100100 +100001100100 +100001100100 +100001100101 +100001110110 +100110000111 +100110001000 +100110001000 +100110000111 +101010011000 +101010011000 +101010011001 +101010101001 +101110101010 +101110101010 +101110101001 +101110011000 +101010010111 +101010000110 +100110000110 +100110000110 +100110000111 +100110000111 +100110001000 +100110000111 +100110000111 +100110000111 +101010011000 +100110011000 +100110010111 +101010000111 +100110000110 +100110000110 +100101110101 +100101110101 +100110000101 +100110000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100110000111 +100010000110 +100001110110 +100001110101 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100010 +001000110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001100110100 +001100110100 +001100110100 +001100110100 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000000010001 +000100010001 +001000010001 +001000100001 +001100100010 +001100110011 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010000110100 +010001000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010000110100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110011 +010001000100 +010001010110 +010101100111 +010101100111 +010101100111 +010001010111 +010001000101 +010001000100 +010001000100 +010001000101 +010001010101 +010001010110 +010001010110 +010101010101 +010101000100 +010000110011 +001100110011 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +001100110011 +001100110011 +010000110011 +010000110011 +010101000101 +010101010110 +010101100110 +010101100111 +010101100110 +010101010101 +010101000101 +010001010101 +010101010110 +010101100110 +010101100110 +010101100110 +010101010101 +010101000100 +010101000011 +011001010100 +011001010101 +011101010101 +011101010100 +011001010100 +011001010101 +011001100110 +011001110111 +011001110111 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011101110111 +011101110110 +011101100110 +011001100101 +011001010101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101100101 +011101100101 +011101010101 +011101010101 +011101010100 +011101010011 +011101100100 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101100100 +011101100100 +100001100100 +100001100100 +011101100100 +011101100101 +011101110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100100 +100001100100 +100001100101 +100101110110 +100110000111 +100110000111 +100110001000 +100110000111 +100110001000 +101010011000 +101010101001 +101110101001 +101110101010 +101110101010 +101110101001 +101010011000 +101010000111 +100110000110 +100110000101 +100110000110 +100110000111 +100110001000 +101010011000 +101010011000 +100110000111 +100110000111 +101010011000 +101010011000 +100110010111 +101010000111 +100110000110 +100101110101 +100101110101 +100101110101 +100101110101 +100110000101 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +011001010100 +010101010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101010100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000100 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001000110011 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001100110100 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000000000000 +000100010001 +001000010001 +001100010001 +001100100010 +001100110011 +001101000100 +001101000101 +001101000100 +001101000100 +010001000100 +010001000100 +010001000101 +010001000101 +001101000101 +001101000101 +010001000100 +010000110100 +001100110100 +001100110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001100110100 +010000110011 +010000110011 +010101000100 +010101000101 +010101000101 +010101000100 +010100110011 +010001000100 +010001010110 +010101100111 +010101100111 +010101100111 +010001010110 +001101000100 +001100110011 +010000110011 +010001000101 +010001010110 +010001010110 +010101010110 +010101010110 +010101000100 +010001000100 +001101000100 +001101000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +001100110100 +001100110011 +010000110011 +010000110011 +010101000100 +010101010110 +010101100110 +010101100110 +010101010110 +010101000100 +010000110011 +010001000100 +010001000101 +010001010110 +010001010110 +010001010110 +010101010101 +010101000100 +011001000100 +011001010100 +011101010101 +011101010101 +011101010100 +011001010100 +011001010101 +011001100110 +010101100111 +010101100111 +010101110111 +010101100110 +011001100110 +011001100101 +011001100101 +011001100110 +011001110111 +011101110111 +011101110110 +011101100110 +011001100101 +011001010101 +011001100101 +011101100101 +011101100101 +100001100101 +011101100101 +011101100110 +011101100110 +011001010101 +011001010101 +011101010100 +011101010011 +011101010011 +011101010100 +011101100101 +011101100110 +011001100110 +011101100101 +011101100101 +011101100110 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011101100101 +011101100100 +011101100100 +011101100100 +100001100100 +011101100100 +011101100100 +011101100110 +011101110111 +011101110111 +011101110111 +011101100110 +011101100101 +011101100101 +011101110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100100 +100001100100 +100001100101 +100001110110 +100110000110 +100010000111 +100110000111 +100110000111 +100110000111 +101010011000 +101010101001 +101110101010 +101110101010 +101110101010 +101110011001 +101010010111 +100110000110 +100110000110 +100110000101 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110010111 +100110000111 +100110000110 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +101010000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101010010110 +101010010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +011101100101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010100 +010101010100 +010101010100 +010101010011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000000000000 +000100010001 +001000010001 +001100100001 +001100100010 +001100110011 +001100110100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001000101 +010001000101 +010001000101 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010000110011 +010000110011 +010101000100 +010101000101 +010101000101 +010101000100 +010100110011 +010001000100 +010001010110 +010101101000 +010101101000 +010101101000 +010001010110 +001101000101 +001100110100 +010001000100 +010001010101 +010101010110 +010101100111 +010101100111 +010101010110 +010101000101 +010001000100 +001101000100 +001101000101 +010001000100 +010001000101 +010001000101 +010001000101 +001101000101 +001101000100 +001100110011 +001100110011 +010000110011 +010101000100 +010101010110 +010101100111 +010101100111 +010101010110 +010101010101 +010001000100 +010001000100 +010001010101 +010101100110 +010101100111 +010101100111 +010101010110 +010101010100 +010101000100 +011001010100 +011101100101 +011101100101 +011101010101 +011001010100 +011001010101 +011001100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +011001100110 +011101110110 +011101110111 +011001111000 +011101110111 +011101100110 +011101100110 +011001010101 +011001010101 +011001100110 +011101100110 +100001100101 +100001100101 +011101100110 +011101100110 +011001100110 +011001010101 +011001010101 +011001010101 +011101010100 +011101010011 +011101010100 +011101100110 +011001110111 +011001100111 +011101100110 +011101100101 +011101100101 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101100101 +011101100101 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100110 +011101110111 +011101111000 +011101110111 +011101100110 +011101100101 +011101100101 +011101110110 +100001110111 +100001110110 +100001110101 +100001100101 +011101100101 +011101100110 +011101100101 +011101100101 +011101100101 +100001100101 +100001100100 +100001110101 +100001110101 +100110000110 +100010000111 +100001110110 +100110000110 +100110000111 +101010011000 +101010101001 +101110101010 +101110101010 +101110101010 +101010011000 +101010000111 +100110000110 +100110000110 +100101110110 +100101110110 +100001110110 +100010000111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000111 +100110011000 +100110000111 +100110000111 +100110000110 +100101110101 +100001110101 +100101110101 +100101110101 +100101110101 +101010000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101110010110 +101110010101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +011101100101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000010001 +001000100001 +001100100010 +001100100011 +001100110100 +001100110100 +001100110100 +001100110100 +001101000100 +010001000101 +010101010110 +010001100111 +010101100111 +010101100111 +010001010111 +010001010110 +010001010110 +010001010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010111 +010001000101 +010000110011 +010000110010 +010101000011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110100 +010101010110 +010101101000 +011001111000 +011001111000 +010101100111 +010001010110 +010001010101 +010001010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101010110 +010101000101 +010000110100 +001100110100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110100 +001100110011 +001100110011 +010000110011 +010101000100 +010101010101 +010101100111 +010101100111 +010101100111 +010101010110 +010101010110 +010101100110 +010101100111 +011001110111 +011001111000 +011001111000 +011001100111 +011001010101 +010101000100 +011001010100 +011001010101 +011101100101 +011101010100 +011001010100 +011001010101 +011001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011101111000 +011001111000 +011101111000 +011101110110 +011101100110 +011001100101 +011001100110 +011001100110 +011101100110 +011101100101 +100001100101 +011101100101 +011101100110 +011101100110 +011001010101 +011001010101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100110 +011101110111 +011101111000 +100010001000 +100001111000 +100001110111 +100001100110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100101 +011101100110 +100001110111 +100001111000 +100001110111 +100001110111 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100100 +100001110101 +100001110110 +100110000111 +100010000111 +100010000111 +100110000111 +100110000111 +101010011001 +101110101001 +101110111010 +101110111010 +101110101010 +101010011000 +100110000111 +100110000110 +100101110110 +100101110101 +100101110101 +100001110110 +100110000111 +100110000111 +100110000111 +100110000110 +100110000111 +100110000111 +100110011000 +100110000111 +100110000110 +100110000110 +100101110101 +100001110100 +100001110101 +100001110101 +100101110101 +100110000101 +101110010110 +101110010101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +100110000101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001110101 +100001100101 +011101100101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +001000010001 +001000100001 +001000100010 +001100100011 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010001000101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010001010110 +010001010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010111 +010001000101 +010000110011 +010000110010 +010100110011 +010101000100 +010101000100 +010101000011 +010100110011 +010000110011 +010001010110 +010101100111 +011001111000 +011001111000 +010101100111 +010101100111 +010001010110 +010001010101 +010101010110 +010101100110 +010101100110 +010101100110 +010101010110 +010101000100 +010000110011 +010000110100 +001100110100 +010001000100 +010000110100 +010000110100 +010000110100 +010001000100 +010000110100 +001100110011 +001100110010 +010000110010 +010001000011 +010001000100 +010101010110 +010101100111 +011001100111 +010101100110 +010101100110 +010101100110 +011001100111 +011001111000 +011001111000 +011001111000 +011001100111 +011001010101 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001110111 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101100101 +011001010101 +011001010101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101010101 +011101100101 +011101100101 +100001100110 +100001100110 +100001110110 +011101110111 +100001110110 +011101100110 +011101110110 +011101100110 +011101100110 +011101110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100101 +011101100110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001110101 +100001110110 +100010000111 +100110000111 +100110000111 +100110000111 +100110011000 +101010101001 +101110101010 +101110111010 +101110111010 +101110101001 +101010011000 +100110000110 +100101110110 +100101110101 +100101110101 +100101110110 +100110000111 +100110001000 +100110001000 +100110001000 +100110000111 +100110000111 +100110011000 +100110011000 +100110000111 +100110000111 +100110000110 +100001110101 +100001110100 +100001110100 +100001110101 +100001110100 +100101110100 +101010000101 +101010010101 +101010000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +101010000110 +101010000110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001110101 +100001100101 +011101110101 +011101110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +010000110010 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +001000010001 +001000010001 +001100100010 +001100110011 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101000100 +010000110011 +010000110011 +010100110011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110011 +010001000101 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001010101 +010001000101 +010001000101 +010001010101 +010001010110 +010001010110 +010101010110 +010101000101 +010000110100 +001100110100 +001101000100 +001100110100 +001100110011 +010000110011 +010000110011 +010000110100 +010000110100 +001100110011 +001100100010 +001100110010 +010000110010 +010001000011 +010001000100 +010101010101 +010101100110 +011001100110 +010101010101 +010101010110 +010101100110 +011001100111 +011001100111 +011001100111 +011001100110 +010101010101 +010101000011 +010101000011 +010101010100 +011001010100 +011001010011 +011001010011 +011001010101 +011001100111 +011101111000 +011110001000 +011110001000 +011001110111 +011001110111 +011001100110 +011001100110 +011001110111 +011001110111 +011101110111 +011101100111 +011101100101 +011101010101 +011101010101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101100101 +011101100101 +100001100101 +100001100110 +100001100110 +011101100110 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001110110 +100010000111 +100110000111 +100110000111 +100110001000 +101010011000 +101010101001 +101110101010 +101110101010 +101110101001 +101010011001 +101010000111 +100110000110 +100101110110 +100101110101 +100101110101 +100101110110 +100110000111 +100110000111 +100110001000 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +100110000111 +100110000110 +100101110101 +100101110101 +100001100100 +100001110100 +100001110100 +100001110100 +100101110100 +100101110101 +101010010101 +101110010101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +101010000101 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100100 +100001100100 +100001100101 +100001110101 +100001110101 +011101100101 +100001110101 +100001110101 +100001100101 +100001110101 +100001100101 +011101100101 +011101100101 +011101110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001100110011 +010000110011 +001100110011 +001000110010 +001100110011 +010001000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +001000010001 +001000010001 +001100100010 +001100110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001000101 +001101000100 +001101000100 +001101000100 +010001000101 +010001000101 +010001000100 +001101000101 +010001010110 +010001010110 +010001010110 +001101000101 +010001010101 +001101000100 +010000110011 +010000110011 +010101000011 +010101000101 +011001010101 +011001000100 +010100110011 +010000110011 +010001000101 +010101010110 +010101100111 +010101100111 +010101100111 +010001010110 +001101000100 +010000110100 +010001000101 +010001010101 +010001010110 +010001010110 +010101010110 +010101000101 +010001000100 +001101000100 +001101000101 +001101000100 +001100110011 +001100110011 +010000110100 +001101000100 +001101000100 +001100110100 +001100110011 +010000110011 +010000110011 +010001000100 +010001000100 +010001010101 +010101010101 +010101010101 +010101010100 +010101010101 +010101100110 +011001100111 +011001100111 +011001100111 +011001100110 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010101 +011001100110 +011101111000 +011101111000 +011101111000 +011001110111 +010101100101 +010101010101 +011001100110 +011001100110 +011001100111 +011001100111 +011101100110 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +011101100101 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100100 +011101100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000111 +100110000111 +101010011000 +101010101001 +101010101001 +101010101001 +101010011000 +100110011000 +100110000110 +100101110110 +100101110110 +100101110110 +100101110101 +100101110110 +100101110110 +100001110111 +100010000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100101110101 +100101110101 +100001110100 +100001100100 +100001110100 +100001110100 +100001110100 +100101110100 +100110000101 +101010000101 +101110010110 +101110010110 +101110010101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001110101 +011101100101 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101110101 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +010101010011 +010101010011 +010101010100 +010101010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +001000100010 +001100110011 +010000110011 +001100110011 +001100110011 +010000110011 +010101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +001000010001 +001000010001 +001000100010 +001100110011 +001101000101 +001101000101 +001101000110 +001101000110 +010001010110 +010001000110 +010001000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001000110 +010001000101 +010001010110 +010001010111 +010001010111 +010001010111 +010001010110 +010001010110 +010001000101 +010000110100 +010000110011 +010101000100 +010101010101 +011001010110 +011001000101 +010101000011 +010000110011 +010001000101 +010101010111 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010101010110 +010101010110 +010101010101 +010001010101 +010001010110 +010001010101 +010001000101 +010001000100 +010001000100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000100 +010101000100 +010101000101 +010001000101 +010101010101 +010101010101 +011001010101 +010101010101 +010101010101 +010101100110 +011001100111 +011101100111 +011001100111 +011001100110 +011001010100 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101010011 +011001010101 +011001100110 +011101110111 +011101111000 +011101111000 +011001100111 +011001100101 +011001010101 +011001100101 +011001100110 +011001100110 +011101100111 +011101100110 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001010100 +100001010100 +100001010100 +011101010100 +011101010011 +011101010100 +100001010100 +100001100100 +100001100100 +100001100100 +100001010100 +100001010100 +100001010100 +100001010100 +100001010100 +100001010100 +100001010100 +100001100100 +100001100100 +100001010100 +100001010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100101100100 +100101100101 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100001100100 +011101100100 +011101100100 +011101100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000111 +100110000111 +101010011000 +101010101001 +101010101001 +101010011001 +101010011000 +100110000111 +100101110110 +100101110110 +100101110110 +100101110110 +100101110101 +100101110110 +100001110110 +100001110111 +100001110111 +100001110111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100101110110 +100001110101 +100001100100 +100001100100 +100001100100 +100001110100 +100001110100 +100001110100 +100101110100 +100101110101 +101010000110 +101010010110 +101110010101 +101110010110 +101110010110 +101010010110 +101110010110 +101010010111 +101010010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +101010000101 +100101110101 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001110101 +100001110101 +011101100101 +100001100100 +100001100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +100001110101 +100001110110 +100001110110 +100001110110 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001000011 +010101000011 +010101010011 +010101010011 +010101010100 +010101010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000110011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100011 +001000100010 +001100110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101010100 +000100010001 +000100010001 +000000000000 +000000010000 +000000010000 +000100010001 +000100010001 +001000100001 +001000100010 +001100110100 +001101000101 +010001010110 +010001010111 +010101010111 +010101100111 +010101100111 +010101100111 +010001100111 +010001010111 +010001010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101101000 +010101101000 +011001101000 +011001101000 +010101101000 +010101100111 +010101010110 +010101000101 +010101010110 +010101010110 +011001010110 +010101010101 +010101000100 +010101000100 +010101010110 +010101100111 +011001111000 +011001111000 +011001101000 +010101100111 +010101100111 +010101010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010001010101 +001101000100 +001101000011 +010001000100 +010101000100 +010101000100 +010101000101 +011001010101 +011001010110 +011001010110 +011001010110 +011001010101 +011001010101 +011001010101 +011001100110 +011101100111 +011101100111 +011101100111 +011101100110 +011001010101 +010101000011 +010001000011 +010001000011 +010001000011 +010101010011 +010101010100 +011001010100 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100100 +100001100100 +100001100101 +100001110101 +100001110110 +100001110110 +100010000111 +100010000111 +100110000111 +101010010111 +101010011000 +101010101001 +101010101001 +101010011000 +101010011000 +100110000111 +100101110110 +100101110110 +100101110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001110111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100101110110 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100101110100 +100101110101 +101010000101 +101110010101 +101110010110 +101110010110 +101010000110 +101110010110 +101010010111 +101010010111 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101110010110 +101010000110 +101010000101 +100101110101 +100001110100 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000110010 +001000110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100011 +001000110011 +010000110011 +010101000100 +010101010100 +010101010100 +010101000011 +010101010011 +001100100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +001000110011 +001101000101 +010001010110 +010001010111 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +011001111000 +011001111000 +010101111000 +010101101000 +010101100111 +010101010111 +010101010111 +010101100111 +010101100111 +010101010110 +010101000101 +010001000101 +010001010110 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010111 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010101 +010001000100 +001101000011 +010001000011 +010001000011 +010101000100 +010101000101 +011001010110 +011001100111 +011001100111 +011001100111 +011001010101 +011001010101 +011001010110 +011101100110 +011101100111 +011101100111 +011101100111 +011101100110 +011001010101 +010101000011 +010001000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010101 +011101100111 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100100 +100001100100 +100001100101 +100001110110 +100001110110 +100110000111 +100110000111 +100110000111 +101010011000 +101010011000 +101010101001 +101110101001 +101010101001 +101010011000 +101010011000 +100110000111 +100110000111 +100110000110 +100101110110 +100101110110 +100101110110 +100101110110 +100101110110 +100101110110 +100110000111 +101010001000 +101010011000 +101010011000 +101010011000 +101010001000 +100110001000 +100110000111 +100101110110 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100101110100 +101010000101 +101110010101 +101110010110 +101010000110 +101010000110 +101110010110 +101010010111 +101110010110 +101110010110 +101110010110 +101010010110 +101010000101 +101010000101 +101010000101 +100101110101 +100001110101 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100101 +100001110101 +011101100100 +011101100100 +011101100101 +011101100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000100010 +001000100011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +001000110011 +010001000011 +010101010100 +010101010100 +010101010100 +010001000011 +010101000011 +010000110011 +010000110011 +001100100010 +001000100010 +000100010001 +000000010001 +000100010001 +000000010001 +000100100010 +001000110011 +001000110100 +001101000101 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001100110100 +001100110011 +001100110100 +001101000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010101010101 +010001010101 +010001010101 +010001000101 +010001010101 +010001000101 +001101000011 +001100110010 +001100110011 +010001000011 +010101000100 +010101010101 +011001100110 +011001100111 +011001100111 +011001100110 +011001010110 +011001010101 +011001010110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +010101010100 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +011001010100 +011101100111 +011101111000 +011110001000 +011110001000 +100010001000 +011101111000 +011101110111 +011101100110 +011101100101 +011101100101 +011101100101 +011101010101 +011101010101 +011101100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100100 +011101100100 +011101100100 +100001110101 +100001110110 +100110000111 +100110000111 +100110001000 +101010011000 +101010011001 +101110101001 +101110101001 +101010011000 +101010011000 +100110001000 +100110000111 +100110000111 +100001110110 +100001110110 +100001110110 +100101110110 +100001110110 +100001110101 +100001110101 +100101110110 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110000111 +100101110110 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100101110100 +101010000101 +101010000101 +101010000101 +101010000101 +101010010110 +101110010110 +101010010110 +101110010110 +101010010110 +101010000110 +101010000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000110010 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001000011 +010101010100 +010101010100 +010001000011 +010001000011 +010101010011 +010101000100 +010101000011 +010000110011 +001100100010 +001000100001 +000100010001 +000000010000 +000000010001 +000100010001 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001000110100 +001000110100 +001100110100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000101 +001101000100 +001101000100 +001100110100 +001100110100 +001100110100 +001101000101 +001101000101 +001101000100 +001000100011 +000100010001 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001000110011 +001100110011 +001100110011 +001100110010 +001100110011 +010001000011 +010001000100 +010101010101 +010101010110 +010101010110 +010101010110 +010101010110 +011001010110 +011001010110 +011001100110 +011001100111 +011001100111 +011001100111 +011001110111 +011001100111 +011001100110 +011001010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +011001100110 +011001110111 +011001111000 +011001111000 +011101111000 +011101110111 +011101110111 +011101100111 +011001100110 +011001100110 +011001100101 +011101010101 +011101010100 +011101010100 +011101010100 +011101010100 +100001100100 +100001100100 +100001100100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010100 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100101 +011101100100 +011101100100 +011101100101 +100001110110 +100001110111 +100110000111 +100110000111 +100110011000 +101010011001 +101010011001 +101010011000 +100110011000 +100110000111 +100110000111 +100110000111 +100001110111 +100001110110 +100001110110 +100001110101 +100001110110 +100001110101 +100001100100 +100001100100 +100001110110 +100001110110 +100001110111 +100101110111 +100110000111 +100001110111 +100101110111 +100110000111 +100101110110 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +011101100011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100101110101 +100110000101 +100110000101 +101001110101 +101010000101 +101010000101 +101010000101 +101010000101 +101010000101 +100110000101 +100101110101 +100101110101 +100001110100 +100001110100 +100001110100 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101110101 +100001110110 +100001110110 +011101100101 +011101100101 +011101100101 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001000011 +010101010100 +010101010100 +010101010100 +010101000100 +010101010011 +010101000100 +010101000100 +010000110011 +001100110010 +001000100010 +000100010001 +000100010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000110011 +001000110011 +001100110100 +001100110100 +001100110100 +001000110100 +001000110100 +001000110100 +001000110100 +001100110100 +001100110100 +001000110011 +001000110100 +001101000100 +001101000101 +001101000100 +001000100011 +000100100010 +001000100010 +001000110011 +001100110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +010000110100 +010000110100 +010000110011 +001100110011 +001000110011 +001100110011 +001100110011 +001100110010 +001100110011 +010001000100 +010001000100 +010001000100 +010101010101 +010101010101 +010001010101 +010001010101 +010101010110 +011001100110 +010101100110 +010101100110 +010101100111 +010101100111 +011001100111 +011001100111 +011001100110 +011001100110 +010101010101 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010101010101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +011101010101 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +100001100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +100001010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010011 +011001010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100001110101 +011101100110 +011101110110 +100001110110 +100001110111 +100010000111 +100110000111 +100110001000 +100110011000 +101010011000 +101010011001 +100110011000 +100110000111 +100010000111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110110 +100001110110 +100001110111 +100101110111 +100001110111 +100001110111 +100001110111 +100101110111 +100101110110 +100001110110 +100001100101 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100001110101 +100001110100 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110100 +100001110100 +100001100100 +100001110101 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011001100101 +011101100101 +011101110110 +100001110110 +011101110101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000100 +010001000100 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100010010 +000100010010 +000100100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +000100100010 +000100100010 +001000110011 +010001000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010100110011 +010101000100 +010000110011 +001100110010 +001000100010 +000100100001 +000100010001 +000000010001 +000000010001 +000100010010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000110011 +001000110011 +001000110100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +001101010101 +001101000101 +001101000100 +001100110100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001000101 +010001000101 +010001000101 +001101000101 +001101000100 +001101000100 +001101000011 +001101000011 +001101000100 +010001000101 +010001000101 +010001010101 +010001010101 +010101010101 +010001010110 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +011001100111 +011001100111 +011001100111 +010101100110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100110 +011001100111 +011001100111 +011001110111 +011001110111 +011001100110 +011101010101 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +100001100100 +100001100100 +011101100100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +100001010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010100 +011101010011 +011101010100 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110110 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100110000111 +100110001000 +100110001000 +101010011000 +101010011001 +101010011000 +100110001000 +100110000111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100010000111 +100110000111 +100110000111 +100001110111 +100101110111 +100110000111 +100101110111 +100001110110 +100001110101 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001100100 +100001100100 +100001110100 +100001110100 +100001110101 +100001110101 +100001110101 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011001100100 +011001100100 +011101100101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +010101000100 +010101000100 +010001000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000100 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +010000110010 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100010 +000100100010 +001100110011 +010001000011 +010101010100 +010101010100 +010001000011 +010001000011 +010101000011 +010000110011 +010000110011 +010000110011 +001100100010 +001000010001 +000100010001 +000100010001 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001100110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001010101 +010001000101 +010001000101 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001010101 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010101 +010001000101 +001101000101 +001101000100 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001010101 +010001010101 +010101010110 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101100101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010110 +011001010101 +011001010101 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +100001010100 +100001100100 +100001100100 +100001010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010100 +100001100100 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100101100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110110 +100101110110 +100001110110 +100001110110 +100001110111 +100001110111 +100010000111 +100010000111 +100110000111 +100110001000 +100110001000 +100110001000 +100110000111 +100110000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100001110110 +100001110101 +100001100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011101100100 +011101100101 +011101110110 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +000100100010 +000100100010 +001100110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010011 +001100100010 +001100100010 +001100100010 +001000100001 +000100010001 +000100010001 +000000010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110100 +001100110100 +001100110100 +001000110100 +001000110011 +001100110100 +001101000100 +001101000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001101000100 +001101000100 +001100110100 +001100110011 +001100110011 +001100110100 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010100 +010101010101 +010101010101 +010101010101 +010101010100 +010001010101 +010101010100 +010101010100 +010101000100 +010001000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +011001000011 +011101010011 +011101010100 +011101010100 +011101010100 +100001010100 +100001010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100100 +100001100101 +100001100101 +100101100101 +100101100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +011101100101 +011101100101 +100001110101 +100001110110 +100001110110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000110 +100001110110 +100001110101 +100001100101 +100001100101 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001110110 +100101110110 +100101110110 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011101100100 +011101100101 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +001100110011 +010001000011 +001101000011 +001101000011 +010101010100 +011001100101 +011101110101 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010000 +000000000000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100011 +001000110011 +001100110011 +001100100010 +001000100010 +001000100001 +001000100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110010 +001100110010 +001100110011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010000110010 +010000110010 +010101000011 +011001000011 +011101010100 +011101010100 +011101010100 +011101010100 +100001010100 +100001010100 +100001100100 +100001010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +100001010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100100 +100101100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101110101 +100101110101 +100101100101 +100001100101 +100001100100 +100101110101 +100101110101 +100001100100 +011101100011 +011101100100 +011101100100 +100001110101 +100001110101 +100110000110 +100110000111 +100110000111 +100110000110 +100101110110 +100001110101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101010100 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011101010100 +011101100100 +011001010100 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001100110011 +001101000011 +001101000011 +010001010101 +011001100111 +100010000111 +100110011000 +001100100001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010000 +000100000000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100110010 +001100110011 +001100100010 +001100110011 +001100110100 +001100110011 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001000011 +011001010011 +011101010100 +011101010100 +011101010100 +011101010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101110101 +100101110101 +100001100101 +011101100100 +011101010011 +100001100100 +100001110101 +100001100100 +011101100011 +011101100100 +011101100100 +100001110101 +100001110110 +100110000110 +100110000110 +100110000110 +100110000110 +100101110110 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100011 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +001000100010 +001100110011 +001101000011 +010001000100 +010101100110 +011101111000 +100010011001 +101010101010 +001100100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +010000110100 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +011001000100 +011001010100 +011001010100 +011001010100 +011001010011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011101010011 +011101010100 +011101010100 +011101010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101000011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101110101 +100101110101 +100001100100 +011101010011 +011001010011 +100001100100 +100001110101 +100001100100 +011101100011 +011101100011 +011101100100 +100001110101 +100101110110 +100110000110 +100110000110 +100110000110 +100101110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001110101 +100001100101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110100 +100001110100 +100001110101 +100101110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +100001100100 +011101100100 +011101100011 +011101100100 +011101100100 +100001100100 +100001110100 +100001110100 +100001100100 +100001100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010101000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001100110011 +010001000100 +010001000100 +010101100111 +011110001000 +100110011001 +101110111001 +001100100010 +001100100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +001000010010 +001000100010 +001000100001 +001000010001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010101000011 +010101000011 +010101000100 +011001000100 +011001000100 +011001000100 +011001000100 +011001000100 +011001000100 +010101000100 +010001000011 +010000110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +011001000011 +011001010100 +011001010100 +011001010011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001010011 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101000011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100100 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100001100100 +011101010100 +011101010011 +100001100100 +100001110101 +100001100100 +011101010011 +011101100011 +011101100100 +100001110101 +100001110110 +100110000110 +100110000110 +100001110101 +100001110101 +100001100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001110101 +100001110100 +100001100100 +011101100011 +011101100011 +100001110100 +100001110100 +100001110100 +100001100100 +011101100011 +011101100100 +011101110100 +100001110100 +100001110100 +011101100011 +011101100011 +011101100100 +100001110100 +100001110100 +100001110100 +100001110100 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101100100 +011101010100 +011101100100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010101000100 +010101000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110010 +001000100010 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +001000100010 +001100110011 +010001000011 +010001010100 +011001100111 +100010001001 +100110011001 +101110111010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110011 +010000110011 +010001000011 +010101000011 +011001000011 +011001000011 +011001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101000011 +011101000011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100001100101 +100001100100 +100001100100 +100001100101 +100001110101 +100001100100 +011101100011 +011101100100 +011101100100 +100001110101 +100001110110 +100001110110 +100001110101 +100001110101 +100001100100 +011101100100 +011101100011 +011101100011 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +100001100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101110011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101110100 +011101110100 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001100100 +011001100101 +011001100101 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010001000011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100011 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +001000100010 +001100110011 +010001000011 +010001010100 +011001110111 +100010001001 +100110011001 +101110111010 +001100100011 +010000110011 +001100100011 +001100100010 +001000100001 +001000010001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +011001000011 +011001010100 +011001010100 +011101010100 +011101100101 +011101010100 +011101010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010011 +011101000011 +011101010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100001110101 +100101110101 +100101110101 +100001100101 +011101100100 +011101100100 +100001110101 +100001110101 +100001110110 +100001110110 +100001110101 +100001100101 +011101100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100011 +011101010011 +011001010011 +011001010011 +011101010011 +011101100011 +011101010011 +011101010011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011101100101 +011101110101 +011101100101 +011101100100 +011101100100 +011001100100 +011001100100 +011001100101 +011001100101 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100011 +001100110011 +001100110011 +001000100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +001000100010 +001100110011 +010001000011 +010001010100 +011001110111 +100010011001 +100110011001 +110010111010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010101000011 +011001010100 +011001010100 +011101010100 +011101100101 +011101010100 +011101010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101000011 +011101010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101100101 +100001100101 +100001100101 +100101110101 +100101110101 +100001110101 +011101100100 +011101100101 +100001110101 +100001110110 +100001110110 +100001110101 +100001110101 +011101100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100011 +011101010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101010011 +011101010011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +000100100010 +001000100010 +001100110011 +001100110100 +001100110011 +001000100011 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001100110011 +010001000011 +010001010101 +011001110111 +100010001001 +100110011001 +110010111010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100010 +001000100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110001 +010000110010 +010101000011 +011001000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100001100101 +100001010100 +011101010011 +100001100100 +100101110101 +100001110101 +100001100101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110101 +100001100101 +011101100100 +011001010011 +011001010011 +011101010011 +011101010011 +100001010100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +011101100100 +011101010011 +011001010011 +011001010011 +011001010011 +011101010011 +011001010011 +011101100011 +011101100011 +011101100011 +011101100011 +011101010011 +011101010011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010100 +011001100100 +011001100100 +011001100011 +011001010011 +011001100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110100 +001100110011 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +001000110010 +010001000011 +010001000011 +010101010100 +011001100110 +011101110111 +100010001000 +101110101000 +001100100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000010010 +001000100010 +001000100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +001000100001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100100001 +001100100001 +001100110010 +010101000011 +011001000011 +011001000011 +011001000011 +011101010100 +011101010100 +011101010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100001100101 +011101010011 +011001000011 +100001100100 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101010011 +011001010011 +011001010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101010011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101010100 +011101010011 +011101010100 +011101010100 +011101010100 +011101010100 +011001010011 +011001010011 +011101010100 +011101010100 +011101100100 +011101010100 +011101010011 +011101010011 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001100100 +011101100100 +011001100100 +011001010011 +011001010011 +011001010011 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100001 +001000100010 +001000110011 +001000110100 +001000110101 +001000110100 +000100110011 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +001000110010 +010001000011 +010101010100 +010101010100 +011001100101 +011001100101 +011001100101 +100001110110 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100001 +001100100010 +001100100010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +001100110010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +011001000011 +011001000011 +011001000011 +011101010100 +011101010100 +100001010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010011 +011001010011 +011001010011 +011101010100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100001100101 +011101010100 +011101010011 +100001100100 +100101110101 +100101110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101100100 +011101010100 +011001010011 +011001010011 +011001000011 +011001000011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101010100 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101010011 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101010100 +011101010011 +011101010011 +011101010100 +011101010100 +011101010100 +011001010011 +011001010011 +011101010011 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001100011 +011001100011 +011001010011 +010101010011 +010101010011 +011001010011 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000110100 +001001000110 +001101011000 +001001011000 +001001011000 +001001000111 +001001000110 +000100110100 +000100100011 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +001000110010 +010001000011 +010101010100 +011001100100 +011001010100 +011001010100 +010101010100 +011001010100 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +001000010001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010010 +001000010010 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +010000110010 +010000110011 +010000110011 +010000110010 +001100110010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +011001000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011001010011 +011001010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100101110110 +100101110110 +100001110110 +100001110101 +100001110101 +100001110101 +011101100101 +011101100100 +011001010011 +011001010011 +010101000011 +011001000011 +011001000010 +011001000011 +011101010011 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101100011 +011101100100 +100001100100 +011101100011 +011101010011 +011101010011 +011101100011 +011101100011 +011101100100 +011101100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010100 +011101010100 +011101010100 +011001010100 +011001010100 +011101010011 +011101010100 +011001010011 +011001010011 +011101010011 +011101010011 +011101010100 +011101010100 +011001010100 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +010101010011 +011001010011 +010101010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +000100100010 +001000110100 +001101011000 +001101101010 +001101111011 +001101111011 +001101111011 +001101111011 +001101101010 +001001011000 +001000110101 +001000100010 +001000100010 +001000100010 +000100100010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110010 +010001000011 +011001010100 +011001100101 +011001100100 +011001010100 +010101010100 +011001010100 +001000010001 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010010 +001000100010 +001000100010 +001000100001 +001000010010 +001000100010 +001000100010 +001000100010 +001000010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +010000110011 +010000110011 +010000110011 +001100110010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010000110011 +011001000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011001000011 +011001000011 +011101010011 +011101010100 +011101010100 +011101010100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100101110110 +100101110110 +100101110110 +100001110110 +100001110110 +100001110101 +100001110101 +011101100101 +011101100100 +011001010011 +010101000011 +010101000010 +010101000010 +011001000011 +011001000011 +011101010011 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +011101010100 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101100011 +011101100100 +100001100100 +011101100100 +011101010011 +011101010011 +011101010011 +011101100011 +011101100100 +011101100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010011 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010011 +011001010011 +011001010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001100100 +011001100100 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +011001010011 +011001010100 +011001100100 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +000100100010 +001000100011 +001101000110 +010001101010 +010010001011 +010010001100 +010010001100 +010010001100 +010010001100 +010010001100 +001101111011 +001001011000 +001000110011 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +000000010001 +000100010001 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110010 +010001000011 +011001100100 +011001100101 +011001010100 +010101010100 +010101010100 +011001010100 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100010 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100001 +001100100001 +001100100010 +010000110010 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011001000011 +011001000011 +011001000011 +011001010011 +011001010011 +011001010011 +011101010100 +011101010100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100110 +100001100101 +100001100101 +100101110110 +100101110110 +100110000110 +100101110110 +100001110110 +100001110110 +100001100101 +011101100100 +011101010100 +011001010011 +010101000011 +010101000011 +010101000011 +011001000011 +011101010011 +011101010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +011001010100 +011001010100 +011101010011 +011101010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010011 +011001010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100011 +001001000111 +001101101011 +010010001100 +010110001100 +010110011100 +010110001100 +010110001100 +010110001100 +010010001011 +001101011001 +001000110101 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +001100110010 +010001000011 +011001010100 +011001100100 +010101010100 +010101010100 +010101010100 +011001100100 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100010 +001000100010 +001000010010 +001000010010 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001100100010 +010000110010 +010101000011 +011001000011 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +011001000011 +010101000011 +010101000010 +010101000011 +010101000011 +011001010011 +011101010100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101010100 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100101 +100001100100 +100001110101 +100101110110 +100110000110 +100101110110 +100001110110 +100001110101 +011101100101 +011101100100 +011001010011 +011001010011 +010101010011 +011001010011 +011001000011 +011001010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001000011 +011001000011 +011001010011 +011001010011 +011001010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011001010100 +011001010100 +011101010100 +011101100100 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011101100100 +011101100100 +011101100100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101010010 +010101010011 +011001010011 +011001010100 +011001010100 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100110010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100011 +001001000110 +001101101001 +010010001011 +011010011100 +011010011101 +011010011101 +011010011101 +010110011100 +010010001011 +001101011001 +001000110101 +001000100011 +001000100010 +001000100010 +001000110010 +000100100010 +000100100010 +000100010001 +000100010010 +000100100010 +001000100011 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +000100010010 +000100100010 +001100110011 +010101000011 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +011001100100 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010010 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100100010 +001100100001 +001100100001 +010000110010 +010101000011 +011001000011 +011001000011 +011001000011 +011001010011 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +010101000011 +010101000010 +010000110010 +010000110010 +010001000010 +010101000011 +011001010100 +011101010100 +100001100101 +100001100101 +100001100101 +011101100100 +011001010100 +011001000011 +011101010100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100100 +011101100100 +100001100101 +100101110110 +100110000111 +100001110110 +100001110110 +100001100101 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001000011 +011001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010011 +011001010011 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101010100 +011001010100 +011101010100 +011101100100 +011101010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101010011 +011001010011 +011001010100 +010101010100 +010101000011 +010101000011 +011001010100 +011001010100 +011001010101 +011001010101 +011001010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001100110010 +010001000011 +010101000100 +010101010100 +010101010100 +010101010100 +010001010101 +010001010101 +010001000101 +010001000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000110 +001101011000 +010001111010 +010110001100 +011010001100 +011010011100 +011010011100 +010110001011 +010001111010 +001101010111 +001101000101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110100 +001101000100 +001101000100 +001101000100 +001101000100 +001000110100 +000100100010 +000100010001 +000100010001 +000100100010 +001100110011 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000010001 +000100010001 +000000010000 +000000010001 +000000010001 +000000010001 +000000010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110010 +001100110010 +001100100010 +001100100001 +001100100001 +010000110010 +010101000011 +011001000011 +011001000011 +011001000011 +011001010011 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +010101000011 +010101000011 +010000110010 +010000110010 +010101000011 +010101000011 +011001010100 +011101010100 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +011101010100 +011101010100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +011101100101 +011101100100 +100001110101 +100101110110 +100110000111 +100001110110 +100001110110 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010011 +011101010011 +011001010011 +011001010011 +011001000011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010011 +011101010100 +011101100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101010101 +011001010101 +011101010101 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101100100 +011101100100 +011101100100 +011101010100 +011101100100 +011101100100 +011101100101 +011101100101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000011 +011001010011 +011001010100 +011001010100 +010101010100 +010101010011 +011001010100 +011001010100 +011001100101 +011001010101 +011001010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001100110011 +001000100011 +001000100011 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011101100101 +011101100101 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010111 +010001101000 +010001101001 +010001111010 +010101111010 +011001111010 +010101111001 +010001101000 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001101000100 +001101000100 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001000110011 +000100100010 +000100010010 +001000100010 +001100110011 +010101010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001100100 +011001010100 +001100100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000000010000 +000000010001 +000000010001 +000000010001 +000000010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100100010 +001100100001 +001100100001 +010000110010 +010101000011 +011001000100 +011001000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +011001000011 +011001000011 +011001000011 +010101000011 +011001000011 +011001010100 +011101010100 +011101100101 +100001100101 +100001100101 +011101100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001110101 +011101110110 +100001110110 +100110000111 +100110000111 +100001110110 +100001110110 +011101100101 +011101100101 +011101010100 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001000011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +011001000011 +011001010100 +011101010100 +011101100101 +011101100101 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001000010 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010100 +011101100100 +011101010100 +011001010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101110100 +011101110101 +011101110101 +011101110100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001010100 +011001010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110010 +001000100010 +001000100010 +001000100010 +001100110011 +011001010100 +011101110101 +011101110101 +011101110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +010101100110 +010101010110 +010001010110 +001101010110 +001101010110 +001101010110 +010001010110 +010101010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +001101000101 +001101000101 +001101000100 +001101000100 +001101000101 +001101000101 +010001010110 +010001010110 +010001010110 +001101000101 +001000110011 +000100100010 +000100100010 +001000100010 +001100110011 +010001000011 +010101010100 +010101010100 +011001010100 +011001100100 +011001100100 +011001010100 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000000010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100001 +001100100001 +010000110010 +010101000011 +011001000011 +011001000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +011001000011 +011001000011 +011001010100 +011001010100 +011001010100 +011101010100 +011101010100 +011101100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110110 +100001110110 +100010000111 +100110001000 +100110000111 +100110000111 +100001110110 +011101100110 +011101100101 +011101100101 +011101010100 +011001010011 +011001000011 +011001010100 +011001010100 +011001010011 +011001010011 +011001000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +011001010011 +010101010010 +010101000010 +011001000010 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101100100 +011101010011 +011001010011 +011001100100 +011101100100 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011101100101 +011101100101 +011101100100 +011101100100 +011001100011 +011001100011 +011001100011 +011001100011 +011001100100 +011001010011 +010101010011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +011001100101 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110101 +011101110101 +011101110110 +011101110110 +011101110110 +011001100110 +010101010101 +010001010101 +001101000101 +001001000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001001000100 +001000110100 +001001000100 +001001000100 +001001000100 +001001000100 +001001000100 +001001000100 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +001000110010 +001000110011 +001101000011 +010001010100 +010101010100 +011001010100 +011001010100 +010101010100 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000100010 +001000110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000110001 +001000110010 +001000100001 +001000100010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001100100001 +001100100010 +010000110010 +010101000011 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001010100 +011001000011 +011001000011 +011001000011 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +100001010101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +011101100100 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100101110110 +100001110111 +100010000111 +100110001000 +100110000111 +100110000111 +100001110110 +011101100101 +011101100101 +011001010100 +011001010100 +010101000011 +010101000011 +011001000100 +011001000011 +011001010011 +011001000011 +010101000011 +010101000010 +010101000010 +010000110010 +010000110010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101000010 +010101000010 +011001000010 +011001000011 +011001010011 +010101000011 +010101000011 +011001010011 +011001010011 +011001010011 +011101010100 +011001010011 +011001010011 +011001100011 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100011 +011001100011 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010100 +011001010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101010100 +010101010100 +010001000011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010000110011 +011001100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011001100101 +010101010101 +010001010101 +001101000101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000011 +010001010100 +010101010100 +010101010100 +010101010100 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100100001 +000100100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000110001 +001000100001 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001010100 +011001010100 +011001000011 +010101000011 +011001000100 +011001010100 +011001010100 +011101010100 +011101010101 +011101010101 +011101010101 +011101010101 +100001010101 +100001100100 +100001100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001110101 +100101110110 +100101110110 +100001110110 +100110000111 +100110000111 +100110000111 +100101110110 +100001110110 +011001100101 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +010101000011 +010101000010 +010101000010 +011001000011 +010101000011 +010101000011 +010101010010 +011001010011 +011001010011 +011001010011 +011101010011 +011001010011 +011001010011 +011001010100 +011101100100 +011101100101 +011101110110 +011101100101 +011101100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011001100011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101010010 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101000100 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110010 +001100100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011001100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +011001100101 +010101010101 +010001010101 +010001010101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001001000011 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001101000011 +010001000100 +010001010100 +010101010100 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001100110010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000100 +011001000011 +010101000011 +010101000011 +011001000011 +011001010100 +011001010100 +011001010100 +011101010101 +011101010101 +011101010100 +011101010100 +100001010101 +100001010100 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100101110110 +100101110110 +100001110110 +100101110111 +100101110111 +100110000111 +100101110110 +011101100110 +011001010101 +010101010100 +010101000011 +010101000011 +010101000011 +010001000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010010 +011001010011 +011001010011 +011001010011 +011101100100 +011101100100 +011001100100 +011001100100 +011101100101 +011101100101 +011101110101 +011101100101 +011101100101 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010010 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010100 +011001010011 +011001010011 +010101010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101010011 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011001100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110101 +010101100101 +010001010101 +001101010101 +001101000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001001000100 +001000110011 +001000110011 +001000110011 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000110011 +001000110011 +001101000011 +001101000011 +010001000100 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110001 +001100110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +011001000011 +011101010100 +011101010100 +011101010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +100001010101 +100001010101 +011101100101 +011101010101 +011001010101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110110 +100101110110 +100001110111 +100001110111 +100110000111 +100101110110 +011101100110 +011001010101 +010101010100 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010001000010 +010101000011 +011001010101 +011001100101 +011001010100 +010101000011 +010101000010 +010101000010 +010101000011 +010101010011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001100011 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001100100 +011001010100 +011001100100 +011001100100 +011001100011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +011001010011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101010011 +010101010100 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000011 +010001000011 +010000110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001100110010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011101100101 +100001110110 +100001110110 +100010000110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011101110101 +010101010101 +001101000100 +001101000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001001000100 +001001000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001101000011 +001101000011 +001100100010 +001000100010 +001000100010 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110010 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010101000011 +010101000011 +010101000011 +011001000011 +011001010100 +011001010100 +011101010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010101 +011101010100 +011101010101 +011001010101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110110 +100101110110 +100101110111 +100101110111 +100101110110 +100001110110 +011101100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010100 +011101100111 +011101111000 +011101100110 +011001010100 +010101000011 +010101000010 +010101000011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011101100100 +011101100100 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011101100101 +100001110110 +100010000110 +100010000110 +100010000110 +100001110110 +100010000110 +100010000110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011001100101 +010001010101 +001101000100 +001001000011 +001001000011 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001001000100 +001001000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001101000011 +001000100010 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110010 +001000110010 +001000100001 +001000100001 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110001 +001100110001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100001 +001100100001 +001100110010 +010000110011 +010101000011 +010101000011 +011001000100 +011001010100 +011001010100 +011001010100 +011001000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +100001100101 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110111 +100101110110 +100101110110 +100101110110 +100101110110 +100001110110 +011101100101 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010101010010 +010101010010 +010101010011 +010101010011 +010101000011 +011001010100 +011101100110 +011101110111 +011001100110 +010101010100 +010101010011 +010101010010 +010101000010 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +011001010011 +011001010011 +011001010100 +011101100100 +011101100100 +011101100101 +011101110110 +011101110101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +010001000011 +011101100101 +100001110110 +100010000110 +100010000110 +100010000110 +100010000110 +100010000110 +100010000110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011001100101 +010001010100 +001101000100 +001001000011 +001001000100 +001001000100 +001000110100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110010 +000100100011 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001001000011 +001000010001 +001000100010 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000100010001 +000100010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110010 +001000110010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100100001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110001 +001100110001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100001 +001100100001 +001100100001 +010000110010 +010000110011 +010101000011 +011001000100 +011001010100 +011001010100 +011001000100 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101010100 +100001100100 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100110000111 +100110000110 +100101110110 +100101110110 +100101110110 +100001100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101010010 +010101010010 +011001010011 +010101010011 +010101000011 +010101000011 +011001010100 +010101010100 +010101010011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +011001010011 +011001010100 +011101100100 +011101110101 +011101110101 +011101110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +010001000011 +011101110101 +100010000110 +100010000110 +100010000110 +100010000110 +100010000110 +100110000110 +100110000111 +100010000110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011001100101 +010001010100 +001101000100 +001000110011 +001001000100 +001000110100 +001000110100 +001000110100 +001000110100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000010001 +001000100010 +001000100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110010 +001000110010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110001 +001100110001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +001100110010 +010000110010 +010101000011 +011001000011 +011001000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011101010100 +011101100101 +100001100101 +100001100101 +100001100101 +011101010100 +011101010100 +100001100101 +100001100101 +100001100110 +100101110110 +100101110110 +100101110111 +100101110110 +100101110110 +100001110110 +100001110110 +100001110110 +100001100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010000110010 +010001000010 +010101000010 +010101010010 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101010010 +011001010011 +011101010100 +011101100100 +011101110101 +011101110101 +011101110101 +011001100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010001000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010001000010 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110010 +001100110010 +001100100010 +001100110010 +010000110010 +010000110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100010 +010101000100 +011101110110 +100010000110 +100001110110 +100001110110 +100010000110 +100010000110 +100110000111 +100110000111 +100010000111 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001010100 +001001000011 +001000110011 +001000110100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000010001 +001000100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101010101 +011101100101 +100001100101 +100001100101 +011101010100 +011101010100 +011101100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110111 +100101110110 +100001110110 +011101100101 +011101100101 +100001100101 +100001100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000010 +010101010010 +010101010010 +010101010010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010000110010 +010000110010 +010000110010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101010010 +011001010011 +011001010011 +011101100100 +011101100100 +011101100100 +011101110101 +011101110100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011101100100 +011101100100 +011001100100 +011001010011 +011001010011 +011001010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110010 +010000110010 +010001000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101000011 +010001000011 +010001000011 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +010101010100 +011101110110 +100010000111 +100010000110 +100001110110 +100010000110 +100010000110 +100110000111 +100110000111 +100110000111 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001010100 +001001000011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100010010 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001100100001 +010000110010 +010100110011 +010101000011 +010101000011 +010101000011 +010101000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101010100 +010101000100 +011001010100 +011001010100 +011001010100 +011101010101 +011101010101 +011101010101 +011101010101 +011101010100 +011101010100 +011101100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110111 +100101110110 +100001110110 +011101100100 +011101100100 +100001100101 +100001100101 +011001010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +011001010100 +010101010100 +010101000011 +010101000010 +010001000010 +010000110010 +010000110010 +010001000010 +010101000010 +010101010010 +010101010011 +010101010010 +010101000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010010 +011001010011 +011001010011 +011101100011 +011101100100 +011101100100 +011101110100 +011101100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011101100011 +011001100011 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000010 +010000110010 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +010001000011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010101010100 +011101110110 +100010000111 +100010000110 +100001110110 +100010000110 +100010000111 +100110000111 +100110000111 +100010000111 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001010100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +010000110010 +010000110011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +010101000011 +010101000011 +011001010100 +011001010100 +011101010100 +011101010100 +011101010101 +011101100101 +011101010101 +011101010101 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110111 +100101110110 +100001110110 +011101100100 +011101100100 +011101100101 +011101100101 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001010101 +011001010100 +011001010100 +010101000011 +010101000011 +010001000010 +010001000010 +010101000010 +010101000010 +010101010010 +010101010010 +010101010010 +010101000010 +010101000010 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010010 +010101010010 +010101010010 +010101010010 +010101010011 +011001010011 +011001100011 +011001100011 +011101100100 +011101110101 +011101110101 +011001100100 +011001100011 +011001100011 +011001100011 +011001100011 +011001100100 +011101100100 +011101100100 +011101100011 +011001100011 +011001010011 +011001100011 +011001100011 +011001010011 +011001010011 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010001000010 +010001000010 +010001000011 +010101000011 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000011 +010001000010 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +010101010100 +011101110110 +100010000110 +100010000110 +100001110110 +100010000110 +100010000111 +100110000111 +100110000111 +100010000110 +100001110110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001010100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001100100010 +001100100010 +001000100010 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +010000110010 +010000110010 +010000110011 +010100110011 +010100110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000100 +010101000011 +010101000011 +011001010011 +011001010100 +011101010100 +011101010100 +011101010101 +011101100101 +011101010101 +011101010101 +100001100101 +100001100110 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001100101 +011101100100 +011101100101 +011101010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101000011 +010101010011 +010101010011 +011001010100 +011001010101 +011001010101 +011001010100 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101010010 +010101010010 +010101000010 +010101000010 +010101000011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101010011 +011001010011 +011001100011 +011101100100 +011101110101 +011101100101 +011001100100 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000011 +010001000010 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011101110110 +100010000110 +100010000110 +100001110110 +100010000110 +100110000111 +100110010111 +100110000111 +100010000111 +100001110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001100100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100001 +001100110001 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +011001010011 +011001010100 +011101010100 +011101010101 +011101100101 +011101100101 +011101010101 +011101100101 +100001100101 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001100101 +011101100101 +011101010100 +011101010100 +011001010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010101 +011001010100 +010101010100 +010101000011 +010001000010 +010101000010 +010101000010 +010101000010 +010001000010 +010101000010 +010101000010 +010101010010 +010101000010 +010101000010 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101010011 +011001010011 +011001100011 +011101100100 +011101110100 +011101100100 +011001100100 +011001100100 +011001100011 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010001000011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +100001110110 +100010000110 +100010000110 +100001110110 +100010000110 +100110000111 +100110010111 +100110010111 +100010000111 +100001110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100001 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000011 +011001010011 +011001010100 +011101010100 +011101010101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001100101 +011101100101 +011001010100 +011001010100 +011001010100 +010101000011 +010101000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000100 +010101010100 +010101010100 +010101010100 +010101000011 +010001000011 +010101000010 +010101000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +011001010011 +011001100011 +011001100100 +011101100100 +011101100100 +011001100100 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000011 +010001000011 +010001000011 +010001000011 +010001000010 +010000110010 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101010100 +010101010100 +010101000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010000110010 +001100110010 +001100110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100100010 +001100100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +001000100010 +001000100010 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +100001110110 +100010000110 +100001110110 +100001110110 +100001110110 +100010000111 +100110000111 +100110010111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110011 +001000110011 +001000110011 +000100110011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100010010 +000100010001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010001 +001000010000 +000100100001 +000100100000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001100110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010101000011 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001110110 +100001110110 +100101110110 +100101110110 +100001110110 +100001110110 +011101100101 +011001010100 +010101000011 +010101000011 +011001000011 +010101000011 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000011 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +011001010011 +011001100011 +011001100011 +011101100100 +011001100100 +011001100011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +010101010011 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010001000010 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010011 +010001010011 +010001010100 +010001010100 +010101010100 +010101010100 +010101010011 +010001000011 +010001000011 +010001000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000010 +010001000010 +001100110010 +010000110010 +010000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000110 +100110000111 +100110000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110010 +000100110011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +001000010001 +001000010001 +001000010001 +001000100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010101000011 +011001000011 +011001010100 +011101010100 +011101010100 +011101010100 +011101010101 +011101100101 +011101100101 +100001100101 +100001100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +011101010100 +010101000011 +010000110010 +010101000011 +010101000011 +010101000011 +010001000010 +010000110010 +010001000010 +010001000011 +010001000011 +010001000011 +010001000010 +010000110010 +010000110010 +010001000011 +010001000011 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +011001100011 +011001100011 +011001100011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +011001010101 +010101010101 +010101010101 +010101010100 +011001010100 +011001100101 +010101100101 +010101100101 +010101110101 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +010101100101 +010101010101 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000010 +010001000010 +010001000010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000110 +100010000111 +100010000110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110011 +001000110011 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100110010 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100010 +000100010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110001 +001100110001 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +010000110011 +010001000011 +010101000011 +011001000011 +011101010100 +011101010100 +011101010100 +011101010100 +011101100101 +011101100101 +100001100101 +100001100101 +100001110110 +100001110110 +100001110110 +100001100110 +100001100110 +011101100101 +011101010100 +010101000011 +010000110010 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +011001100011 +011001100011 +011001100011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +011001010100 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110110 +011001110110 +011101110110 +011101110110 +011001110110 +011001110110 +011001110110 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011101110111 +011101110111 +011101110111 +011001110110 +010101010101 +010001000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +011101100101 +011101110110 +011101110110 +011101110101 +011101100101 +011101110101 +011101110110 +100001110110 +100001110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +010101100101 +001101000011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110011 +001000110011 +001101000100 +001101000100 +001000110011 +001000110011 +001101000100 +001000110011 +001000110011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100110011 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001100110010 +001100110001 +001100100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110001 +001100110001 +001100110001 +001100100001 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000011 +011001000011 +011001010100 +011101010100 +011101010100 +011101010101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100110 +100001110110 +100001100110 +100001100101 +011101100101 +011101100101 +011001010100 +011001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000010 +010001000010 +010001000010 +010001000010 +010000110010 +010000110010 +010001000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001100011 +011001100100 +011001100011 +011001010011 +011001010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010001000010 +010001000011 +010101000011 +010101010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +011001010100 +011001010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +100010000111 +100010001000 +100010000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +011101111000 +011001100110 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001000100010 +001100110010 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101000100 +011001100100 +011001100100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010100 +011001100100 +011001100101 +011101110101 +011101110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +010101100101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001001000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100110011 +001100100010 +001000100010 +001000100001 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +001000100001 +001000100001 +001000110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010101000011 +010101000011 +011001000011 +011001000100 +011001000100 +011001010100 +011101010100 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001000100 +011001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101010100 +011001010100 +011001100100 +011001100011 +011001100100 +011001100011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000011 +010101010011 +010101100100 +010101100101 +010101100101 +011001100101 +011001100101 +011001010100 +011001010100 +011001010100 +010101010011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +011001010101 +011001100101 +011001010101 +010101010101 +010101010100 +010101000100 +010101000100 +011001010101 +011101110110 +100001110111 +100010001000 +100001110111 +100010000111 +100010001000 +100010001000 +011101110111 +011101110111 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100001111000 +011001100110 +010001000011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101000011 +010101010100 +010101010100 +010101000011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +010101100101 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +010101010100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001001000100 +001000110011 +000100100010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +001100100010 +001000100010 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011101010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +011001010100 +011001010100 +011001100100 +011001100011 +011001100011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001010100 +011001010100 +011001010100 +011001100101 +010101100101 +010101100101 +010101100101 +011001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001110110 +011001110110 +011001100110 +010101010101 +010101010100 +010001000011 +010000110011 +010101000100 +011101100110 +100001111000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +011101110111 +100010001000 +100110001000 +100110001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100110001001 +100001111000 +011001100110 +010001000011 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011001010101 +010001000100 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000110011 +010001010100 +011001100101 +011101110110 +011101110110 +011101110110 +011101110110 +011001110101 +010101010100 +001101000011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001101000100 +001101000100 +001101010101 +001101010101 +001101000100 +001000110011 +001000110011 +001000100010 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +001100100010 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100001 +001100100001 +001000100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011101010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +011001010100 +011001010100 +011001100100 +011001100011 +011001100011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001100100 +011001100101 +011001100101 +011101100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011001110110 +011001110110 +011001110110 +011001110110 +011110000111 +011110000111 +100010011000 +100010011000 +100010011000 +100010011000 +100010001000 +011110001000 +011110000111 +011110001000 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011101110111 +011001110110 +011001100110 +010101010101 +010101010101 +011001100110 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100010001000 +011101110111 +011001100110 +011101110111 +100010001000 +100110001000 +100110001001 +100110001000 +100110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100110001001 +100001111000 +011001100110 +010001000011 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011001100101 +010101010100 +001101000011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +010101100101 +011001110110 +011101110110 +011101110110 +011101110110 +011001110101 +010101010100 +001101000011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001000110011 +001101000100 +001101000100 +010001010101 +001101000101 +001101000100 +001000110011 +001000110011 +001000100010 +001000100010 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001000100010 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +011001100101 +011001010100 +011001010100 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101010100 +011001010100 +011001100100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011101100101 +011101110110 +011101110101 +011101110101 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +100010001000 +100010011000 +100010011000 +100010011000 +100010011000 +100010011000 +100010011000 +100010011000 +100010011001 +100010011000 +100010011000 +100010011000 +100010011000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100111 +011001110111 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +011101110111 +100010001000 +100110001001 +100110001001 +100110001001 +100110001000 +100110001000 +100010001001 +100010001001 +100110001001 +100001111000 +100010001000 +100010001000 +100110001001 +100001111000 +011001100110 +010001000011 +001100110010 +001100110010 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +001000010010 +001000010010 +001000010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011001100101 +010101010100 +001101000011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001101000100 +010001010101 +011001100110 +011101110110 +011101110110 +011101110110 +011101110101 +010101010100 +001001000011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001101000100 +001101000100 +001101000100 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +011001100101 +011001100100 +011001010100 +011001010100 +010101010100 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010001000011 +010001000011 +010001000010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011101100110 +011101110110 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011000 +100010011000 +100010011000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100111 +011101110111 +100001111000 +100001111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100111 +011101110111 +100010001000 +100110001000 +100110001001 +100110001001 +100110001000 +100110001000 +100110001000 +100110001001 +100110001001 +100010001001 +100010001001 +100010001001 +100110001001 +100001111000 +011001100110 +010001000011 +001100110010 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011001100101 +010001010100 +001101000011 +001000110011 +001000110011 +000100110011 +000100100010 +001000110011 +001001000011 +001101010101 +010101100101 +011001110110 +011101110110 +011101110110 +011101110101 +010001010100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010010 +001000100010 +001000010010 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +001000100001 +000100010001 +000100010000 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100001 +001000100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000100 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010000110010 +010001000010 +010101000011 +010101000011 +010101010011 +011001010100 +011001010011 +011001010011 +011001100011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011101100110 +011101110110 +011001100101 +011001010100 +010101010011 +010101010011 +010101010011 +011001010100 +011101100110 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100110001000 +100110001000 +100010001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001000 +100001111000 +011001100111 +011001100110 +011101110111 +100001111000 +100001111000 +100001111000 +100010001000 +100010001000 +100010001000 +011101111000 +011001110111 +011101111000 +100010001000 +100110001000 +100110001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +011110001000 +011101111000 +011001100110 +010001000100 +010001000100 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010101 +011001100101 +011001100101 +010001000100 +001000110011 +001000110011 +000100110011 +000100110010 +000100100010 +001000100010 +001000110011 +001101010101 +010001010101 +010101100110 +011001110110 +011101110110 +011001110101 +010001010100 +001001000011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +010101010100 +010101010100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101010011 +010101010100 +011001010100 +011001100100 +011001100100 +011001100011 +011001010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001100100 +011001100110 +011101110110 +011001100101 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +011101100101 +100010000111 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100010001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100001111000 +011101110111 +011001100110 +011001100110 +011001100111 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011101111000 +011101111000 +011101111000 +100001111000 +100001110111 +100001110111 +100001111000 +100110011001 +101010101010 +100110011010 +100010011001 +100010011010 +100110011010 +100110101010 +100010011010 +100010011010 +100010001001 +011101111000 +011001111000 +011001110111 +011001100111 +011001100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101010110 +010101010110 +010101010101 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +011001010101 +011101100101 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100110010 +001001000011 +001101010100 +010001010101 +010101100101 +010101100110 +011001110110 +011001100101 +010001010100 +001001000100 +001000110011 +001000110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001100100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000110001 +001000110001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100000 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100001 +001100100001 +001100100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010100 +011001100100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011001100110 +011101110110 +011001100101 +010101010011 +010101000011 +010101000011 +010101000010 +010101000011 +011101100101 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001000 +100110001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100001111000 +011101100110 +010101010101 +010101010101 +010101100101 +011001100110 +011001100110 +011001100110 +011001100111 +011101111000 +011101111000 +011101111000 +011101111000 +011101110111 +011101100110 +011101100101 +100001110111 +101010101010 +101110111100 +101010101011 +100110011010 +100110011010 +101010111100 +101111001101 +101010111101 +101010111100 +101010111100 +100110101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110011011 +100010011010 +100010001010 +011110001001 +011110001010 +011110001001 +011101111001 +011001111000 +011001100111 +011001100110 +011001100110 +011001100110 +010101010101 +010001000100 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +011001100101 +011101110110 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100110010 +001001000011 +001101010100 +010001010101 +010001100101 +010101100101 +011001100110 +010101100101 +010001010100 +001001000011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001100100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000000000000 +000000010000 +000000010000 +000100010000 +000100100001 +000100100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000100 +011001010100 +011001010100 +011001010101 +011001010100 +011001010100 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010010 +010101000010 +010101010010 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001100101 +011101110110 +011101110110 +011001100101 +010101010100 +010101000011 +010101000011 +010101000011 +010101010011 +011101100110 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001000 +100110001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100001111000 +011101100111 +011001100101 +010101010101 +010101010101 +011001100110 +011001100110 +011001100110 +011001100111 +011101110111 +011101111000 +011001111000 +011001110111 +011001100110 +011001010101 +010101010100 +011101110111 +101010101011 +101111001101 +101010111100 +100110101010 +100110101011 +101010111100 +101111001101 +110011011110 +110011001110 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101010111101 +101010111100 +100010011011 +100010001010 +011110001010 +100010001010 +011110001001 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011001100111 +010001000100 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011001100101 +011101110110 +011001100101 +010001000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110010 +000100110010 +000100110010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001100100010 +001100100010 +001000010001 +001000010001 +001000010001 +001000010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100100010 +001000100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010100 +011001100100 +011001100100 +011001010011 +010101010011 +010101000010 +010101000010 +010101000010 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001100101 +011101110110 +011101110111 +011001100101 +010101010100 +010101010100 +010101010011 +010101010011 +011001010100 +011101100110 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100010001001 +100010001001 +011110001001 +011101111000 +011001111000 +011101111000 +011101110111 +011101110111 +011101111000 +100010001001 +100010001001 +011101111000 +011001110111 +011001100111 +011001100110 +011001010110 +011101110111 +100110011010 +101010111011 +101010101011 +100110101011 +101010111100 +101111001101 +110011011110 +110111011110 +110111011110 +110111011110 +110111101110 +110111101110 +110011011110 +101111001101 +101110111100 +101010111100 +101010111100 +100110101011 +011110001001 +011001110111 +010101100111 +011001100111 +011001100111 +011001110111 +011101111000 +011110001000 +100010001001 +011110001000 +011001100111 +010001000100 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001100110011 +001100110011 +001100110011 +010001000100 +011001100101 +011101110110 +011001100101 +010001000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010101 +010001010101 +010001010101 +010001010100 +010001010101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110010 +000100110010 +000100110010 +000100110010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010001 +000000010001 +000000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001100100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +011001010100 +011001100100 +011001100100 +011001010011 +010101010011 +010101000010 +010001000010 +010101000010 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011101110110 +011101110111 +011101100110 +011001100101 +011001100101 +011001100101 +011001010101 +011001100101 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100110001000 +100110001000 +100110001001 +100010001000 +100010001000 +100010001000 +100010001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110011001 +100110011001 +100110011001 +100110011010 +101010101010 +101010101011 +101010101011 +101010101011 +100110101011 +100110011011 +100010011010 +100010011010 +100010011010 +100110011011 +100110101011 +100110011011 +100010011010 +011110001001 +011101111000 +011101111000 +011101111000 +011101111000 +100010011001 +100110101011 +100110101011 +101010101100 +101111001101 +110011011101 +110011011110 +110111011110 +110111011110 +110111101110 +110111101110 +110111011110 +101111001101 +100110101011 +100010011010 +100010011010 +100010011001 +100010001001 +011001110111 +010101010101 +010001010100 +010001010101 +010001010101 +010101100110 +011101110111 +100010001001 +100010001001 +011110001000 +011001100111 +010001000100 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010001000100 +011001100101 +011101100110 +011001100101 +010001000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100110010 +001001000100 +010001010110 +010001010110 +001100110011 +001100110011 +001101000100 +010001010100 +010001010100 +001101010100 +001101000100 +001101000100 +001101000100 +001001000100 +001001000100 +001001000100 +001001000100 +001001000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +011001010011 +011001010100 +011001100100 +011001010011 +010101010011 +010001000010 +010001000010 +010101000010 +010101000011 +010101010011 +010101010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011001100110 +011101110110 +011101100110 +011001100110 +011001100110 +011101100110 +011101100110 +011001100110 +011101110110 +011101110111 +100001111000 +100001111000 +100001111000 +100001111000 +100001111000 +100001111000 +100010001000 +100010001000 +100010001000 +100010001000 +100001111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100110011001 +100110011010 +100110011010 +100110011010 +101010101011 +101010111011 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +100110111100 +100110101100 +100110101100 +100110111100 +100110111100 +100110101100 +100010011011 +100010011010 +100010011010 +011110001010 +011110001001 +100010011010 +100010011010 +100110101011 +100110101011 +101010111011 +101110111100 +101111001100 +101111001100 +101111001100 +101111001100 +101111001101 +101010111100 +100110101010 +011110001000 +011110001000 +100010001000 +100010001001 +011110001000 +011101110111 +010101100110 +010101010101 +010101010101 +010101010101 +011001100110 +011110001000 +011110001001 +011110001000 +011101111000 +011001100110 +010001000100 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100110010 +010001000100 +011001100101 +011001100110 +010101100101 +010001000100 +001000110011 +000100100011 +001000110011 +000100100010 +000100100010 +001101000100 +010101100110 +010001010110 +001000100010 +000100010001 +001000100010 +001000110010 +001101000011 +010001100110 +010101100111 +010001100110 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001100110100 +001100110011 +001000110011 +001000110011 +001101000100 +001001000100 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001100100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010101000100 +010001000011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +011001100101 +011001100101 +011001100100 +011001010011 +011001010011 +011001010011 +010101010011 +011001010011 +011001100100 +011001100101 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100110 +011001100110 +011001100110 +011101110110 +011101110110 +011101110111 +011101111000 +100010001001 +100010011001 +100010011010 +100110011010 +100110101011 +101010111100 +101010111011 +100110101011 +100110101011 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111101 +101010111101 +100110111100 +100110101100 +100110101100 +100110101100 +100110101011 +100010011010 +011110001001 +100010001001 +100010011010 +100110011010 +100010011010 +100010011001 +011110001000 +011001110111 +011001110111 +011001110111 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010001000100 +010001000101 +010101100110 +011001110111 +011001110111 +011001100111 +010101100110 +010001010101 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +010001010100 +011001100101 +011101100110 +010101100101 +010001000100 +001000110011 +001000110011 +001000110011 +001000110011 +000100110010 +001101000100 +010101100111 +010001010110 +001000100010 +000100010000 +000100010000 +000100010001 +001100110011 +011001110111 +011110001000 +010101100111 +001101000100 +001000110011 +001100110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001101000101 +001101000101 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010010 +000000010001 +000100100010 +001000110011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +010101010100 +011001100110 +011001100110 +010101000100 +001100110010 +001100110010 +001100110001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101000011 +010101000010 +010101000011 +010101010011 +010101010100 +011001100101 +011001100101 +011001100100 +011001010011 +011001010011 +011001010011 +011001010011 +011101100100 +011101110101 +011101110110 +011101100110 +011101100101 +011101110110 +100001110110 +011101110110 +011101110110 +011101100101 +011001010101 +010101010100 +010101010100 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011101100110 +011101110111 +011101100110 +011001010100 +010101010100 +010101000100 +010001000100 +010001000011 +010001000100 +010101010100 +010101010101 +011001100110 +011101110111 +011110001000 +100010011001 +100010011010 +100110101011 +101010111100 +101010111011 +100110111011 +101010111100 +101010111100 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101110111101 +101010111100 +100110101100 +100110101100 +101010111100 +101010111101 +101010111100 +100110101011 +100010001001 +100010001000 +100010001000 +100010011001 +100010001001 +011110001000 +011001110110 +010101010101 +010101010101 +010101010101 +010101010101 +010101100110 +011001110111 +011110001000 +100010001001 +100010011001 +100010001001 +011001110111 +010101100110 +010001010101 +010001000100 +001101000100 +001101000100 +010001010101 +010001010110 +010001010101 +010001010101 +010001010101 +001101000100 +001100110011 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010101000100 +011001100101 +011101100110 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +010001010101 +010101100111 +010101100110 +001000100011 +000100010001 +000100010001 +000100010001 +001100110011 +011001111000 +011110001001 +010101100111 +001000100010 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100010 +001101000101 +010001010110 +001000110100 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010010 +000000100010 +001000110011 +001000110011 +001000100011 +001000110011 +001101000100 +001101000100 +001000110100 +001100110100 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010101000100 +010101000100 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100110 +011101111000 +011101111000 +011001100110 +010000110011 +001100110010 +001100100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +011001100101 +011001100110 +011001100101 +011001010100 +011001010011 +011001010011 +011001010011 +011001010100 +011101100100 +011101100101 +011101100101 +011001100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +010101010101 +010101010100 +010101010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010101 +011101100110 +011101110110 +011101100110 +011001010100 +010101000011 +010000110011 +010000110011 +010001000011 +010001000100 +010101010101 +011001100110 +011101110111 +011110001000 +011110001001 +100010011010 +100110101011 +101010111100 +101110111100 +101111001100 +101111001100 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101110111100 +101010111100 +101010111100 +100110101011 +011110001001 +011110001001 +100010011010 +100110101011 +100110101011 +100110011010 +100010001001 +100010001000 +100010001000 +100110011001 +100010001000 +011101111000 +011101110111 +011001100110 +010101010101 +010101010101 +010101010101 +011001100110 +011101110111 +011110001000 +011110001000 +011110001000 +011101111000 +011001100111 +010101100110 +010101010101 +010001010101 +010001000101 +010001010101 +010001010110 +010101010110 +010101010110 +010101100110 +010101100111 +010101010110 +010001000100 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +001000010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011001100101 +011101100110 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +000100100010 +001000110011 +010101100110 +011001111000 +011001111000 +001101000101 +001000100010 +000100010000 +000100010000 +001100110011 +011001111000 +011110001010 +010101100111 +000100100001 +000100010000 +000100010000 +000100010000 +000100000000 +000000000000 +000000000000 +000100000000 +000100010000 +000100000000 +000000000000 +000100100010 +001101000101 +010001010110 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010010 +000000010010 +000100100010 +001000110011 +001000110011 +000100100011 +001000110100 +001101000101 +001100110100 +000100100010 +001000110011 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000010001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010000110011 +010101010101 +011001100110 +011001100110 +010101010100 +010101000011 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +011001100110 +011101111000 +011101111000 +011001100110 +010101000100 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +011001010100 +011001010100 +011001100101 +011101110110 +011101110110 +011001100101 +010101010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001100101 +011101100101 +011001100101 +011001100110 +011001110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011101111000 +011101110111 +011101110111 +011101110110 +011101100110 +011101100101 +011001100101 +011001100101 +011001100101 +011001100110 +011101110111 +011101110111 +011001010101 +010101010100 +010101010101 +010101010101 +011001100110 +011001100111 +011101111000 +011110001000 +011110001001 +011110001001 +100010001001 +100010011001 +100110101010 +101010111100 +110011001100 +110011001101 +110011001101 +110011001101 +101111001101 +101111001100 +101110111100 +101010111011 +100110101011 +100110011010 +100010011001 +011001110111 +010101010101 +010101010101 +011001100110 +011001100110 +011001110111 +011110001000 +100010001000 +100010001000 +100010011001 +100110011001 +100010011001 +011101110111 +011001100110 +011001100101 +010101010100 +010001000100 +010001000100 +010101100110 +011001100111 +011001110111 +010101100111 +010101100110 +010101100110 +011001100110 +011001100110 +010101100110 +010101100110 +010101010110 +010101010110 +010101100111 +011001110111 +011101111000 +011110001000 +011110001000 +011001110111 +010001010100 +001100110010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010101000100 +011001100101 +011101100110 +010101100101 +001101000011 +001000110011 +001000110011 +000100100010 +000100100010 +001000110011 +010001100110 +011110001000 +011110001001 +011001111000 +010101100110 +010001000100 +001000100001 +001101000100 +011001111001 +011110001010 +010101010110 +000100100001 +000100010000 +000100010001 +000100010000 +000100000000 +000000000000 +000000000000 +000100000000 +000100000000 +000100010000 +000100010000 +001000100010 +001101010101 +010001010110 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010010 +000100100010 +001000110100 +001000110011 +000100110011 +001001000100 +001101000101 +001000100011 +000000000000 +000000000000 +001000100010 +001000100010 +001000010001 +001000010001 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +001000010001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010001000011 +011001100111 +011110001001 +011101111000 +011001100101 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001100110 +011001100110 +011101110111 +011101110110 +011101100110 +011001010101 +010101010100 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +011001010100 +011001100100 +011101100110 +011101110111 +011101110111 +011001100101 +011001100100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +011001100101 +011001100110 +011001110111 +011101111000 +011110001001 +100010011010 +100010011010 +100010011011 +100010011011 +100010011010 +100010011010 +100010011010 +100010001001 +011110001000 +011101110111 +011001110110 +011001110110 +011001110110 +011001110111 +011101111000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101111000 +011110001001 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +100010011001 +101010111011 +110011001100 +110011001101 +101111001100 +101110111100 +101010111100 +101010101011 +100110101010 +100010011010 +100010011001 +011110001000 +011101111000 +011001100110 +010101010100 +010101010100 +010101010100 +010001010100 +010101100101 +011101110111 +100010001000 +100010001000 +100010011001 +100110011001 +100010001000 +011101110111 +011001100110 +010101010101 +010001000100 +001100110011 +001101000100 +010001010101 +010001010101 +010001010101 +001101000101 +010001000101 +010101100110 +011001110111 +011101110111 +011001110111 +011001100110 +010101100110 +010101100110 +011001110111 +011110001001 +100010011001 +100010011001 +100010011001 +011101111000 +010001010101 +001000110010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010010 +001000100010 +000100010001 +001000100010 +001000100010 +001100100010 +010101010100 +011001100101 +011101100110 +010101100101 +001101000011 +001000110011 +001000110011 +000100100010 +000100100010 +001000110011 +010001010101 +011001110111 +011110001001 +100010011010 +100010001010 +011110001000 +010101100110 +010101100110 +011110001001 +011110001010 +010001010110 +000100100010 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000000010000 +001000100010 +010001010101 +001101010101 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010010 +000100100010 +001000110100 +001000110011 +001000110011 +001101000100 +001101000101 +001000100011 +000000000000 +000000000000 +001100100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010001000011 +010101010101 +011101111000 +100010011001 +100010001001 +011101110110 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100110 +011101110111 +100010000111 +011101110111 +011001100110 +010101000100 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +011001100100 +011001100101 +011101100101 +011001100110 +011001100101 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011001100110 +011001110111 +011101110111 +011110001000 +011110001001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +011110001000 +011110000111 +011110000111 +011110000111 +011110001000 +011110001000 +100010001001 +100010011001 +100010001001 +011110001000 +100010001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101110111 +011101111000 +011110001000 +100110011001 +101110101011 +101110111011 +101010111011 +100110101011 +100110101011 +100110011010 +100010011010 +100010011001 +011110001001 +011110001000 +011101111000 +011001100110 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +011101111000 +100010001001 +100010001001 +100010001000 +100010001000 +011101110111 +011101110110 +011001100110 +010101010101 +010001000101 +001101000100 +010001000100 +010001010101 +010001010110 +010001010101 +010101010110 +010101100111 +011101111000 +011110001000 +011110001000 +011101110110 +011001100110 +010101100110 +010101100101 +011001110111 +100010001001 +100110011010 +100010011010 +100010011001 +011101111000 +010001010100 +001000110010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001100110010 +010101010100 +011001100101 +011101110110 +010101010101 +001101000011 +000100110011 +000100100010 +000100100010 +000100100010 +000100110010 +001001000100 +010001010101 +011001110111 +011110001001 +100010011010 +100110011010 +100110011010 +100010011010 +100110101011 +100010011010 +010101110111 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001000110100 +001000110011 +001100110100 +001100110100 +001100110011 +001100110011 +010001000101 +010001010110 +010001010110 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100010 +001000110100 +001000110100 +001000110011 +001101000101 +010001000101 +001000100010 +000000000000 +000000000000 +001100100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +010001000011 +011001010101 +011001110110 +011110001000 +100010011010 +100010001001 +011101110111 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010101010101 +011001100110 +011101110111 +100010001000 +100010001000 +011101110111 +010101010101 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000010 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +010101010100 +010101010100 +010101010011 +010101010100 +011001010100 +011001100100 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100100 +011001010100 +011001010100 +011001100100 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011101111000 +011101110111 +011001110111 +011101110111 +011101110111 +011110001000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011101110111 +011001100110 +010101100101 +010101010100 +010001000100 +010101010100 +011001100110 +011001110111 +011101111000 +011101110111 +011001110110 +011001100110 +011001100110 +011001100110 +011001110110 +011001100110 +010101010101 +010001000101 +010101010110 +010101100111 +011001110111 +011001110111 +011101111000 +011110001000 +100010001001 +100010001001 +011110001000 +011101110111 +011001100110 +011001100110 +011001100110 +011101110111 +100010011001 +100010011010 +100010011001 +100010001001 +011001110111 +010001000100 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001100110010 +010101010100 +011001100101 +011101100110 +010101010101 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010101100110 +011001111000 +100010011001 +100110011010 +100110101011 +100110101011 +100010011010 +011001111000 +010101101000 +010101101000 +010101101000 +010101100111 +010101100111 +010101100111 +010001100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100011 +001101000101 +001101000101 +001101000101 +001101000110 +010001000101 +000100100010 +000000000000 +000000000000 +001100100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110010 +001100110010 +010000110010 +010000110011 +001100110010 +001100110010 +001100100010 +001100100010 +010000110011 +010101010100 +011101100110 +011101110111 +100010001001 +100010011010 +100010001001 +011101110111 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011001110111 +011001100111 +011001110111 +011001100111 +011001100110 +011001110111 +011110001000 +100010001001 +100010011001 +011110001000 +011001100110 +010000110011 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010101000010 +010101000011 +010101010011 +011001010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001100101 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010101 +011001100101 +011001110111 +011101111000 +011101110111 +011001100110 +010101010101 +010101010101 +010101010101 +010101100101 +011101110111 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011001100111 +011001100110 +011001100110 +010101010101 +010001000100 +001100110011 +010001000011 +010001000100 +010101010101 +010101010101 +010101010100 +010001000011 +001100110011 +010101010100 +011001100110 +011101110111 +011001100110 +010101010101 +010101010101 +010101100110 +011001110111 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +011101110111 +011001100110 +011001100110 +010101010101 +010101100101 +011001110111 +011101111000 +011110001000 +011101111000 +011001110111 +010101010101 +001100110011 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +000100010010 +001000010010 +001000010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +011001100101 +011101100110 +010101010101 +001100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001000110011 +001101000100 +010001010101 +010001100101 +010101100110 +010101110110 +011110001000 +011110001001 +011110001001 +011001111000 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001100111 +010101100111 +010001010110 +001101000101 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +000100110011 +001101000101 +001101010110 +001101010110 +010001010110 +010001010110 +001101000100 +000100010001 +000000000000 +001000010001 +000100010001 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000010001 +001000010001 +001000100001 +001000100001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +011001010101 +011101110110 +011110001000 +100010011001 +100010011010 +100010001001 +011101110111 +011101110110 +011101100110 +011001100110 +011001100101 +011001100110 +011001100110 +011001110111 +011101110111 +100010001000 +100010011001 +100010011001 +100010011001 +100010001000 +011101110111 +011001110110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +100010011001 +100110011001 +100110011010 +100010011001 +011001110110 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110010 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010100 +011001100101 +011101110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010100 +011001010101 +010101010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101010100 +011001100110 +011101110111 +011101110111 +011001100101 +010101010100 +010101010100 +010101010100 +010101010100 +011001110110 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011001100110 +010001000100 +010001000100 +010101010101 +010101010101 +010001000011 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +011001100101 +011101110111 +011101110111 +011001100110 +010101010101 +010101010101 +010101100110 +011001110111 +011110001001 +100010011001 +100010011010 +100010011010 +100010011001 +011110001000 +011101110111 +011001100110 +010101010100 +010001000100 +010001000100 +010101010101 +010101100110 +010101010110 +010101010101 +010101010101 +010001000100 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +000100010010 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100110 +011101100110 +010101010101 +001100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010001100101 +010001100101 +010001010101 +001101010101 +001101000100 +001001000100 +001001000100 +001001000100 +001001000100 +001101000100 +001101000100 +001101000100 +001001000100 +001001000100 +001000110100 +001001000100 +001001000100 +001001000100 +001000110100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000100010 +000000010001 +000000010001 +000000010010 +000100110011 +001101000101 +001101000101 +001101000101 +010001010110 +010101100111 +010101010111 +010001000101 +001100110100 +000100010001 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000010000 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100101 +011101110110 +011110001000 +100010001001 +100010011010 +011110001000 +011101110111 +011101110110 +011101110110 +011001100110 +011001100110 +011001100110 +011101110111 +011110001000 +100010001001 +100110011001 +100110011010 +100110101010 +100110011010 +100010011001 +011101110111 +010101100101 +011001110111 +100010001001 +100010011001 +100010011001 +100010011001 +100110011001 +100110101010 +100110101010 +100110011001 +011101110111 +010000110011 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +011001010100 +011101100110 +100001110111 +100010000111 +100001110110 +011101100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100110 +011101110110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +010101010100 +010101000100 +010101010100 +011001100110 +011101110111 +011101110111 +011001100101 +010101010100 +010101010100 +010101010100 +010101010100 +011001110110 +100010001000 +100010011001 +100010001001 +100010001000 +011110001000 +011101110111 +011001110110 +010101010100 +001100110011 +010001000100 +010101010101 +010101010101 +010001000100 +001100110010 +001100110010 +010000110011 +010001000011 +010101010100 +011001100110 +011001100110 +011001110111 +011101111000 +011101111000 +011101110111 +011101100110 +011001100101 +011001100101 +011001100110 +011110001000 +100010011001 +100010011010 +100010011010 +100010011010 +100010001001 +011101110111 +011001100110 +010101010101 +010001000100 +001100110010 +001100110011 +001101000100 +010001000100 +010101010101 +010101100110 +010101100110 +010001000100 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010101 +011001100110 +011001100101 +010101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110010 +000100110011 +000100110011 +000100110010 +000100110010 +000100110010 +000100110011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000100010 +000000010001 +000000010001 +000000010010 +000100110011 +001000110100 +001000110100 +000100100011 +001101000100 +010001010110 +010101100111 +010101100111 +010101100111 +000100010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +000100010000 +000100010000 +001000010000 +000100010000 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001100100010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100101 +011101110111 +100010001000 +100010001001 +100010011001 +011110001000 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011101110111 +100010001001 +100010011001 +100110011001 +100110011001 +100110101010 +101010101010 +100110101010 +100110011001 +011101110111 +010001010100 +011001100110 +100010011001 +100110011010 +100110011001 +100110011010 +100110101010 +100110101010 +100110101010 +100110011001 +011101110111 +010000110011 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011101110110 +100010000111 +100010000111 +011101110110 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +011001100101 +011101100110 +011101100101 +011001010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +010101010100 +011001010100 +011001100110 +011101110111 +011101110111 +011001100110 +010101010100 +010101000100 +010101000100 +010101010100 +011001100110 +011101110111 +011101111000 +011101110111 +011001100110 +011001100110 +010101010101 +010101010100 +010101010100 +010101010101 +011001100110 +011001100110 +011001100101 +010101010100 +010001000011 +001100110011 +010101010100 +011001100110 +011101110111 +011110001000 +100010001001 +100010011001 +100010011001 +011110001000 +011101110111 +011001100110 +011001100101 +011001100101 +011001100110 +011101111000 +011110001001 +011110001001 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +010101100101 +010001010100 +001100110011 +001100110011 +010001010101 +011001100111 +011101110111 +011110001000 +011101111000 +011001100110 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010010 +001000100010 +001100110011 +011001100101 +011101110110 +011001100101 +010101010100 +001000110011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100011 +001000110011 +001101010100 +010001010101 +010001100101 +010001100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +001000110011 +001000110100 +001000110011 +000100100010 +000100100010 +001000110100 +001101010101 +010101100111 +010101100111 +000100010001 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100101 +100001110111 +100010001000 +100010001001 +100010011001 +011110001000 +011101111000 +011101110111 +011101110111 +011101110111 +011001110111 +100010001000 +100110011001 +100110011010 +100110011001 +100110101010 +101010101010 +101010101010 +101010101010 +100110011010 +011101110111 +010001010100 +011001100110 +100110011001 +100110101010 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +100110011001 +011101110111 +010000110011 +001100100010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010001000010 +010101010100 +011101110110 +100010001000 +100010000111 +011101110110 +010101000011 +010000110001 +001100110001 +010000110001 +010000110001 +001100110001 +001100110010 +001100110010 +001100110001 +001100110001 +001100110001 +010000110010 +010000110010 +010000110010 +011001010100 +011101100101 +011001100101 +010101000011 +010000110010 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001100110 +011001100110 +011001100110 +011001100110 +010101010100 +010001000011 +010000110011 +010001000011 +010101010100 +010101010101 +010101010100 +010001000100 +010000110011 +010000110011 +010001000011 +010101010100 +011001100110 +011101110111 +011101110111 +011001110110 +011001100110 +010101010101 +010101010100 +010001000100 +011001100110 +011110001000 +100010011001 +100010011010 +100110101010 +100110101010 +100010011001 +011110001000 +011001110111 +011001100101 +011001010101 +010101010100 +010101010101 +011001100110 +011001110111 +011001100110 +011001100110 +011101100110 +011101110110 +011101110110 +011101110110 +011001100110 +010101100101 +010001000100 +010001010100 +011001100111 +011110001000 +100010011001 +100010011001 +100010001001 +011001110111 +010001000011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011001100110 +011101110110 +011001100101 +010101010100 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001101010100 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010010 +001000110011 +001000110100 +001000110011 +000100100010 +000100100010 +000100110011 +000100110011 +001000110100 +001101000101 +001000010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +010000110011 +010001000011 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100101 +100001110111 +100010001000 +100010011001 +100010011001 +011110001001 +011110001000 +100010001000 +100010001000 +011101110111 +011101110111 +100010001000 +100110011010 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101010 +100110101010 +011101111000 +010001010100 +011001100110 +100010011001 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101010 +100110011010 +011101110111 +010000110011 +001100110010 +010101010100 +010101010100 +010101000100 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010001000010 +010101010100 +011101110110 +100010001000 +100010000111 +011101100110 +010101010100 +010001000010 +010001000010 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110001 +001100110001 +001100110001 +001100110001 +010101010100 +011101100101 +011001010100 +010000110010 +001100110001 +001100100001 +001100110001 +001100110001 +001100110001 +001100100001 +001100110001 +001100110001 +010000110001 +010000110010 +010000110001 +010000110010 +010000110010 +010001000010 +010101000011 +010101010100 +011001010100 +011001100101 +010101010101 +010101010100 +010101010101 +011001100110 +010101010101 +010001000011 +001100110010 +001100110010 +010000110011 +010000110011 +010000110010 +001100110010 +010000110011 +010101000011 +010101010101 +011101110110 +011110001000 +011110001000 +011110001000 +011101110111 +011001100110 +011001100110 +010101010101 +010101010101 +011001110111 +100010001001 +100110011010 +100110101010 +100110101010 +100110101010 +100010011001 +011101111000 +011001100110 +011001100101 +010101010100 +001100110010 +001100110010 +010001000011 +010001000100 +010001000100 +010001000100 +011001100101 +011101110110 +011101110110 +011101110110 +011001110110 +011001100110 +010101100101 +010101100101 +011001110111 +100010011001 +100110101010 +100110101010 +100010011001 +011001110111 +010001000011 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011001100110 +011101110110 +011001100101 +010001010100 +001000110011 +001000110011 +000100100010 +001000110011 +001000110011 +001000110011 +001001000100 +001101010100 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100110010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +001000110011 +001000110100 +001000110011 +000100100010 +001000100011 +001000110011 +000100110011 +000100110011 +000100110011 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +010001000101 +010101100111 +010101010110 +010001000100 +001100110010 +001100110010 +001100110010 +010000110011 +011001100101 +100001110111 +100010001000 +100010001001 +011110001001 +011110001000 +011110001000 +100010001000 +100010001000 +011101110111 +011101110111 +100010001000 +100110101010 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101010 +100110101010 +011101111000 +010001000100 +010101100110 +100010011001 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101011 +100110011010 +011101110111 +010001000011 +010101010100 +011101110111 +011101110111 +011001100110 +010101000100 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100001 +001100100010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000011 +010001000011 +010000110010 +010101010011 +011101110110 +100010000111 +100010000111 +011101110110 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +011001100101 +011101100110 +011001100101 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100100001 +001100100001 +010000110001 +010000110001 +010000110010 +010101000011 +011001010100 +011001100101 +010101010101 +010101010100 +011001010101 +011001100110 +010101010101 +010001000011 +001100110010 +010000110010 +010001000011 +010101000011 +010101010100 +010101010101 +011001100110 +011101110110 +011101110111 +100010001000 +100010001001 +100010001000 +011110001000 +011101110111 +011001100110 +011001100110 +011001100101 +011001100110 +011101110111 +100010011001 +100110011010 +100010011010 +100010011001 +100010011001 +011110001000 +011001110111 +011001100110 +010101010101 +010001000011 +001100100010 +001000100001 +001100110011 +010001000101 +010101010101 +011001100110 +011101110111 +100010000111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100101 +011001100110 +011110001000 +100010011001 +100010011010 +100010011001 +011110001000 +011001100110 +001100110011 +001100100010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011001100110 +011101110110 +011001100101 +010001010100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101010100 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100110010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000100010 +001000110011 +001001000100 +001000110011 +000100100010 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000100001 +001000010001 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +001000100001 +001100110011 +001000110010 +001000100001 +001000100001 +001000110010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001000100001 +001100110011 +010101100111 +011001111001 +011001111000 +010001010101 +001100110010 +010000110010 +010000110010 +010001000011 +011001100101 +100001110111 +100010001000 +100010001001 +011110001001 +011101111000 +011101111000 +100010001000 +100010001000 +011110000111 +011101110111 +100010001000 +100110101010 +101010101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101010 +100110101010 +011101111000 +010001010100 +010101100110 +100010011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +101010101011 +100110101010 +100010001000 +011001100110 +011101110111 +100010001001 +100010011001 +100001111000 +010101010101 +010000110011 +010000110011 +010001000011 +010001000011 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010101010011 +011101100110 +011110000111 +011101110111 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100101 +011101100110 +011101110111 +011101110110 +011001100101 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010101000011 +010101010100 +011001100101 +011001100110 +011001100101 +011001100101 +011001100101 +011001100110 +011001010101 +010001000011 +010000110010 +010000110011 +010101010100 +011001100110 +011101110111 +011101111000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +011110001000 +011101110111 +011001110111 +011001100110 +011001100101 +011001100101 +011001100110 +011101110111 +011110001000 +100010001000 +011101111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010001000011 +001100110010 +001100110011 +010101010101 +011001110111 +011110001000 +011110001000 +100010011001 +100010011001 +100010001000 +011101110111 +011001100110 +011001100101 +010101010101 +011001100101 +011001110111 +011101111000 +011101111000 +011001111000 +011001100111 +010001010100 +001100110010 +001100100001 +001100110001 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000110010 +001000110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001100110100 +010101010101 +011101110110 +011101110110 +011001100101 +010001000100 +001000110011 +000100100010 +001000110011 +001000110011 +001000110011 +001100110100 +001101000100 +001101010100 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000100010 +001000110011 +001000110100 +001000110011 +001000100011 +001100110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000100001 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010000 +000100100001 +001101000100 +010101100110 +010101010110 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110011 +010101100111 +011001111001 +011001111001 +010101010110 +010001000011 +010101000011 +010101000100 +010101010100 +011101100110 +100001110111 +100010001000 +011110001000 +011110001000 +011101111000 +011101111000 +100010001000 +100010001000 +100010001000 +011110000111 +100010011000 +100110101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101011 +100110101010 +011110001000 +010101100110 +011001110111 +100010011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101011 +101010101011 +101010101011 +100110101010 +100110011001 +100010011001 +100110011010 +100110011010 +100010001000 +011101110110 +011001100110 +011101110110 +011101110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +011101110110 +011101100101 +011001010100 +010101000011 +010001000011 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101010011 +010101000011 +010001000010 +010101000011 +011001100101 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010001000011 +010101000011 +010101000011 +010101010100 +011001010101 +011001100101 +011001100110 +011101110111 +011101110111 +011101110111 +011001110110 +011001100101 +010101000100 +010001000011 +010001000011 +011001100101 +011101110111 +100010001001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011001 +100010001001 +011110000111 +011101110111 +011001100110 +011001100101 +010101010100 +010101000100 +010101010100 +011001100101 +011001100110 +010101100101 +010001000100 +001100110011 +010000110011 +010101010101 +011001100110 +011101100110 +011001100110 +010101010101 +010001000100 +010101010100 +011101110111 +100010001001 +100010011001 +100010011010 +100110011010 +100010011001 +011110001000 +011101110111 +011001100101 +010101010100 +010001000011 +010001000011 +010001010100 +010001010101 +010001000100 +010001000100 +010001000100 +010001000011 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000110011 +001101000100 +010001000101 +010001010101 +010101100110 +011101110111 +011101110110 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100110011 +001000110011 +001000110011 +001101000100 +001101010100 +010001010101 +010001010101 +010001100101 +010101100101 +010001010101 +001001000011 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000100010 +001000110011 +001000110100 +001000100011 +000100100010 +001100110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +001100110011 +011001110111 +011110001001 +011110001001 +010101100101 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +010001010101 +011001111000 +011001111000 +011001100110 +011001100101 +011001100110 +011001100110 +011101100110 +011101110110 +100010000111 +100010001000 +011110001000 +011110001000 +011001111000 +011101111000 +100010001000 +100010001000 +011110001000 +011110000111 +100010011001 +100110101010 +101010101011 +100110101010 +100110101010 +101010101010 +101010101010 +101010101011 +100110101010 +100010011001 +011001111000 +011110001000 +100010011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +100110101011 +101010101011 +101010101011 +101010101011 +100110011010 +100010011010 +100010011010 +100010011010 +100110011001 +100110011001 +100110011001 +101010011001 +101010011001 +101010011001 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +100110011001 +100110011000 +100010001000 +100010000111 +011101110110 +011001100101 +011001010101 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010001000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010000110010 +001100110010 +010000110010 +010001000010 +010101000011 +010101010011 +010101000011 +010001000011 +010101000011 +011001100101 +011101110111 +011110000111 +011110000111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011101100110 +011101100110 +011101100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001010100 +010101010100 +010101010100 +011001010100 +011001010101 +011001100101 +011001100110 +011101110110 +011101110111 +011110001000 +011110000111 +011101110110 +011001100101 +010101010100 +010101000100 +010001000100 +011001100110 +011110001000 +100010011001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011001 +100010001000 +011101110110 +011101100110 +011001100110 +010101010101 +010001000011 +001100110010 +010000110011 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +010101010100 +011001100110 +011101110110 +011101110110 +011001100110 +011001100101 +011001100101 +011001100110 +011110001000 +100010011010 +100110101010 +100110101010 +100010011010 +100010011001 +011101110111 +011001100110 +010101010101 +010000110011 +001000100001 +001000100001 +001000100010 +001100100010 +001100110011 +010001010101 +010101100110 +010001000100 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110100 +010001010101 +010101010110 +010101100110 +011001110111 +011101110111 +011101110110 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +001101010101 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001001000011 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000100010 +001000110011 +001000110011 +000100100010 +000100100010 +001101000100 +001101000100 +001000110100 +001000110011 +001000110011 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010000 +001000100001 +010001010101 +011110001001 +100010011011 +100010011010 +011001110111 +010001000011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +010001000100 +011001100111 +011101110111 +011101111000 +100010001000 +100010001000 +011101110111 +011101110111 +100010000111 +100010000111 +100010000111 +011110001000 +011001111000 +011001111000 +011001111000 +011110001000 +100010001001 +011110001001 +011110001000 +100010011001 +100110101010 +101010101010 +100110101010 +100110101010 +100110101011 +100110101011 +100110101011 +100110101010 +100010011010 +100010011010 +100010011010 +100010011010 +100110101010 +100110101010 +100010011010 +100010011010 +100010011010 +100010011010 +100110011010 +100110101010 +100110011010 +100010011010 +011110001010 +100010011010 +100010011010 +100110011010 +101010101010 +100110011001 +100110011001 +100010011001 +100010011000 +100010001000 +011110000111 +011110000111 +100010011000 +101010101001 +101110101010 +101110101010 +101010101010 +101010101010 +100110011001 +100110001000 +100010001000 +011101110111 +011101100110 +011001100101 +010101010101 +010101010101 +010101010101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001010101 +010001000011 +010000110010 +010000110010 +010001000010 +010101000011 +010101010011 +010101000011 +010101000011 +010101010011 +011001100101 +011101110111 +100010000111 +100010000111 +100010000111 +100001110111 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001100110 +011001100110 +011101110110 +011101110110 +011001100110 +011001100101 +011001100101 +011001100110 +011001100110 +011101110110 +011001100110 +011101110110 +011101110111 +011110001000 +011110001000 +011101110110 +011001100110 +011001100101 +010101010101 +010101010101 +011001110110 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010001000 +100010000111 +011101110110 +011101100110 +011001100110 +010101100101 +010001000011 +001100110010 +010000110011 +010001000100 +010101010101 +010101010101 +011001100110 +011001110111 +011101111000 +011101111000 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011101110110 +100010001000 +100010011010 +100010011010 +100010011001 +100010011001 +100010001000 +011101110111 +011001100110 +010101010101 +010001000011 +001100100010 +001100110010 +010001000011 +010101010101 +011001100110 +011101111000 +011101111000 +010101100110 +001100110011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100010 +001100110100 +010101010101 +011001010101 +011001010110 +011001100110 +011101110110 +011101110110 +011001100101 +010001000100 +001000110011 +000100110011 +001000110011 +000100100010 +000100100010 +001000110011 +001101000100 +001101010101 +010001010101 +010001100101 +010001100101 +010001100101 +010001010100 +001001000011 +000100110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010001 +000100100010 +001000110011 +001000110011 +000100100010 +001000100011 +001101000101 +010001010101 +001101000101 +001000110100 +001000110011 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100010 +001000100010 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110010 +001000100010 +001100110010 +010101010101 +011101111000 +011110001001 +011110001001 +011101110111 +010001000100 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +010001000100 +011001100111 +011110001000 +100010001001 +100010011001 +100010011001 +100010001001 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +011001111000 +011001111000 +011110001000 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +100010011011 +100010011011 +100010011011 +100010101011 +100110101011 +100110101011 +100010011011 +100010011010 +100010011001 +100010011001 +100010001001 +011110001001 +100010011010 +100010011010 +100010001001 +011110001000 +011110001000 +011001111000 +011001111000 +011110001000 +011110001000 +011110000111 +011101110110 +011001100110 +010101100101 +010101010100 +010001010100 +001101010011 +001101000011 +010101100101 +011110000111 +100110011001 +101110101010 +101110111011 +101110111011 +101110111011 +101010101010 +100110011001 +100010001000 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011101110111 +011101110111 +011110001000 +100010001000 +011101110111 +010101010100 +010000110010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010001000010 +010101010011 +011001100110 +011101110111 +100010000111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +100001110111 +100010000111 +011101110111 +011101110111 +011101110111 +011101110111 +011110000111 +100010000111 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101110110 +011101110111 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101100110 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011101110111 +011110001000 +011110001000 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011101110111 +100010001000 +100010011001 +100010001001 +011110001000 +011101111000 +011001110111 +011101110110 +100001110110 +100001110110 +011101110110 +011001110110 +011001100110 +010101010100 +010001000011 +010001000011 +010101100101 +011001110111 +011110001000 +100010001001 +100010011001 +100010011010 +100010011001 +011110001000 +011101110110 +011001100101 +011001100101 +011001100101 +011001100110 +011101110111 +011110001000 +100010001000 +100010001000 +100010000111 +100001110111 +011101110110 +011001100110 +011001100110 +010101010101 +010001000100 +010001010100 +011001100111 +011110001000 +100010011001 +100010011001 +100010001001 +011001100110 +001100110011 +001000100001 +001000100010 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100100001 +001100110011 +010101000100 +011001000100 +011001010100 +011101100101 +011101110110 +011101110110 +011001100101 +001101000011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001101000100 +001101010101 +010001010101 +010001100101 +010001100101 +010001100101 +001101010100 +001000110011 +000100110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010001 +000100100010 +001000110011 +001000110011 +000100100010 +000100100011 +001101000101 +010001010101 +001101000101 +001101000100 +001000110100 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100010 +001101000100 +001101000101 +001000110011 +000100100001 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +001100110011 +010001000100 +010001000100 +010001000100 +010001000101 +010101010101 +010101010101 +010101010101 +010101010100 +010001000100 +010001000100 +010101010101 +010101100110 +011001100111 +011001100111 +010101100110 +010001000100 +010001000100 +001100110011 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +010001000100 +011001100111 +011110001000 +011110001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +011101111000 +011110001001 +100010011011 +100110101011 +100110101011 +100110101011 +100010011011 +100010011010 +100110101010 +100110101010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +011110011010 +100010011011 +100010011011 +100010011010 +011110001010 +100010011010 +100010011010 +100010011010 +100010011010 +011110001010 +011110011010 +100010011010 +011110001001 +011001110111 +011001100110 +011001100101 +011001100101 +011001110110 +010101100110 +010001010100 +001101000011 +001101000010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +010101010100 +100001110111 +101010101001 +101110111011 +101110111011 +101010101011 +100110011001 +100010001000 +100010001000 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +011101110111 +010101010100 +010001000011 +010001000011 +010001000010 +010001000010 +010101000011 +010101000011 +010001000011 +010101010100 +011101100110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100010000111 +100001110111 +011101110111 +011101110110 +011101110111 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +011001100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011101110111 +100010001000 +011101111000 +011101110111 +011001100110 +011001100101 +010101010101 +010101010101 +011001100110 +011001110111 +011001110111 +011001100110 +010101100101 +010001000100 +010001000011 +010101010100 +011101100110 +100001110110 +011101110110 +011101110111 +011001110110 +011001100101 +010101010100 +010101010101 +011001110111 +100010001001 +100010011010 +100110011010 +100110101010 +100110101010 +100010011001 +011110001000 +011001110110 +010101010101 +010101000100 +010001000011 +010001000100 +010101010101 +011001100110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100110 +011001100110 +011001100101 +011001100110 +011110001000 +100010011001 +100110011010 +100010011010 +100010001001 +011001100110 +001100110011 +001000100010 +001000100010 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001100110011 +010100110011 +010100110010 +010100110011 +011001010100 +011101100101 +011101100101 +011001010101 +001101000011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001101000100 +001101010101 +010001010101 +010001100101 +010001100101 +010001100101 +001101010100 +001000110011 +000100110010 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000100100010 +001000110011 +001000110011 +000100100010 +000100100010 +001000110100 +001101000100 +001101000100 +001101000100 +001101000100 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100010 +001101000100 +001101000100 +001000100010 +000000010000 +000100010000 +000000010000 +000100010001 +001000110011 +010001010101 +010001010110 +001101000101 +001000110011 +001000100010 +001000100010 +001000110010 +001100110010 +001100110011 +001100110011 +010000110011 +010001000011 +010001000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +011001100110 +011001100110 +011001010110 +010101010101 +010101010101 +010101010101 +010101010101 +010001010101 +010001010101 +010001010101 +010001000101 +010001000101 +010001000100 +001100110011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +010001000100 +010101100110 +011001111000 +011101111000 +011101111000 +011101111000 +011101111000 +011110001000 +100010001000 +100010001000 +100010001000 +011101110111 +011101111000 +100010011010 +101010111100 +101010111100 +100110111100 +100110101100 +100110101100 +100110101011 +100110101011 +100110101011 +100110101011 +100010011010 +100010011010 +100010011011 +100010011011 +100010011011 +011110001010 +011110001001 +011001111000 +011001111000 +100010011010 +100110101100 +101010111100 +100110101011 +100010011010 +011110001010 +100010011010 +011110001001 +011001100101 +011001010100 +011001100011 +011101100100 +011001110110 +011001110111 +010101100110 +001101000100 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110011 +001101000011 +010001000011 +011001100101 +100110011000 +101010101010 +101010101011 +100110011001 +100010001000 +011101111000 +011101110111 +011101111000 +011110001000 +100010001000 +100010001001 +100010011001 +100010011001 +100110011001 +100010011001 +100110011010 +100110011001 +011110000111 +010101010100 +010001000011 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010001000011 +010101010100 +011101100110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +011101110111 +011001110111 +011110001000 +100010011001 +100110011010 +100010001000 +011001110111 +010101100110 +011001100110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001100110 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +010101100101 +010001000011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +001100110010 +001100110010 +001100100010 +001100110011 +011001010100 +100001110110 +100001110110 +011101110110 +011001110110 +011001110110 +011001100110 +011001100101 +011001100110 +011110001000 +100010011001 +100110101010 +100110101010 +100110101010 +100110011010 +100010011001 +011110000111 +011001100110 +010101010100 +001100110010 +001000100001 +001100100010 +001100110010 +010001000011 +010101010100 +011101100110 +100001110111 +100001110111 +011101110111 +011101110110 +011001110110 +011001100110 +011001100110 +011001110110 +011110001000 +100010011010 +100010011010 +100010001001 +011101111000 +010101010101 +001100110010 +001000100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100100001 +001100110011 +010000110011 +010100110010 +010100110010 +011001010100 +011001100101 +011001100101 +010101010101 +001101000011 +000100110010 +000100100011 +000100110011 +000100100010 +000100110011 +001000110011 +001101000100 +001101010101 +010001010101 +010001100101 +010001100101 +010001100101 +001101010100 +001000110011 +000100110010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000100100010 +001000110011 +001100110011 +001000100010 +000100100010 +000100100011 +001000110011 +001000110011 +001000110100 +001000110100 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001101000100 +010101100111 +010101101000 +010001010101 +001000110010 +000100100001 +001000100001 +001000100010 +001100110100 +010001010101 +010001010110 +010001000101 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010101000100 +010101000100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001000100 +001101000100 +001101000100 +010001000100 +010001000100 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110010 +001100110011 +001100110010 +001100110010 +001101000100 +010001010101 +010101100110 +010101100110 +010101100111 +011001100111 +011001100111 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011101110111 +100010011010 +101010111100 +101010111101 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010101100 +100110101011 +100110101011 +100110101011 +100110101100 +100110101011 +100010011010 +011001111001 +010101100110 +011001111000 +100110101011 +101010111101 +101111001101 +101010111100 +100010011010 +011110001010 +011110011010 +011110001001 +011001100110 +011001100100 +011001100100 +011101110100 +011110000111 +011110001000 +011001111000 +010001100110 +001101000011 +001100110010 +001100110010 +001100110010 +010001000011 +010101010101 +011001100110 +010101100110 +010101100101 +011101110111 +100010011001 +100010011001 +100010001000 +011110001000 +100010001001 +100010001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100110011001 +100110011001 +100110011001 +100110011010 +100110011001 +011110000111 +010101010100 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010001000011 +010101010100 +011001100110 +100001110111 +100001110111 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100110000111 +100010001000 +100010001000 +100010001000 +100010011001 +100110101010 +100110101011 +100110011010 +011110001000 +011001110111 +011101110111 +100001110111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +010101010101 +001100110010 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001100110011 +010001000100 +010001010101 +010101100110 +011101110111 +100001110111 +100001110111 +011101110110 +011001110110 +011001110110 +011001100110 +011001100110 +011001100110 +011110001000 +100010011001 +100110011010 +100010011010 +100010011001 +100010001001 +011110001000 +011001110111 +011001100110 +010001010100 +001100110010 +001000100001 +001000100001 +001101000011 +010101010100 +011001100110 +011101110111 +100010001000 +100010001000 +011110000111 +011101110110 +011001100110 +011001100101 +011001100101 +011001100110 +011101111000 +100010001001 +011110001001 +011001110111 +010101010101 +001100110011 +001000100010 +001000100001 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100100001 +001100110011 +010101000011 +010100110010 +010100110010 +011001010100 +011001100101 +011001100101 +010101010101 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010001100101 +010001100101 +001101010100 +001000110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010001 +000000010001 +000100100010 +001000110011 +001100110011 +001100110010 +000100100010 +000100100011 +000100100011 +001000110011 +001000110011 +001000110011 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100010 +001101000100 +010101100111 +011110001010 +011110001010 +011001111000 +010101010110 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010101010110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000101 +010101000101 +010101010101 +010101010101 +010001010101 +010001000100 +010001000101 +010001010101 +010001000101 +010001000100 +010001000101 +010101010110 +010001010110 +001101000101 +001100110100 +001101000100 +010001000101 +010001010101 +001100110011 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110011 +001101000100 +001100110011 +001000100001 +001000100010 +001000110010 +001100110010 +001100110011 +001100110011 +001101000011 +010001010100 +011001100110 +011001100110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001010101 +010101010101 +010101010101 +010101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +100010011001 +100110101011 +101010111100 +101010111100 +101010111101 +101010111101 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +100110101011 +100010011010 +011001111000 +011010001001 +100010101011 +101010111100 +101010111100 +100110101100 +100010011011 +100010011010 +100010011011 +011110001001 +010101100111 +010101100101 +011001100101 +011001110101 +011001110110 +011010001000 +011001111000 +010101100111 +010001010101 +001101000011 +001100110011 +001101000011 +010001010100 +011001100110 +011101110111 +011001110111 +010101100110 +011101110111 +100010001000 +100110101000 +101010101000 +101110111000 +101110111001 +101110111011 +101010111010 +100110101001 +100010011001 +100010011001 +100010011001 +100110011001 +100110011010 +100110011010 +100110011010 +100110011001 +011110000111 +010101010100 +001100110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000011 +010001000010 +010101010011 +011001100110 +100001110111 +100001110111 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100110000111 +100110011001 +100110011001 +100110011001 +100110011010 +101010101010 +101010101011 +100110101011 +100110011010 +100010011001 +100010011001 +100110001000 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001100110 +010001000100 +001100110011 +001100110011 +001101000011 +010001000100 +010101010101 +010101100110 +011001110111 +011110001000 +011110001001 +100010001001 +100010001000 +100010000111 +011101110111 +011001110111 +011001110110 +011001100110 +011001100101 +011001100110 +011101111000 +011110001001 +011110001001 +011101111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010001000011 +001100110010 +010001000011 +010101100110 +011101111000 +100010001000 +100010011001 +100010011010 +100010011001 +011110001000 +011101110110 +011001100101 +010101010100 +010101010100 +010101010101 +011001100111 +011101111000 +011001110111 +010101010110 +010001000100 +001100110010 +001000100001 +001000100001 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100100001 +001100110011 +010101000100 +010101000100 +010101000011 +011001010100 +011001100101 +011001100101 +010101010100 +001101000011 +000100100010 +000100100010 +001000110011 +000100100010 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101100101 +010001100101 +001101000100 +001000110011 +000100110010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010001 +001000100010 +001100110010 +001100110010 +000100100011 +000100100011 +000100100011 +000100110011 +001000110011 +001000110011 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000000010000 +000100010000 +000100010001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000110010 +001101000100 +010101100110 +011001111000 +011110001010 +011110011010 +011110001001 +011001110111 +010101010110 +010101010101 +010101010101 +011001010110 +011001100110 +011001100111 +011001100111 +011001100111 +011001100110 +011001010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010110 +010101010101 +010101010101 +010001000101 +010001000100 +010001000100 +010001010101 +010101100110 +010101010110 +010001000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010101100111 +010101100111 +010001010101 +010001000101 +010001010101 +010101100110 +010101100110 +010001000100 +001000100001 +000100010000 +000100010000 +001000010001 +001000100001 +001000100010 +001000110011 +010101010101 +011001111000 +011110001001 +011001111000 +010001010101 +010001010101 +010101100110 +011001100110 +011001100111 +011001100111 +011001100111 +011001110111 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011110001001 +100010011010 +100010011010 +100110101011 +100110101100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +100110111100 +100110101011 +100010011010 +100010011010 +100010011011 +100110101100 +100110101100 +100110101011 +100010011011 +100110011011 +100010011011 +011110001010 +011001111000 +010101100110 +010101100101 +010101100101 +011001100110 +011001110111 +011001110111 +011001110111 +010101100110 +010101010101 +010001000100 +001101000100 +010001010101 +010101100111 +011101110111 +100010000110 +101010010101 +101110100110 +101010100101 +101010100101 +100110100100 +100110010100 +100110100100 +101110110111 +101111001001 +101111001001 +110011001011 +101110111011 +101110111011 +100110101010 +100010011010 +100110011010 +100110011010 +100110011001 +011101110111 +010101010100 +001100110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010000110010 +010101000011 +011001100110 +011101110111 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100110000111 +100110011001 +100110011001 +100110011001 +100110011010 +101010101010 +101010101011 +101010101011 +101010101011 +101010101011 +100110101010 +100110011001 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011001110111 +011001100111 +010101100110 +010101100110 +010101100110 +010101100110 +011001110111 +011110001000 +011110001001 +100010011001 +100010011010 +100010011010 +100010011001 +100010001000 +100010000111 +011101110111 +011101110111 +011001100110 +010101010101 +010101000100 +010101010101 +011001100110 +011001110111 +011001100111 +010001010101 +001101000100 +010001000100 +010101010101 +011001100110 +011001100110 +011001100101 +011001100101 +010101010100 +011001100101 +011101111000 +100010011001 +100110011010 +100110011010 +100110011010 +100010011001 +011110001000 +011001110111 +010101010101 +010001000100 +010000110011 +010001000011 +010101010101 +011001100111 +011001110111 +011001100111 +010101100110 +010001000100 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100100001 +001100110011 +010101000100 +010101000100 +011001010100 +011001010101 +011001100101 +011101100101 +010101010100 +001100110011 +000100100010 +000100100010 +001000110011 +000100110011 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010101 +001101000100 +001000110011 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100001 +001000100010 +001000100010 +000100100011 +000100100011 +000100100011 +001000110011 +001000110011 +000100110011 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +001100110011 +010001010101 +011001100111 +011001111000 +011110001001 +011110001001 +011101111000 +011001100111 +010101010101 +010101010101 +010101010101 +011001010110 +011001100110 +011001100111 +011001100111 +011101110111 +011101110111 +011001100111 +010101010110 +010001000100 +010001000100 +010101010101 +010101010110 +010101010101 +010001000101 +010001000101 +001101000100 +010001010101 +010101100110 +011001110111 +011001100111 +010101100110 +010101100110 +010101100111 +010101100110 +010101100110 +010101100111 +011001110111 +011001110111 +010101100111 +010101100110 +011001110111 +011110001000 +011110001000 +010101010101 +001000100010 +000100010001 +001000100001 +001000110010 +001100110011 +001100110011 +001101010100 +011001110111 +100010011010 +100010011011 +011110001010 +011101111000 +011101111000 +100010001001 +100110011001 +100110011010 +100110011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011010 +100110011001 +100010001001 +100010001001 +011110001001 +011110001001 +100010011010 +100010011010 +100010011010 +100110101011 +100110101011 +100110101011 +100110101100 +100110111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +100110101100 +100110101100 +100110101011 +100010011011 +100110011011 +100110011011 +100010011011 +100010011010 +100010011010 +100010001001 +011010001000 +010101110111 +010101100110 +010101100110 +011001110111 +011001110111 +011001110111 +011101111000 +011110001000 +011001111000 +010101100111 +010001010101 +010001000101 +010001000100 +100001110100 +110010100101 +101110100100 +100110000011 +100001110010 +011001110010 +010101100010 +010101100010 +010101100011 +010101100010 +010101110011 +010101110011 +011010000100 +011110010111 +100110111011 +101010111100 +101011001101 +101111001100 +101010101011 +100110101010 +100110011001 +011101110111 +010101010100 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010000110010 +010001000011 +011001100101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110101 +100001110101 +011101110101 +011101110101 +100001110110 +100010000111 +100110011001 +100110011001 +100110011001 +100110011001 +101010101010 +101010101011 +101010101011 +101010101011 +101010101011 +101010101011 +100110011001 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +011001100110 +011001100111 +011001110111 +011001111000 +011001111000 +011001111000 +011110001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100001110111 +011101110111 +011101110111 +010101100110 +010001000011 +001100110010 +010001000100 +010001010101 +010101100110 +010001010101 +010001010101 +010001010100 +010101010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +100010001000 +100110011010 +100110011010 +100010011010 +100010011001 +100010001000 +011101110111 +011001110111 +010101100110 +010101010100 +010001000011 +010001000100 +010101100110 +011101111000 +011110001001 +011110001001 +011101111000 +010101010101 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001100110010 +010000110011 +010101000100 +010101000100 +011001010101 +011001100101 +011001100101 +010101010100 +001100110011 +000100100010 +000100100010 +001000100010 +000100110011 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +001000110011 +001000110011 +000100110011 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010001 +001100110011 +010001010101 +010101100110 +010101100111 +010101111000 +010101101000 +010101100111 +010001000101 +001100110011 +010001000100 +010101010101 +010101010101 +010101010101 +010101010110 +010101100111 +011001110111 +011101111000 +011101110111 +010101100110 +001101000100 +001100110011 +010001010101 +010001010101 +010001010101 +010001000101 +010001010101 +010101010110 +010101100110 +011001100111 +011001100111 +010101100111 +010101100111 +011001110111 +011001111000 +011001110111 +011001110111 +011001110111 +011001111000 +011101111000 +011001111000 +011101111000 +011110001001 +100010011001 +100010001001 +010101100110 +001000110010 +001000100001 +001100110011 +010001000100 +010001010101 +010001010101 +010001100110 +011001110111 +011110001001 +011110001001 +011001111000 +011101111000 +100010001000 +100110011001 +100110011010 +100110011010 +100110011010 +100110011010 +100110011001 +100010001000 +100010001001 +100010001001 +100110011001 +101010101010 +101110101011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011011 +100110101011 +100110101100 +100110101100 +100110101011 +100010011011 +100110101011 +100010011011 +100010011010 +100010001010 +011110001010 +011110001001 +011001111000 +011001111000 +011001110111 +011001100111 +010101100110 +011001110111 +011101110111 +011110001000 +011110001000 +011110001001 +100010001001 +100010011001 +011110001000 +010101100111 +010001010101 +010001010100 +011101110100 +100110000011 +011101100001 +010101000001 +010001000001 +010001000001 +001101000010 +010001010010 +010001010010 +010001010010 +010001100010 +010001100010 +010001100010 +010001100010 +010001110100 +010110001000 +010110011010 +010110101011 +011010101100 +100010111100 +100110111100 +101010101010 +011101110111 +010101010100 +001100110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010000110010 +010001000011 +011001100101 +011101110110 +011101110110 +011101100110 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110101 +011101100101 +011101110110 +100010000111 +100010001000 +100110011001 +100110011001 +100110011001 +100110011010 +100110101010 +100110101010 +100110101010 +100110101011 +100110101010 +100110011001 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100101 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001111000 +011110001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100010000111 +100001110111 +011101110111 +011101110111 +010101100110 +010001000100 +001100100010 +010000110100 +010001010101 +010101100110 +010101100110 +010101100111 +011001110111 +011101111000 +011101111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011110001000 +100010011001 +011110001001 +011101111000 +011001110111 +011101110111 +011101110110 +011001110111 +011001100110 +010101100101 +010101010101 +010101100101 +011001110111 +011110001001 +100010011010 +100010011010 +011110001001 +010101100110 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001100100010 +010000110010 +010000100010 +010100110011 +011001010100 +011001100101 +011001100101 +010101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010101 +001101000100 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100110011 +001000110011 +001000110011 +000100110011 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +001100110010 +010001000100 +010001010110 +010101111000 +011001111001 +010101111000 +010001010111 +001101000101 +001000110011 +001101000100 +010001010101 +010001000100 +001101000100 +010001010101 +010101100110 +011001100111 +011101111000 +011101111000 +010101100110 +001101000100 +001101000100 +010001010101 +010001010101 +010001010101 +010001010101 +010101100110 +011001110111 +011001111000 +011001110111 +010001100110 +010001010110 +010101100111 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +011001110111 +001100110010 +001000100010 +010001000100 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +011001100111 +011001110111 +011101110111 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100110011010 +101010101010 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +100110101010 +100110011010 +100110011010 +100110011010 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +011110001001 +011110001001 +011110001001 +011101111001 +011001111000 +011001111000 +011001111000 +010101100111 +010101100110 +010101100111 +010101100111 +010101100110 +010101100110 +011101111000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011001100111 +010101010101 +011001100100 +011001010010 +001100110000 +001100110001 +001100100001 +001100110001 +001101000001 +001101000001 +001101000001 +001101000001 +001101000010 +001101000010 +001101000001 +010001010010 +010001010010 +010001100010 +010001110100 +010010000110 +010010011001 +010010011011 +010010011011 +011010101100 +100010111101 +100110101010 +011001100101 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +011001100110 +011101110111 +011101110111 +011101110110 +011101100110 +011101100101 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011001 +100010001000 +100001110111 +011101100110 +011101100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011110011001 +100010011010 +100010011010 +100010011001 +011110001001 +011110001000 +011101110111 +100001110111 +100001110111 +011101110111 +011101110111 +011001100110 +010001010100 +001100110011 +010001000100 +010101010110 +011001110111 +011110001000 +011110001001 +100010001001 +100010011001 +100010001001 +011101111000 +011001100110 +010101100101 +010101010101 +010101010101 +011001100110 +011001110111 +011001110111 +010101100110 +010001000100 +010001000100 +011001010101 +011101100110 +011001110111 +011001110110 +011001110110 +011001100110 +011001110111 +011110001000 +100010011010 +100010011010 +100010011010 +011110001001 +010101010101 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001100100010 +010000110010 +010000100010 +010000110010 +011001010100 +011001100101 +011001100101 +010101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001000110100 +001101010101 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001101000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +001000110011 +001000110011 +000100010000 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001100110011 +010001000100 +010001010110 +011001111000 +011010001001 +011001111001 +010101100111 +001101000101 +001100110100 +010001000100 +010001010101 +010001000101 +001101000100 +010001010101 +010101100110 +011001110111 +011101111000 +011101111000 +011001100111 +010001010101 +010001010101 +010101100110 +010101100110 +010101100110 +010101100110 +011001110111 +011001111000 +011110001000 +011001111000 +010001010101 +001101000101 +011001110111 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +011001110111 +001100110011 +001000110010 +010001010101 +010101100110 +011001100111 +010101100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001100111 +010101100110 +010101100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101100110 +010101100101 +010101100101 +010101100101 +010101100110 +011001110110 +011101110111 +100010001000 +100110011001 +100110011001 +100110011001 +100110011001 +101010101010 +100110011001 +100110011010 +101010101010 +101010101010 +101010101010 +100110101010 +100110101010 +100110101010 +100110011010 +100110011010 +100110011010 +100110101010 +101010101010 +101010101011 +100110101010 +100110011010 +100010011001 +100010001001 +011110001001 +011110001000 +011001111000 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +010101100111 +011001110111 +011110001000 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +011110001001 +011001110111 +011101100110 +101010011000 +011101110111 +011101110111 +011101110111 +011001100101 +001100110010 +001100110001 +001100110001 +010001010011 +010001000100 +010000110011 +010001000011 +010001000011 +001000100001 +001100110001 +010001000010 +010001010010 +010001010010 +010001100100 +010001110111 +010010001000 +001110001001 +010010011010 +011010101100 +011110101011 +010001010101 +001100110001 +001100110001 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +011001100110 +011101110111 +100001110111 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010001000 +011110001000 +011101110111 +100010001000 +100010001001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110110 +011101100110 +011101100110 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011001110110 +011001100110 +011001100101 +010101100101 +011001110111 +011110001000 +011110001001 +011110001000 +011001110111 +010101100110 +010101100101 +011001100101 +011101110110 +100001110110 +011101110111 +011101110111 +011001110110 +010101010101 +010001000100 +010001000100 +011001100111 +011110001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010001001 +011001110111 +010101100110 +010001010100 +001101000011 +001100110011 +010001000100 +010001010100 +010001000100 +001100110010 +001100110010 +010001010100 +011001100110 +011101110110 +011101110111 +011001110111 +011001110111 +011001110111 +011001110111 +011110001001 +100010011010 +100010011010 +011110001001 +011001111000 +010001000100 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001100100010 +010000110011 +010000110010 +010100110011 +011001010100 +011001100101 +011001100101 +010101010100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001101000100 +001101000101 +001101000100 +001101000100 +010001010100 +010001100101 +010001010101 +001101000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +001000110011 +001000110011 +000100010000 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001100110011 +010001000101 +010101100110 +011001111000 +011010001001 +011001111001 +010001100111 +001101000110 +001101000100 +010001010101 +010001010110 +010001010101 +010001010101 +010101100110 +011001110111 +011101111000 +011110001001 +011110001000 +011001111000 +010101100111 +010101100111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +011110001001 +011110001001 +011110001001 +010001010110 +001101000101 +011001110111 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +011001110111 +001100110011 +001000100010 +010001010101 +011001100111 +011001110111 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +010101100110 +010101010101 +010001000100 +010001000100 +010001000100 +010001010100 +010101010101 +010101100110 +011001100110 +011101110111 +011101110111 +011110000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100110011001 +100110101010 +101010101010 +100110011010 +100010011001 +100010001001 +100010001001 +011110001000 +011001110111 +011001100111 +010101100110 +011001110111 +011001111000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011001110111 +011001110111 +100010001001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010001001 +011001110111 +101010101001 +110010111011 +101010101010 +100110011001 +011001100110 +100010001000 +100010001000 +010101010100 +011001100101 +011101110111 +011101110111 +011001100110 +011001010110 +010001000011 +010101010100 +010101000100 +010001000010 +010101010100 +011101110111 +010001000011 +001101000001 +010001100011 +010001110110 +010010001001 +010010001010 +010010101100 +011010101100 +010101100110 +001100110001 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +011001100110 +011101110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +011101110111 +011101110111 +011101111000 +100010011001 +100110011001 +100010001000 +011101110111 +011001110111 +011101111000 +011110001000 +100001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100110 +011001100110 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +010101010101 +010101010100 +010101010100 +010101100110 +010101100110 +010101100110 +010001010100 +001101000011 +001000110010 +001100110010 +010101010100 +011101110110 +100001110110 +011101110111 +011001110111 +011001110110 +011001100110 +010101010101 +010101010101 +011001110111 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +011110001000 +011001110111 +010101010101 +010001000011 +001100110010 +001000100010 +001100110010 +010001000011 +010001000100 +010101010101 +010101100110 +011101110111 +011110001000 +011101110111 +011001110110 +011001100110 +011001100110 +011001100110 +011001110111 +011101111000 +011110001000 +011001111000 +011001100111 +010001010101 +001100110011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001100110010 +010000110011 +010000110011 +010101000100 +011001010100 +011001100101 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001101000101 +001101000101 +001000110011 +000100100010 +001100110011 +010001010100 +010001010100 +001001000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +000100110011 +001000110011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001100110011 +010001010101 +010101100110 +011001111000 +011001111001 +010101111000 +010001010111 +001101000101 +001101000100 +010001010101 +010101100110 +010001010110 +010001010110 +010101100111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011001111000 +011001111000 +011001111000 +011101111000 +011001111000 +011101111000 +011110001000 +011110001001 +100010011010 +011110001001 +010101100111 +001101000101 +011001100111 +011110001001 +100010001001 +011110001001 +100010001001 +011110001001 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011001110111 +001100110011 +001000100010 +010001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100111 +010101100110 +010101100110 +010101010101 +010101010110 +010101100110 +010101100110 +011001100110 +011001100110 +011001100110 +011001110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011101111000 +011110001000 +100010001001 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +011110001000 +011110001001 +011110001001 +011001110111 +011001110111 +100010011001 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100010001000 +100110011001 +010101010101 +100010001000 +100110011001 +010101010101 +010001000100 +100010001001 +011101110111 +011001100110 +011101110111 +011101110111 +011001100110 +011001100110 +010101000100 +011001100110 +010000110011 +001100100010 +011101100110 +011101111000 +100010001000 +010001000010 +010001010001 +001101010011 +001101110111 +010010001001 +010010001010 +010010011011 +011010101100 +011001110111 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +011001100101 +011101110111 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010001000 +011101110111 +011101110111 +011110001000 +100110011001 +100110011010 +100010001000 +011001110111 +011001100110 +011101110111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101110110 +011001100110 +011001110111 +011101110111 +011101110111 +011101110111 +011001110111 +010101010101 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001000110010 +001000100001 +001000110010 +001101000011 +010001010101 +011001100110 +100001110110 +100001110110 +011101110110 +011001110111 +011001110110 +011001100110 +011001100110 +010101100110 +011001111000 +100010011001 +100010011001 +100010001001 +011110001001 +011110001000 +011101110111 +011001100110 +010101010101 +010101010100 +010001000011 +001100110010 +010001000100 +010101100110 +011001110111 +011101111000 +100010001001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010101010101 +010001010101 +010101100110 +010101100110 +010101100110 +010001010101 +010001010101 +010001000100 +001100110011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001100110010 +010000110011 +010101000011 +010101000100 +011001100101 +011001100101 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001101000101 +001101000101 +001000100011 +000000010000 +000100100010 +001100110011 +001101000100 +001101000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010001 +000000010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100110011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001100110010 +010001010101 +010101100110 +010101111000 +011001111001 +010101111000 +001101010110 +001101000101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +011001110111 +011110001001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010011010 +100010001010 +010101101000 +001101000101 +010101100111 +011110001001 +100010011001 +100010001001 +100010011001 +100010011001 +011110001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011001110111 +001101000100 +001101000011 +010101100110 +011001110111 +011001110111 +010101100110 +010101100110 +010101100110 +011001100111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011101111000 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +011110001001 +100010001001 +011110001001 +011001111000 +011001111000 +100010011001 +100110011010 +100010011010 +100010011010 +100010011010 +100110011010 +100010011001 +100010011001 +100110011001 +010001000101 +001100110100 +010001000101 +011110001000 +100010001000 +011001100110 +100110001001 +100010001000 +011001100110 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010001000011 +001100100010 +011001010101 +011001100110 +100110011001 +100010000111 +010001000010 +001101010001 +001101100011 +001101110111 +001110001001 +001110001010 +010010011011 +011010101011 +011001110111 +001100110010 +010000110010 +001100110010 +010001000011 +011001100101 +011101110111 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110101 +100001110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100110011010 +101010101010 +100110011001 +011110001000 +011101110111 +011110001000 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +010101010101 +001100110010 +001000100001 +001000100010 +001000100010 +001000110010 +001100110011 +010001000100 +010101010101 +011001110111 +011001111000 +011110001000 +100010000111 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011001100101 +010101100110 +011001110111 +011101111000 +011101111000 +011001110111 +011001100110 +010101100101 +011001100101 +011001100101 +011001100101 +011001100101 +010101010101 +010101010100 +010101100110 +011001111000 +100010001001 +100010011010 +100110011010 +100010011010 +100010011001 +011101111000 +011001100111 +010101010101 +010001000100 +001100110011 +010001000100 +010001010101 +010001010101 +010101010110 +011001100111 +010101100110 +010001000100 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001100110010 +010000110011 +010101000100 +011001100101 +011101100101 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010110 +010001010110 +000100100011 +000000000000 +000000010001 +001000100010 +001101000100 +010001010110 +010101100110 +010001010101 +001001000100 +001100110100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000000000 +000100000000 +000100010000 +001000110010 +010001010101 +010101100110 +011001111000 +011001111001 +010101111000 +010001010111 +001101010101 +010001010101 +010101100110 +010101100110 +010101100111 +010101100110 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +100010011001 +011110001001 +010101100111 +001101000101 +010101100111 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011001110111 +011001111000 +100010001001 +100010011010 +100010011001 +011101111000 +010101100111 +010001010110 +010101010101 +010101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100111 +011101111000 +100010001001 +100010011001 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011001111000 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100110011010 +100110011010 +010101010110 +001100110100 +001100110101 +001101000101 +010001000101 +011101111000 +100110011001 +100110011001 +100010001000 +011101110111 +011101110111 +011001100110 +011001100110 +011101110111 +011101110111 +100010001000 +011001100110 +010101010101 +010101010101 +011101110111 +100110011001 +100110011001 +100010000111 +010101010010 +001101000001 +001101100100 +001101110110 +001110001000 +001110001010 +010010011011 +011010101011 +010101100110 +001100110010 +001100110010 +010001000011 +011001100101 +011101110111 +011101110110 +011101110110 +011101100101 +011101100101 +011101110101 +011101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100010000111 +100110011000 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +100110101010 +100110011001 +100010011001 +100010011001 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +010101100101 +010001000100 +001100110010 +001100110011 +010001000100 +010101010101 +011001100111 +011001111000 +011110001000 +100010011001 +100010011010 +100010011001 +100010001000 +011101110111 +011001110110 +011001100110 +010101010101 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010001000100 +001100110010 +001100110010 +001100110010 +010001000011 +010101010100 +010101100101 +011001100101 +011001100110 +011001100110 +011001110111 +011110001001 +100010011010 +100110011010 +100010011010 +100010011010 +100010001001 +011101110111 +011001100111 +010101100101 +010001000100 +010001000100 +010001010101 +010101100111 +011001111000 +011110001000 +011110001001 +011001111000 +010001010101 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001000100001 +001100100001 +001100100010 +010101000011 +011001010100 +011001100101 +011001100101 +010001000011 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010110 +010001010111 +001000110100 +000000010001 +000000010000 +000100100010 +001101000101 +011001110111 +011001111000 +010101100110 +001000110011 +001000100011 +001000100011 +001000100011 +001000110011 +001101000100 +001101000100 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +001000110100 +001000110100 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000100010000 +001000100010 +010001010101 +010101100110 +011001111000 +011010001001 +010101111001 +010001010111 +010001010110 +010001010110 +010101100110 +010101100111 +010101100111 +010101100110 +011001111000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010001001 +100010011001 +011110001001 +011110001001 +011110001001 +011110001001 +100010011001 +100010011001 +011110001001 +010101100111 +001101000101 +010101100110 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100110011010 +100110101011 +101010111011 +101010111100 +101010101011 +100110011010 +011110001001 +011001101000 +010001010110 +010001010101 +010001010101 +010001010101 +010101010101 +010101010101 +010101010110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011101110111 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +011101111000 +011101110111 +011101110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001110111 +011101110111 +011101110111 +011001110111 +011001110111 +011001100111 +011001110111 +011110001000 +100010011001 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011001111000 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100110011010 +100110011010 +100110011010 +011001100110 +001100110100 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +100010001000 +100110101010 +011101110111 +011101110111 +011101110111 +011001100110 +011101110111 +011001100110 +100010001000 +100110011001 +100110011001 +100001111000 +011101110111 +011001100110 +010101000100 +010001000100 +010001000100 +010101010100 +010101010011 +010001010010 +010001100011 +001101110110 +001101110111 +010010001001 +010010011011 +011010011011 +010001000100 +001100110010 +010001000011 +011001010101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001110101 +100001110110 +100010000111 +100110011000 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +101010101010 +100110101010 +100110101010 +100110011001 +100110001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +010101010101 +010001000100 +010001000100 +010101100110 +011101111000 +011110001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +011101110110 +011001100101 +010101010101 +010101010101 +010001000011 +010000110010 +010000110010 +001100110010 +001000100001 +001000100001 +001100110010 +001101000011 +010001000011 +010001010100 +010101010100 +010101100101 +011001100101 +011001100110 +011001100110 +011101110111 +011110001001 +100010011010 +100010011001 +011110001001 +011110001000 +011101111000 +011101110111 +011001110111 +011001100110 +010101100110 +010101100101 +011001100110 +011110001000 +011110011001 +100010011010 +100010011010 +011110001000 +010001010101 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +001000100001 +001100100010 +001100100010 +010001000011 +011001010100 +011001100101 +011001100101 +010001000011 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010110 +010101101000 +010101100111 +001100110100 +000100010001 +000100100010 +010001000101 +011001111000 +011110001001 +010101010110 +000100100001 +000000000000 +000000000000 +000000000000 +000100010010 +001000110100 +001000110100 +000000010001 +000000000000 +000000000000 +000000000000 +000100100010 +001000110100 +001101000100 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +001000100010 +010001010101 +010101100111 +011001111000 +011010001001 +010101111001 +010001010111 +010001010110 +010101100110 +010101100110 +011001110111 +011001100111 +010101100111 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +100010011001 +100010011001 +100010011001 +011110001001 +010101100111 +001101000101 +010101100110 +011110001001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +100010001001 +100110011010 +101010101011 +101010111100 +101010111100 +101010111100 +101010111100 +101010101100 +100110011011 +011110001001 +011001110111 +010101100110 +010101100110 +010101100110 +011001100110 +011101110111 +011101110111 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100110011001 +101010101010 +101010101010 +101010101010 +101010101010 +100110101010 +100110011001 +100010001001 +011110001000 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011101110111 +011101110111 +011001110111 +011001110111 +011001110111 +011110001000 +100010011001 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011101111000 +011101111000 +100010011001 +100110011010 +100010011010 +100010011010 +100010011001 +100010011001 +011110001000 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +001101000100 +100010001000 +100110011001 +011001100110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +100110011001 +100110011001 +011101110111 +010001000011 +010101000001 +011101010010 +011101100010 +010101100010 +010001100101 +001101110110 +010010001000 +011010011011 +011110011010 +001100110010 +010000110011 +011001010101 +011101110110 +011101110110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +100010000111 +100010001000 +100110011001 +100110011001 +100110011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +100110011010 +100110001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101110111 +011001100110 +010101100101 +010101010101 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001000 +011101100110 +011001100101 +010101010101 +010101010101 +010001000011 +001100110010 +001100110010 +001100110010 +001100110011 +010001010100 +010101100110 +011001110111 +011001100111 +011001100110 +011001100101 +011001100101 +010101100101 +011001100101 +011001100110 +011001110111 +011101111000 +011110001000 +011001110111 +010101100110 +010101010110 +011001100110 +011101110110 +011001110110 +011001110111 +011001110111 +011001110111 +011001111000 +011110001001 +100010011010 +100010011010 +100010011001 +011001111000 +010001000100 +001000100001 +001000010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100100010 +001100110011 +001100110011 +010000110011 +010101000011 +011001010101 +011001100101 +011001100101 +001101000011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010101 +011001111000 +011001111000 +011001110111 +010001010101 +001000110011 +010001000101 +011001111000 +011110001001 +010001010110 +000100010001 +000000000000 +000000000000 +000000000000 +000100010001 +001100110100 +001101000101 +001000110100 +001000110011 +001000110100 +001000110011 +001000110100 +001101000101 +001101000101 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010001 +000100100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000110010 +010001010101 +010101100110 +011001111000 +011001111001 +010101111000 +001101010110 +001101010101 +010101100110 +011001110111 +011001110111 +011001110111 +010101100111 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +010101100111 +001101000101 +010101100110 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011010 +100110101010 +100110101010 +100110101011 +101010101011 +101010101011 +101010101011 +100110101011 +100110011010 +100010001001 +100010001001 +100010001001 +100010001000 +100110001000 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +100110011010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011010 +100110011001 +100010011001 +100010001000 +011101111000 +011001110111 +011001110111 +011001100111 +011001110111 +011001110111 +011001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100110011010 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011110001000 +100010011001 +100010011010 +100010011001 +011110001001 +011101111000 +011101111000 +010101100110 +001101000101 +010001010110 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +100110011001 +011001100110 +010001000100 +010101010101 +010101010101 +011101110111 +100010001000 +100110011001 +100110011001 +100010001000 +100010001000 +011101111000 +011001100110 +011001100110 +011101110111 +011001100100 +011001000010 +100001010010 +100101100010 +100101100010 +011001010010 +010001100010 +010001110100 +010010001000 +011010101100 +010101110111 +010000110010 +011001100101 +011101110111 +011101110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100010000111 +100010001000 +100010011001 +100110011001 +100110011010 +100110011010 +100110011010 +100110011001 +100110011001 +100110011001 +100110011001 +100010001000 +100001110110 +011101100101 +011101100101 +011101100110 +011101100101 +100001110101 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011101111000 +100010011001 +100010011010 +100010011010 +100010011001 +011110001001 +011110001000 +011110001000 +100001110111 +011101110110 +011001100110 +011001100110 +010101100101 +010001010100 +010001000011 +010001000011 +010101010101 +011001100111 +011101111000 +011110001001 +011110001001 +011110001000 +011001110111 +011001110111 +011001100110 +010101010101 +010101010100 +010101010100 +010101010101 +010101010110 +010001010101 +001101000100 +001101000100 +010101010101 +011001110110 +011101110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101111000 +011110001001 +100010011010 +011110001001 +011001111000 +010101010110 +001100110010 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000100100001 +001100110011 +001100110011 +010000110011 +010101000100 +011001010101 +011001100101 +010101010101 +001101000011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010101100110 +011110001000 +011110001001 +011101111000 +010101100110 +010101010110 +011110001001 +011110001001 +010001010110 +000000010001 +000000000000 +000000000000 +000000000000 +000100100010 +010001000110 +010101101000 +010001010111 +010001010110 +001101010110 +001101010110 +010001010110 +010001010110 +001101000101 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000000010000 +000000010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001101000011 +010001010101 +010101100110 +011001111000 +011001111000 +010101101000 +001101010110 +001101000101 +010101100110 +011001110111 +011001110111 +011001110111 +011001100111 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +011110001000 +010101100111 +010001010101 +010101100110 +011110001000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +100010011001 +100110011001 +100010011001 +100010011001 +100110011001 +100110011010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101110111011 +101010101010 +100110011001 +100010001001 +100010001000 +011101110111 +011101110110 +011001100110 +011001100101 +011001100110 +011101110110 +011101110111 +100010001000 +100110011001 +100110011001 +100010011001 +100010001001 +011110001000 +011101111000 +011101111000 +011001111000 +011101111000 +100010001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +100010001000 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011110001000 +100010011001 +100010011010 +100010011001 +011110001000 +011001100111 +011001100111 +010101010110 +010001000101 +010001000101 +001101000101 +010001000101 +010001000101 +010001010101 +001101000101 +010001000101 +010001000101 +011001100111 +100110011001 +010001000100 +001100110011 +010001000011 +010001000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +011101110111 +011101110110 +010001000011 +010000110001 +011101010001 +100001010001 +100101100001 +101001100010 +100101100010 +011101010010 +010101010010 +010001110101 +010110011010 +011110101011 +010101010101 +011001100101 +011101110111 +100001110111 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011001110110 +011001100110 +011101111000 +011110001001 +100010001001 +011110001001 +011001111000 +011001110110 +010101100110 +011001100110 +011101110110 +011101110110 +011001110110 +011001100110 +011001100110 +010101100101 +010101010101 +010101010101 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +011110001001 +011101111000 +011001110111 +010101100110 +010001010100 +010000110011 +010001000011 +001101000100 +001101000100 +001101000100 +010001010101 +010101100110 +011001110111 +011101111000 +011101110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001110111 +011001111000 +011001111000 +011001100111 +010001010101 +001100110011 +000100010001 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000100010001 +001000100010 +001100110010 +010000110011 +010101000100 +011001100101 +011001100101 +010101010100 +001101000011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001001000100 +010001010101 +010101100110 +011110001000 +100010001001 +011110001000 +011101111000 +011110001001 +011110001001 +010001010110 +000000010001 +000000000000 +000000000000 +000000000000 +000100100011 +010001010110 +011001111000 +010101100111 +010001010110 +001101000101 +001101000101 +001101000110 +001101000110 +001001000100 +000100100010 +000000010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000000010001 +000100100010 +001000100010 +000100100010 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +001000100010 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010101100110 +011001111000 +011001111000 +010101101000 +001101010110 +001101010101 +010101100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001001 +100010001001 +100010001001 +100110001000 +100110011001 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101110111011 +101010101010 +101010101010 +100010001000 +011101110111 +011001100110 +010101100110 +010101010101 +010001000100 +010000110011 +010000110011 +010001000011 +010001000100 +010101010101 +011001100110 +011101110111 +011110001000 +100010001000 +100010001001 +100010001001 +100010011001 +100110011001 +100110011010 +100110011010 +100110101010 +100110011010 +100010011010 +100010001001 +011110001001 +011110001000 +011101111000 +011001110111 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011110001000 +100010011001 +100010011001 +100010001001 +011101111000 +011001110111 +011001100110 +001101000101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +010001000101 +001101000101 +001101000100 +010001000100 +010101010110 +100010001000 +100010001000 +100001110111 +010101010101 +010001000011 +010001010100 +011101110110 +100010001000 +100110011001 +100110011001 +100010001000 +100001111000 +100010001000 +100001110110 +011001000010 +011101010001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +011101100010 +010101100101 +010010001001 +010110011011 +011010011001 +011001100101 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +011101110111 +011001110111 +011110001000 +100010001001 +100010011001 +100010001000 +011101111000 +011101110111 +011101111000 +100001110111 +011101110110 +011101100110 +011101100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011001111000 +011001110111 +010101100110 +010001010100 +001100110010 +001100110010 +010101010100 +011101110110 +011101110110 +011101110110 +011001100110 +011001100110 +011001100110 +010101100110 +010101100110 +011110001000 +100010011010 +100010011010 +100010011010 +011110011001 +011110001000 +011001111000 +011001110111 +010101100110 +010101010101 +010001000100 +001101000011 +010001000100 +010101010110 +011001110111 +011101111000 +011110001001 +100010011001 +011110001001 +011101110111 +011001100110 +010101010101 +010001000100 +010001000100 +010101010101 +010101010101 +010001010101 +001101000100 +001101000011 +001100110010 +001000100001 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010001 +001000010001 +001000100001 +001100100010 +010101000011 +011001010101 +011001100101 +010101010100 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +001101010100 +010001010101 +010101100110 +011110001000 +100010001001 +100010001001 +100010011010 +011110001001 +010101100110 +001000110011 +001000110011 +001000110011 +001000110011 +001101000101 +010101100111 +011001111000 +010001100111 +001101000100 +001000110011 +001000110011 +001000110011 +001000110100 +001000110100 +000100100010 +000000010001 +000000010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000000000 +000100010001 +000100100010 +000100100010 +000000000000 +000000010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001000110011 +010101010101 +011001100110 +011001100110 +010101100110 +010101100110 +010101100110 +010101010110 +010101010110 +010001010101 +010101100110 +011001100111 +011001111000 +011001111001 +010101111000 +010001010111 +001101010110 +010101100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011001111000 +011001111000 +011110001000 +011110001001 +011110001001 +011110001000 +011101111000 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100111 +011001110111 +011001110111 +011101110111 +100010001001 +100110011010 +100110011010 +100110011001 +100110011001 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +100110011001 +011110001000 +011001100111 +011001100111 +011001110111 +011001111000 +011001100111 +010101010101 +010001000100 +010000110100 +001100110011 +001100110011 +010000110011 +010001000100 +010101010101 +011001100110 +011101110111 +100010001000 +100010001001 +101010101010 +101110111011 +101110111011 +110011001011 +110011001100 +110011001100 +101111001011 +100110101001 +011110001000 +011001110111 +011001111000 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +011110001000 +011110001000 +100010001001 +100010001001 +011110001000 +011101111000 +011101111000 +010101010110 +001101000100 +001101000101 +010001000101 +001101000100 +010001000101 +010001000101 +010001000101 +001101000100 +001100110100 +001100110011 +010001000011 +011001010101 +100010001000 +100110011001 +101010101010 +100110011001 +011101110111 +010101010101 +010001000100 +010001000011 +001100110011 +001100110010 +001100110011 +001100110010 +001100110001 +010000110001 +010101000001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100001 +100001100010 +010101110101 +010010001001 +010010001010 +011110101011 +011001110111 +011101110111 +011101110110 +011101110110 +011101100101 +011101110101 +011101110101 +011101110101 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +011101110111 +011001100101 +010101010100 +011001110111 +100010001000 +100010011001 +100010001000 +011001100110 +010101010100 +011001100110 +011101110111 +100001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001110110 +011101110111 +011101110110 +011001100110 +011001100110 +010101100101 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +001101000011 +001000100001 +001000100001 +001000100001 +001100110011 +011001100101 +011101110110 +011101110110 +011101110110 +011001100110 +011001100110 +011001100110 +010101100110 +011001100111 +011110001000 +100010011001 +100010011001 +011110001001 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101100110 +010101010101 +010001010100 +010101100110 +011001111000 +011110001001 +100010011010 +100010011010 +100010011010 +011110001000 +011001110111 +010101100101 +010001010100 +001100110010 +001000100001 +001100100010 +001100110011 +001101000100 +010001010101 +010101100110 +010001000101 +001000100010 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010001 +001000100010 +001100100010 +001100100010 +010001000011 +011001010101 +011001100101 +010101010100 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101110110 +011101111000 +011110001001 +011110001001 +011001111000 +010101010110 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010101100111 +010101101000 +010001010110 +001000110100 +000100100011 +001000100011 +001000100011 +001000110011 +001000110011 +000100100010 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +000100100010 +000000010001 +000000000000 +000000000000 +000000010001 +000100010001 +000000000000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000000010000 +000000010000 +000000000000 +001000110011 +010101010110 +011001100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +011001100111 +011001111000 +011001111000 +010101111000 +010001010111 +001101000101 +010001010110 +010101100110 +011001110111 +011001110111 +011001100111 +011001111000 +100010001001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011001110111 +010101010101 +010001000100 +010001010101 +010101010110 +010001000100 +001100110011 +010001000100 +010001010101 +010101010110 +010101100111 +011110001001 +100110011011 +100110101011 +100010011001 +100010001000 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +101010101010 +100110011001 +011110001000 +011001110111 +011001110111 +011110001001 +011110001001 +011110001001 +011001111000 +011001100111 +010101010110 +010101010110 +010001000100 +010000110011 +001100110011 +001100110011 +010001000100 +010101010101 +100110011000 +110111001100 +111011011100 +110111011011 +110011001010 +101110111001 +101110111001 +110011001001 +110111001010 +110111011011 +111011011100 +110011001011 +100110101010 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +011110001001 +011001111000 +011001111000 +011001110111 +011001110111 +011001100111 +011001110111 +010001000100 +001100110100 +001101000100 +010001000100 +001101000100 +010001000100 +001100110100 +010001000100 +001100110011 +001000100010 +001100110010 +001000010000 +001100100010 +011101100110 +100110011001 +101010101010 +101010101010 +101010101011 +101010101011 +101010101010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011010 +011101110111 +001000100001 +001100100001 +011101010010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +011001100100 +010001110111 +010010011010 +010010001010 +011110011001 +011101110110 +011101110110 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110111 +011101110111 +011001100110 +011001100101 +011101110111 +100010011001 +100110011001 +100010001000 +010101010101 +010001000011 +011001100101 +011101110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011001110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +010101010100 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110011 +010001010101 +011001100110 +011101110111 +011110000111 +011101110111 +011001110110 +011001100110 +011001100110 +011001100110 +010101100110 +010101100110 +011001110111 +011001111000 +011001110111 +010101100110 +010001000100 +010001000100 +010101010101 +011001110111 +011001110111 +011001100110 +011001100110 +010101100101 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +011110001000 +011001110111 +010101100110 +010101010101 +001100110011 +001100100010 +001100110011 +010001010101 +010101100110 +011001111000 +011001111000 +010101100111 +001100110011 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000000010000 +000000010001 +001000100010 +001100110011 +001100110010 +001100100010 +010001000011 +011001010100 +011001100101 +010101010100 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010001010101 +010001010101 +010001100101 +010101100110 +010101100110 +010101100110 +010001010110 +001101000101 +001101000101 +001101010101 +001101010101 +001101010101 +010001010101 +010001010110 +010001010110 +001101000101 +001000110011 +001000100010 +001000100010 +001000100011 +001000110011 +000100100010 +000100010001 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000000010001 +000000000000 +000000000000 +000000010001 +000100010001 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000000010000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001000110011 +010001010110 +010101100111 +010101100110 +010101100110 +010101100111 +011001100111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001110111 +011001111000 +010101111000 +010001010111 +001101000101 +010001010110 +010101100110 +011001110111 +011001110111 +011001100111 +011001110111 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011001110111 +010101010101 +001100110010 +001100110011 +010101100111 +011101111001 +011001100111 +001100110011 +001100110011 +001100110100 +001101000101 +010001010110 +011001111000 +100010011010 +100010011010 +011110001000 +011001100110 +011001100110 +011101110111 +011101110111 +100010001000 +100010001000 +100110011001 +100110011001 +100110011001 +100110011001 +101010011010 +101010011010 +100110011001 +100010001001 +011101111000 +011101111000 +011110001001 +100010011010 +100010011010 +100010011010 +011110001001 +011101111000 +011001100111 +010101010110 +010001010101 +010001000100 +010001000100 +010101010101 +101010101000 +110011001010 +101010101000 +100010010110 +011110000101 +011001110100 +011110000101 +011001110100 +011101110101 +011110000101 +100010000101 +100110010110 +101110111000 +111011011011 +110011001011 +101010101010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011010 +100110011010 +100010011010 +011110001001 +011001110111 +010101100110 +010001010101 +010101010110 +010101100110 +011001100110 +010001000100 +001100110011 +010001000100 +010000110100 +001100110011 +001100110011 +001100110011 +001100100010 +001000010001 +001000010001 +001000010001 +000100010000 +001000100010 +010001000100 +011001100110 +100110011010 +101010101010 +101010101010 +101010101010 +101010101010 +100110101010 +101010101010 +100110011010 +100110011010 +100110101010 +101010101010 +011001100101 +000100010000 +010100110001 +100001100010 +100101100010 +100101100010 +100101100010 +101001100001 +100001100010 +011101110100 +011001110100 +010110001001 +011010011011 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110101 +100001100101 +100001110110 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100110011001 +100010001001 +011101110111 +011001100110 +011101110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +010101010101 +001100100010 +001000010000 +001000100001 +001100100001 +001100110011 +010001000100 +010101100110 +011001110111 +011110001000 +011110001001 +100010001001 +011110001000 +011101110111 +011001110110 +010101100110 +010101010101 +010101010100 +010101010100 +010101010101 +010101010101 +010001010101 +001101000011 +001100110010 +001100110010 +010001000100 +010101100101 +011001100111 +011001100111 +011001110111 +011001100110 +011001100110 +011101110111 +011110001001 +100010011001 +100010011001 +011110001001 +011110001000 +011101111000 +011001110111 +011001100110 +010101100110 +010001010101 +010001000100 +010101010101 +011001110111 +011110001001 +011110001001 +011110001001 +010101100111 +001000110011 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010010 +000100010010 +000000010001 +000000010001 +001000100010 +001100110011 +010000110011 +010000110011 +010101000011 +011001010101 +011001100101 +010101010100 +001100110011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010101 +001101000100 +001000110011 +000100110010 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +000100100010 +001000110011 +001000110011 +000100100010 +000100100011 +000100100011 +000100100010 +000000000000 +000000000000 +000000010001 +000100010001 +000100010000 +000100100000 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001000100010 +010001010110 +010101100111 +010101100110 +010101100110 +010101100111 +011001100111 +011001110111 +011001110111 +011001100111 +011001100111 +010101100111 +011001110111 +011001111001 +010101111000 +010001010111 +001101000101 +010001010110 +010101100111 +011001110111 +011001110111 +010101100111 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +011001111000 +011001111000 +011001111000 +010101100111 +010001010110 +001100110100 +001000100010 +010001000100 +011110001001 +100010011011 +100010001001 +010101010110 +001100110100 +001100110100 +010001000101 +010101010110 +011001100111 +011001111000 +011101111000 +011001100110 +010001000100 +010101000100 +010101100110 +011001100111 +011101111000 +100010001000 +100010011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110001001 +100110001000 +100001111000 +011101111000 +100001111000 +100010001001 +100010011001 +100010011010 +100010011010 +100010001001 +011110001000 +011001111000 +011001100111 +010101100110 +010101010101 +011101110111 +101010101000 +100110010110 +011101110100 +011001110011 +010101110011 +010101100011 +010101100011 +010101100010 +010001100010 +010101100011 +010101110011 +010101100010 +010101100010 +011001100011 +100010000101 +110011001001 +101110111000 +101110111010 +100110101010 +100010001000 +011101110111 +011001110111 +011001100111 +011001110111 +011001110111 +011101111000 +100010001001 +100010011001 +100010011010 +100010011010 +100010011001 +011110001001 +011001110111 +010101100110 +001101000100 +010001010110 +010101100110 +011001100110 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001000100001 +001000010000 +001000100001 +001000010001 +001000100001 +001100110010 +001000100010 +001000100010 +010101010101 +100110011001 +100110011010 +100110011010 +101010101010 +101010101010 +101010101010 +100110101010 +100110011001 +100110011010 +101010101010 +100110011001 +001100110010 +001000010000 +010100110001 +100001100001 +100101100010 +100101100010 +101001100010 +101001100010 +100101110010 +100001110010 +010110000110 +010110011010 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +100001110111 +100010001000 +100110011001 +100110011001 +100110011001 +100110011010 +100110011010 +100110011001 +100010001001 +100010001001 +100010001001 +100010001000 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011001100111 +010101100110 +010001000011 +001100110010 +001100110010 +010001000100 +011001100111 +011001111000 +011110001001 +011110011001 +100010011010 +100010011010 +100010001001 +011110001000 +011101110110 +011001100110 +010101100110 +010001010100 +001100110011 +001100110010 +010001000011 +001101000011 +001000110010 +001100110010 +010001000100 +010101100101 +011001110111 +011001110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011110001000 +011110001000 +011001111000 +010101100111 +011001100110 +011001100110 +011001110110 +011001100111 +011001100111 +010101100110 +010101100110 +011001100111 +011110001001 +011110001001 +011110001001 +011001111000 +010101100110 +001000100010 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000100010000 +000100010001 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +001000100010 +001100110011 +001100110011 +010000110011 +010101000100 +011001010101 +011001100101 +010101010100 +001100110011 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001101000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +000100110011 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001000110100 +000100100010 +000000010001 +000000010001 +000100010010 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100000 +000100010000 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100000 +000100010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000000010001 +000000010001 +001000110011 +010001010110 +010101100111 +010101100111 +010101100111 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001110111 +011001111001 +010101111001 +010001010111 +001101000101 +010001010110 +010101100111 +011001110111 +011001110111 +010101100111 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +011110001000 +011110001000 +011001111000 +011001110111 +011001110111 +010101100111 +010101100110 +010101100110 +010001010110 +010001010110 +001101000100 +001000110011 +001000110011 +010001010110 +011110001010 +100010011011 +100010001010 +011001100111 +010001000100 +001100110100 +010001000101 +010101010110 +010101010110 +010101010110 +011001010110 +011001010110 +011001100110 +011101110111 +011110001000 +100010001001 +100010011001 +100110011010 +100110101010 +100110101010 +100110011010 +100110011010 +100110011001 +100110001001 +100001111000 +100001110111 +011101100111 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +011001111000 +011101110111 +100110010111 +011101110100 +011001110011 +010101100011 +010001010010 +010001010010 +010001010001 +010001010001 +010001010010 +010001010010 +010001010010 +010001010001 +010001010001 +010001010010 +010101010010 +010101100010 +011001110011 +101110100101 +101010100110 +110011001010 +101111001011 +011001110111 +010001010101 +010001010101 +010001010101 +010001010101 +010101100110 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001001 +011001111000 +010101100110 +001101000101 +010101010110 +010101100110 +011001100110 +010001000011 +001100110010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000010001 +001100100001 +001000100001 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +011101110111 +100110101010 +100110011001 +100110011001 +101010101010 +100110011010 +100110101010 +100110011010 +101010101010 +100110101010 +100110011001 +010001000011 +001000010001 +001000010000 +011001000001 +100101100010 +101001100010 +101001100010 +101001110010 +101001110010 +100001110010 +011010000110 +010110011010 +011110011001 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110111 +100010001000 +100010011001 +100010011001 +100110011001 +100110011010 +100110011010 +100110011001 +100010011001 +100110011001 +100110011001 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001110111 +011001100111 +010101100110 +010001000100 +010001000100 +011001100111 +011110001001 +100010011010 +100010011001 +100010011010 +100010011010 +100010011001 +100010001001 +011101110111 +011101110110 +011001100110 +010101100110 +010001010100 +001100110011 +001100110010 +001100110011 +010001000100 +010001010101 +010101100110 +011001111000 +011110001000 +100010001001 +011110001000 +011001110110 +010101010101 +010101010100 +010101010100 +010101010100 +010101100101 +010101100110 +010101100110 +010001010101 +010001000100 +010101010101 +011001100110 +011001110111 +010101110111 +011001100111 +011001100110 +010101100110 +011001110111 +011110001000 +011110001001 +011001111000 +010101100111 +010001000101 +001000100010 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000100010000 +001000100001 +001000100010 +001100110010 +010101000100 +011001010101 +011001100101 +010001010100 +001000110011 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001001000011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001101000011 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000000010001 +000000010001 +000100100001 +000100100001 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100010 +000100100011 +000100100010 +000100100010 +001000110011 +001101000100 +001101000101 +001100110100 +001000110011 +000100100010 +000100010010 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100010 +001000110011 +001000110011 +001000100010 +000100100010 +001000100010 +001000110011 +001101000100 +001101000101 +010001010110 +010001100111 +010101100111 +010101100111 +010101100111 +010101100111 +011001100111 +011001110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001110111 +011001111000 +010101111000 +010001010111 +001101000101 +001101000100 +010001010101 +010101100110 +010101100110 +010101100110 +010101100111 +011110001000 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001000 +011101111000 +011001110111 +011001110111 +010101100111 +010101100110 +010101100110 +010001010110 +010001010110 +010001010101 +010001000101 +010001000101 +001101000101 +001101000100 +001100110100 +001101000101 +010101010111 +011001111001 +011001111001 +011001111000 +010101010110 +001100110011 +001100110011 +010000110100 +010001000100 +010001000101 +010101000101 +011001010110 +011101110111 +100010001001 +100010011001 +100110011010 +100110101010 +100110101011 +100110101011 +100110101011 +100110101010 +100110101010 +100110011010 +100110011001 +100010001001 +100001111000 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011101111000 +011110001000 +011110001000 +011110000111 +011001100011 +010101100010 +010101010010 +010001010010 +001101000001 +001101000001 +001101000001 +001101000001 +001101000001 +001100110001 +001100110001 +001101000001 +001101000001 +010001000001 +010001010010 +010001010010 +010001000010 +011101100011 +100010000011 +011001110101 +011110011000 +100010101001 +011001100110 +010001010101 +010001010101 +010001000101 +010001010101 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010101100110 +010101100111 +011001100111 +010001000011 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001100100010 +001100100010 +001100100010 +001000100010 +001100100010 +001000100001 +010001000100 +100110011001 +100110101010 +100110011001 +100110011010 +100110011001 +101010101010 +101010101010 +101010101010 +101010101010 +100110011001 +001100110010 +001000010001 +001000010001 +010000110001 +100001100010 +100101100010 +101001100010 +101001100010 +101101110010 +100101110010 +011001110110 +010110001001 +011110101011 +011110000111 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101110111 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011001100111 +011001110111 +011001110111 +011001110111 +010101100110 +010101100110 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001000 +011101110111 +011101110110 +011001100110 +010101100110 +010101100101 +010101010100 +010001000100 +010001010101 +011001100111 +011010001000 +011110001001 +100010011010 +100010011010 +100010011010 +011110001000 +011001100110 +010101010100 +010001000011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001101000100 +010001010101 +011001100111 +011001110111 +011001110111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +011001100111 +010101100111 +010001010110 +010001000101 +001000110011 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001100110010 +001100110011 +001000100010 +001000100010 +001100110011 +010000110011 +010101000100 +010101010101 +010101010101 +010001000100 +001000110010 +000100100010 +000100100011 +001000110011 +001000110011 +001000110011 +001001000011 +001101010100 +010001010101 +010001100101 +010001100101 +010001100101 +010001010100 +001101000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000100001 +000100100010 +000100100010 +000100100001 +000100010010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010010 +000100010010 +000000010001 +000000010001 +000100100010 +000100100010 +001000110011 +001101000100 +001101000100 +001101000100 +001000110011 +000100100010 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000110001 +001100110010 +010001010100 +010101100101 +010101100110 +011001110111 +011001111000 +011001111000 +010101100111 +010101100110 +010101100110 +010101100111 +011001100111 +011001111000 +011001111000 +011001111000 +010101111000 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +011001100111 +010101100111 +010101100110 +010101100111 +010101100111 +010001100111 +001101000101 +000100100011 +000100100010 +001000110011 +001000110100 +001100110100 +001101000100 +010001010101 +011001100111 +011110001000 +011110001000 +011110001000 +011001111000 +011001110111 +010101100111 +010101100110 +010001010110 +010001010110 +010001010101 +001101010101 +001101000101 +001101000110 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +010001010110 +011001111001 +011110001001 +011001111000 +010001000101 +001100110011 +001100100010 +001100100010 +001100100011 +010000110011 +010000110100 +010001000101 +011001010110 +011101111000 +100010001001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010001001 +011110001000 +011101111000 +011101111000 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011110001000 +011110001001 +100010001001 +100010001000 +011001100101 +010101010011 +010001000010 +010001000001 +001101000001 +001100110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001100110001 +001100110001 +001100110001 +010001000001 +010001000011 +011101110111 +100010001000 +100001110101 +010001010100 +010001100101 +011110000111 +101110111010 +011101110110 +010001010101 +010001010101 +010101100110 +011001111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010101100110 +010101100110 +011001100111 +010001000100 +001100100010 +001100110010 +001100100010 +001000100001 +001100100010 +001100100010 +001100100001 +000100010000 +000100010000 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +011101110111 +100110011001 +100110011001 +100110101010 +100110011001 +100110011010 +100110011010 +100110011001 +100110011010 +100010001000 +001000100010 +001000010001 +001000010000 +001100100000 +011101010010 +100101100010 +101001100010 +101001110010 +101101110010 +101001110010 +011110000101 +010110011001 +011010101100 +100010011001 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110111 +011110001000 +011110001000 +100010001001 +100010001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100001111000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101111000 +011101110111 +011101110111 +011001100110 +011001100110 +011001110111 +011001110111 +011001100111 +011001100110 +011001111000 +011110011001 +100010011001 +100010001001 +011110001001 +011110001000 +011101111000 +011101110111 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011101111000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +011101111000 +010101100110 +010001010100 +001100110010 +001000100001 +001000100001 +001000100001 +001100110011 +010001000100 +010101100110 +011001110111 +011110001000 +011101111000 +011001110111 +010101100110 +010001010101 +010001000101 +010001000101 +010001010101 +010001010101 +001101000101 +001101000101 +001101000101 +001100110011 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010000 +000100000000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +001100100001 +010100110001 +011101010010 +100001110011 +100110000101 +100010000110 +100010011000 +100010011001 +100110101010 +100110101010 +100110101011 +100110101011 +100110111100 +100010101011 +100010011001 +011110001000 +011001110111 +010001010101 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001001000011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001001000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010001 +000100100001 +000100010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +001000110011 +001000110011 +001100110100 +001000110011 +000100100010 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +001000100001 +001000110010 +001101000011 +010001000100 +010001010101 +010101010101 +010101100110 +010101100110 +011001100110 +011101111000 +100010001001 +100010001001 +100010011010 +100010011010 +100010011010 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011010001001 +011001111000 +010101111000 +010101101000 +010101100111 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010001010110 +001101000100 +000100100011 +000100010010 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +001000100011 +001101000101 +010101100110 +010101100110 +010101100110 +010001010101 +010001000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101010110 +001101000101 +001101000101 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010001010111 +011001111001 +011110001010 +010101101000 +001101000101 +001100100011 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +010000110011 +010101000101 +011001100110 +011001110111 +011110001000 +011110001001 +100010001001 +011110001001 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +011001100111 +011001110111 +011001110111 +011101111000 +011101111000 +011110001000 +011101111000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +100110101010 +011101110111 +010001000011 +001100110001 +001100110001 +001000100001 +001000100001 +001000100000 +001000100000 +001000100001 +001000100001 +001000100001 +001100110010 +001100100001 +001100110001 +010001000010 +010001000100 +011001010110 +011001100110 +011101100101 +001100110001 +001101000001 +010101100100 +101110111001 +101110111010 +010101010101 +010001010101 +010101100110 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010101010110 +010101100110 +011001100110 +010101100101 +001100100010 +001000100010 +001100100010 +001000100001 +001100100001 +010100110011 +010000100010 +000100000000 +000100010000 +010000110010 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000010001 +010001000100 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100110011001 +010101010100 +001000010001 +001000010001 +001000100001 +001100100001 +011101000001 +100101010010 +100101100010 +101001100010 +101001110010 +101001110010 +100010000101 +010110011010 +010010011010 +011010001001 +011101110110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011001110111 +011101111000 +011101110111 +011101110111 +011001100110 +011001100110 +011001110110 +011001110111 +011001100111 +011001110111 +011001111000 +011110001000 +011110001000 +011001110111 +011001100110 +010101100110 +011001100110 +011101110110 +100001110110 +011101110110 +011001100110 +011001100110 +011001100110 +011101110110 +100001110110 +100010000111 +100110101000 +101010101001 +101010101010 +101010101001 +100110011001 +100010001000 +011001110111 +010101100101 +010101010100 +001101000100 +001000110010 +001000100010 +001101000011 +010101100101 +011001110111 +011010001000 +011110001001 +011110001001 +011110001000 +011001110111 +010101100110 +010001000101 +001100110100 +001101000100 +001101000100 +001101000101 +010001010101 +010101100111 +010101100111 +010001010101 +001000100010 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +001000010001 +011001000001 +100101110011 +101110010100 +110010100101 +110010100101 +101010010101 +100010000110 +011110010111 +011010011000 +010110001001 +010110001010 +010110011010 +010110011011 +010110011011 +010110101100 +010110101100 +011010101100 +011010101101 +011110111101 +011110111101 +011110101100 +011110011010 +011001111000 +001000110011 +001000110011 +001000110011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001001000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010001 +000100100010 +000100010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000100100010 +000100100010 +000000010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +001000110011 +001101000100 +010001010101 +010101100110 +011001110111 +011001111000 +011101111000 +011110001001 +011110001001 +100010001001 +100010001001 +100010001010 +100010011010 +100110011010 +100110101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110011011 +100110011010 +100010011010 +100010011010 +100010011010 +011110001010 +011110001001 +011110001001 +011010001001 +011001111001 +010101111000 +010101100111 +010001010110 +010001010110 +010001010110 +010101100110 +010101100111 +010101100111 +010001010110 +001101000101 +001000110100 +001000110100 +001000110100 +001000110100 +001000110100 +001001000101 +001101000101 +001101000101 +001101000101 +010001010110 +010001010110 +001101000101 +001101000100 +001100110100 +001100110100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000100 +001100110100 +001100100011 +001100100011 +001100100011 +001100100011 +001100100011 +001101000101 +010101101000 +011001111001 +010101101000 +010101100111 +010101010110 +010101010101 +010001000100 +010000110100 +010000110011 +010000110100 +010101000101 +010101010101 +010101010101 +010101010101 +010101100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +011101111000 +010101000101 +011001010101 +010101010100 +011001100101 +100010001000 +011101110111 +010101010101 +001100110011 +001100110011 +011001100110 +011101110111 +100010001000 +011101100110 +010101010100 +010101010100 +010101010100 +010101000100 +001100110011 +010001000010 +011101010001 +011001000001 +010101010010 +100010000111 +110011001011 +011001100110 +010001000101 +010101100110 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010001010110 +010101010110 +010101100110 +011001110111 +001100110011 +001000100010 +001100100010 +001100100001 +001100100001 +010100100010 +010000100010 +001000100001 +001100110010 +001100110010 +001000010001 +001000010000 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +011101110111 +100010001001 +100010001000 +100010001000 +100010001000 +100110011001 +100110011001 +010101010101 +001000010001 +001000100001 +001000010001 +001000100001 +001100100001 +011101010010 +100101010010 +100101100010 +101001100010 +101001110010 +101001110010 +100101110010 +011010000111 +010010001010 +010110001001 +011101110110 +011101100101 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110111 +011101110111 +011001110111 +010101100110 +011001111000 +011110001001 +100010001001 +011110001000 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100110 +011001110110 +011001110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +010101100110 +010101100110 +010101100110 +011001100110 +011001100111 +010101100110 +010101010101 +010001000100 +001101000011 +010001000011 +010101100101 +011101110110 +100001110111 +100010000111 +100110001000 +101010101001 +101110111001 +110111001001 +110111001000 +110010110110 +110010110101 +110110110101 +110110110110 +110110110101 +110110110101 +110010110101 +101010010110 +100001110101 +011001100101 +010001010101 +010001000100 +010001000100 +010101010101 +011001111000 +011110001001 +011110001001 +011110001001 +011110001000 +011001111000 +011001100111 +010101100110 +010001010101 +001101000100 +001101000100 +010001010101 +010101100111 +011001111000 +011001111000 +011001111000 +010101010110 +001000100010 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +010000100000 +100101100001 +110010010011 +110010010011 +101010000011 +101010000010 +101110010011 +100010000100 +010101110100 +001101110110 +001101110110 +010010000110 +010010001000 +001110001001 +001110001010 +001110001010 +001110001010 +001110001011 +001010001011 +001110011011 +001010001011 +001110001011 +001110011100 +010010011100 +010110011011 +011110101100 +011110011010 +010101111000 +010001010110 +001101010101 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001001000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000110011 +010101010110 +011001110111 +011110001001 +100010001001 +100010001001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110101011 +100110101011 +100110101010 +100110101010 +100110101011 +100110101011 +100110101011 +101010101011 +101010101011 +101010101011 +101010101011 +100110101011 +100110101011 +100110101011 +100010011010 +100010011010 +011110001001 +011110001001 +011110001001 +011110001001 +011001111000 +011001111000 +011001110111 +011001111000 +011001111000 +011101111000 +011101111000 +011101111000 +011001111000 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010001100111 +010001010110 +001101000101 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001000101 +010001000101 +001101000101 +001101000101 +001100110100 +001100110011 +001100110011 +001100110011 +001100100011 +001100100011 +001100110011 +001101000100 +010001010110 +010101100111 +011101111001 +100010001001 +011110001001 +011101111000 +011001100110 +011001010110 +011001010110 +011001100111 +011001100110 +010101010110 +010101010110 +010101100110 +010101100110 +010101100111 +011001100111 +011001110111 +011001111000 +011101111000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010011001 +011110001001 +011110001001 +100010001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +001100110011 +010001000011 +011001100110 +011001100110 +100010001000 +011001100110 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +100010001000 +010000110100 +011101110111 +011101110110 +010101010100 +011001010101 +010101010101 +010101010100 +011101010100 +101001110010 +101001100010 +011101010001 +011101100011 +110011001001 +100010000111 +010001000101 +010101100110 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001001 +011001111000 +010101100111 +010101100110 +010101100110 +010101100110 +011001100110 +011101110111 +010101100101 +001000100001 +001100100010 +001100100010 +010101010100 +010000110010 +001000010001 +001000100010 +001100110010 +001100100010 +001000100001 +001100110010 +001000010001 +001000100001 +001000010001 +001000010000 +001000010000 +011001100110 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +010101010101 +001100100010 +001000010001 +001000010001 +001000100001 +001000100001 +001100110001 +011101010010 +100101100001 +100101100010 +101001100010 +101001110010 +101001110010 +100101110010 +011110000110 +010010001001 +010010001001 +011001110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110110 +100001110110 +100001110111 +011101110111 +011001110111 +010101100110 +011101111000 +100010001001 +100010011001 +011110001000 +011001110111 +010101100110 +011001110111 +011101110111 +100010000111 +100001110111 +100001110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011001110111 +011001110111 +011101110111 +011101110111 +011001100110 +011001100110 +010101100110 +010101010101 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001000100001 +001000110010 +001101000100 +010101100101 +011001110111 +100110011000 +101110111010 +110111011100 +110011011101 +101011001010 +101010111000 +100110100101 +100010010100 +100010000011 +011110000010 +011001110010 +011001110010 +011101110010 +011101110010 +011101110010 +100010000011 +100110000011 +101010000100 +100110000100 +011101100100 +010101010101 +010101100111 +011110001000 +011110001001 +011110001001 +011001111000 +011001111000 +011001110111 +011001100111 +010101100110 +010001010110 +010001010101 +010001010101 +010101100111 +011001111000 +011110001001 +011110001001 +011001111001 +010001010110 +001000100010 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +001100100000 +100101100010 +110110110100 +111010110011 +101001110001 +011101100010 +011101110010 +100001110010 +100010000010 +010101100011 +001101100100 +001001110110 +001001110101 +001101110100 +001001110101 +001101111000 +001110001001 +001110001010 +001010001010 +001010001010 +001010001010 +001110011011 +001110011100 +001110011100 +001010011011 +001110001011 +010010011100 +010010101100 +010110101100 +011110111101 +011110111100 +011010001001 +010001010110 +010001010101 +010001100101 +010001100101 +001101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010010 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001000100010 +000100010000 +000000010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010001 +000100100001 +000100100010 +001101000100 +010101100111 +100010001001 +100110011011 +101010101011 +101010101011 +101010101011 +100110101011 +100110101011 +100110101011 +100110101010 +100110101011 +100110101011 +100110101011 +100110101010 +100110101011 +100110101011 +101010101011 +101010101011 +101010111100 +101010111100 +101010101011 +100110101011 +100110011010 +100110101011 +100110011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011010001001 +011001111000 +011001111000 +011001111000 +011010001001 +011010001001 +011010001001 +011010001001 +011001111000 +010101111000 +010101100111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010001000101 +010001000101 +010101000101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000101 +011001100111 +011101111000 +100010001001 +100010001001 +011101111000 +011001110111 +011001100111 +011001100111 +011001110111 +010101100111 +010101100110 +010101100111 +011001110111 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010011001 +011001100110 +010101010101 +011101110111 +011001100110 +010101010101 +011001010101 +101010101010 +011101110111 +011001100110 +011101111000 +100110011001 +100110011001 +010001000100 +011001100101 +011001100110 +011001010101 +010101010101 +010101010101 +011001100110 +011001100100 +101001100010 +101101110010 +100001010001 +100001100010 +101010010101 +101110101000 +010101010101 +010101100110 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +011110001001 +011101111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011110001000 +001100110011 +001100100010 +001100110011 +011001100110 +100010011001 +010101010101 +001000100001 +001000100001 +001100100010 +001100100001 +001100100001 +001000100001 +001000100001 +001000010000 +001000010000 +001100110010 +011001100110 +011101110111 +011001100110 +010001000011 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +010000110001 +011101010010 +100101100010 +100101100010 +100101100010 +101001100010 +101001110010 +100101110010 +011110000110 +010110011010 +010001100111 +011001100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011110001000 +011101111000 +011101111000 +011110001000 +100010011001 +100010011001 +011110001000 +011001111000 +011001110111 +011110001000 +011110001000 +100010000111 +100001110110 +100001110110 +100001110110 +011101110110 +011101100110 +011101100101 +011101100101 +011101100110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101111000 +011101110111 +011001100110 +010101100101 +010101100110 +010101010101 +001101000011 +001100110010 +001100110011 +001100110011 +001100110011 +001101000011 +010101010110 +011001110111 +100010001000 +101010111011 +110011001100 +101011001100 +011110101010 +011010101001 +011110010111 +011010010101 +011010000011 +010101110010 +010101100010 +010101100010 +010101100010 +010001010010 +010001010010 +010001010010 +010001010010 +001101000001 +010001000001 +010001000000 +011001010010 +011101100011 +011101110101 +011001110111 +011101111000 +011001111000 +010101100111 +010001010110 +010101100110 +011001100110 +011001100110 +010101100110 +010101100110 +010101010110 +010001010110 +011001110111 +011110001001 +011110001001 +011110001001 +011001111000 +001101000101 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100000 +000100100001 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +001100100000 +101001110010 +111111000100 +110110100011 +100101110010 +011001100010 +010101100010 +011001100010 +011001100010 +010001010010 +001101010010 +001001100011 +001001100100 +001001100100 +001001100011 +001001100100 +001001110101 +001001110110 +001001110111 +001001111000 +000101111001 +000101111001 +001010001010 +001010001010 +001010001011 +001010001011 +001010001010 +001010001011 +001010001011 +001001111010 +001110001011 +010110101100 +010110101100 +011010011011 +010101111000 +010001100101 +010001100101 +001101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +001000110011 +000100010000 +000100100001 +000100100001 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000110011 +010001010110 +011101111000 +100010011010 +100110011010 +100110101011 +101010101011 +101010101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110011010 +100110011010 +100110011010 +100110101011 +100110101011 +101010101011 +101010101011 +101010101011 +101010101011 +100110011010 +100010011010 +100010011010 +100110011010 +100110011010 +100110011010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011010 +100110011010 +100010011001 +100010011001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011001111000 +011010001000 +011010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011010001001 +011001111000 +011001111000 +011001110111 +010101110111 +010101100111 +010101100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +010001010101 +010101010110 +010101010110 +010101010101 +010001010101 +010001010101 +010101010101 +010001010101 +010101010110 +011001100111 +011001110111 +011001100111 +011001100111 +011001110111 +011001111000 +011001111000 +011001110111 +011001110111 +011001111000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +011110001001 +100110011000 +011001100101 +011001100101 +011001100110 +011101110111 +011101110111 +010001000100 +001100110100 +001100110100 +001101000101 +001100110011 +010101000100 +011101110110 +011101110110 +011001010101 +010101010101 +011001100101 +010001000100 +010101010101 +011001010011 +100101100010 +101101110010 +101001100010 +101001110010 +110010100100 +101110110111 +011001100110 +010101100110 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011101111000 +011101111000 +011101110111 +011001110111 +011001110111 +011101110111 +011110001000 +011101110111 +001100110010 +001000100001 +010101010101 +100010011010 +100010011010 +011110001000 +010101010101 +001100110011 +001100100001 +001000100001 +001000100001 +001100100001 +001000100001 +001000100000 +010000110011 +001100110010 +001000100001 +001000010001 +000100010000 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +001000100001 +010000110001 +011001000001 +100001100010 +100101100010 +100101100010 +101001100010 +101001110010 +101001110010 +100010010101 +010110001001 +010110001010 +011110001000 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010001001 +100010011001 +100010001001 +100001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110111 +011101111000 +011101110111 +011001100101 +010101010101 +010101100110 +010101010101 +010001000100 +001101000011 +010001000100 +010001010101 +010101100110 +011001110111 +011110001000 +100110101010 +110011001101 +100110111100 +011010011010 +010110011001 +010110010111 +010110000100 +010101100011 +010001010010 +010001010010 +010001010010 +001101000010 +010001010011 +001101000010 +001101000010 +001101000010 +001101000001 +001100110001 +001100110010 +010101010100 +010101100101 +011001100110 +011101110111 +100010000111 +100010000110 +011001100110 +010001010101 +001101000100 +001000110011 +010001000100 +010101100110 +011001100111 +010101100110 +010101100110 +010101100110 +010101100110 +011001111000 +011010001000 +011001111000 +011001110111 +010001010110 +001000110011 +000100010001 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100000 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010100110001 +110010010010 +111010100010 +110010010011 +100001100010 +011001010001 +010101010010 +010001010010 +010001000010 +001101000010 +001101000010 +001101000001 +001001000010 +001001010010 +001001010011 +001101010010 +001001010010 +001001010010 +001001100100 +001001100110 +001001101000 +001010001010 +001001111001 +000101111001 +000101101001 +000101101001 +001001111001 +001001111010 +001001111010 +001010001010 +001010001011 +001010001011 +001010001011 +001010001011 +001110011100 +010110101100 +010101111000 +010001010101 +010001000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +000100110011 +000100010001 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000101 +010101100110 +011101111000 +100010001001 +100110011010 +100110101011 +101010101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110101010 +100110011010 +100110011010 +100010011001 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011110001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011001111000 +011001111000 +010101110111 +010101100111 +010001100110 +010001010110 +010001010101 +010101100110 +011101110111 +100010001000 +100110011001 +101010101010 +100110101010 +100110101010 +100110101001 +100010001000 +011110000111 +011001110111 +010101100110 +011001100111 +010101100111 +011001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +011110001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +100010001001 +011110001001 +011110001001 +100110011000 +011001100101 +010101010101 +010001000100 +011101110111 +001100110011 +001100110011 +010001010110 +001101000110 +001101000101 +010001000100 +001100100001 +001100110010 +011101100110 +011001100101 +010000110011 +011001010101 +011001100101 +011101110111 +011001100101 +100001010010 +101101110010 +101101110010 +101101110001 +111111000100 +110111001000 +011101110111 +010101010110 +010101100110 +011101111000 +100010011001 +100010011010 +100010011010 +100010001001 +011110001001 +011101111000 +011001110111 +011001100111 +011001100111 +011001110111 +011101110111 +011110001000 +100010001000 +011001110111 +001000100010 +001100110010 +100010011001 +100010011001 +100010001001 +100010011001 +100010001001 +100010001000 +011110001000 +011110001000 +011101110111 +010000110010 +000100010000 +001000010001 +001000010000 +001000010000 +001000010000 +001000010000 +001000010001 +001000010001 +000100010000 +000100010001 +001000010001 +000100010000 +000100010000 +001000100000 +010000110001 +011101010001 +100101100010 +100101100010 +100101100010 +101001100010 +101001110010 +101001110001 +100110000100 +011010011001 +010010001001 +011001111000 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +100010001000 +100010001000 +100010001001 +100010011001 +100010011010 +100010011010 +100110011010 +100110011010 +100110101010 +100110011010 +100010011001 +011101110111 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011001100101 +010101010101 +010101100110 +010101100110 +010101100101 +010101010101 +010101010110 +011001110111 +011110001000 +100010011001 +101111001100 +100110111100 +011010101011 +010110001000 +010110000110 +011001110101 +011001110100 +011101110101 +011001110101 +011001100101 +010101010101 +011001100110 +010101010101 +010101010101 +011001100110 +010101010101 +010101010101 +011001110110 +010001000011 +011101110111 +100110101010 +100010001001 +100110011010 +101110111011 +101010111011 +101110101010 +011001010101 +001000100010 +001000110010 +001101000100 +010101100110 +011001100111 +010101100110 +010001010110 +010001010101 +010001010101 +010101100110 +010101100111 +010101100111 +010101010110 +010001000101 +001100110100 +001000100010 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +010000100001 +110110100010 +110110100011 +100101110010 +011001010001 +010101010001 +010001010010 +001101000001 +001101000001 +001101000001 +001101000001 +001101000001 +001000110001 +001001000001 +001101000001 +001001000001 +001001000001 +001101000010 +001001000010 +001001010011 +000101010101 +000101010110 +000101100110 +000101100111 +000101111000 +000101101001 +000101101000 +000101101000 +000101011000 +000101101000 +001001111001 +001010001010 +001010001011 +001010001011 +001010001011 +001010001011 +001110011100 +010110011100 +010101111000 +001101010100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010001 +001000100010 +001000110100 +001101000100 +001000110100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110100 +010001000101 +010101100110 +011110001000 +100010011001 +100110011010 +100110101011 +100110101011 +100110101011 +100110011010 +100010011010 +100010011001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011001 +100010001001 +100010001001 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011101111000 +011001111000 +011001110111 +100010011001 +101010111011 +110011001100 +101111001100 +101111001100 +101111001100 +101010111100 +101011001100 +101010111100 +101111001100 +110111011101 +111011101100 +110111011100 +110011001100 +101010101010 +100010011000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +100001110110 +011101100101 +010101010101 +010001000011 +010101000100 +001100100010 +010001000100 +010001010101 +001101000101 +001101000101 +010101010101 +010101000011 +001100100010 +001100100010 +010101010101 +010000110011 +010101010100 +011101100110 +011101110111 +010000110011 +010000100000 +101001100010 +101101110010 +101101110001 +111010100010 +111111010101 +100110010111 +010101010101 +010101100110 +011101111000 +100010011001 +100010011010 +100010011001 +100010001001 +011110001000 +011001100111 +010101010101 +010101010101 +010101100110 +011001100111 +011001110111 +011110001000 +011110001000 +100010001000 +011110000111 +010000110011 +011101110110 +100010011001 +011110001001 +011110001001 +100010001001 +100010011001 +100010011001 +100010011001 +011001100110 +001100110010 +001000010000 +001000010000 +001000010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +000100010000 +000100010000 +000100010001 +000100010000 +001000010001 +001000100001 +010000110001 +011101010001 +100101100010 +100101100010 +100101100010 +101001100010 +101001110010 +101001110010 +100110000100 +011110011001 +010110011011 +010101111001 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011110001000 +011110001000 +011110001000 +100010001001 +100010011001 +100010011010 +100110011010 +100110011010 +100110101010 +100110101010 +100010011001 +011101110111 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +010101010110 +010101100110 +011001100111 +011001110110 +011001100110 +011001110111 +011101110111 +100010101010 +101011001101 +100010111100 +010110001010 +010010000111 +010001110100 +010101100010 +011101110110 +101010101010 +100110011001 +100010001000 +100110011001 +011101110111 +011101110111 +011001100110 +011001100110 +010101010101 +011001100110 +011101110111 +100010001000 +011101110111 +100110101010 +010001010101 +011001100110 +101010101010 +100010001001 +001101000101 +100010001001 +100110011000 +001100110011 +010001010101 +010101100111 +011001111000 +011001111000 +010101100110 +010001010101 +001101000100 +001101000100 +010001000100 +010001000101 +001101000100 +001100110011 +001101000100 +001101000100 +001000110011 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +001000100000 +101110000010 +101110000010 +011101010001 +011001010010 +011001010010 +010001000001 +001100110001 +001100110001 +001100110001 +001101000001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001001000001 +001001000001 +001001000001 +001001000010 +001001010011 +000101010011 +000101010011 +000101010100 +000101010101 +000101100111 +000101101000 +000101101000 +000101101000 +000101101000 +000101101000 +000101111001 +001001111001 +001001111010 +001010001010 +001010001010 +001010001011 +001110001011 +010010011011 +010110001010 +010001100111 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010000 +000100100010 +001000110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001100110100 +001000110100 +001000110011 +001000110011 +001000110100 +001101000100 +010001010101 +011001100111 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010001001 +100010011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011010001000 +011001111000 +011001111000 +100110101010 +110011001100 +101111001011 +100010101001 +011010011001 +011010001000 +011010011000 +011010011001 +011010011001 +011010011010 +011010011010 +011010011010 +011110011001 +101010111011 +110011001011 +111011101101 +110111011100 +111011101101 +110111011100 +101110111011 +100010011001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +011101100100 +010101000100 +010101010101 +010001000011 +010101010101 +001100110011 +010001000100 +010001000101 +001101000110 +001101000101 +010001000100 +010101000100 +010000110011 +001100110010 +011001010101 +011001100110 +010001000100 +010001000100 +011001010101 +010101010100 +001000010000 +100101010010 +101101110010 +110001110010 +110010000001 +111111000100 +101110100111 +010101100110 +011001100110 +011110001000 +100010011001 +100010011001 +100010011001 +100010001001 +011101111000 +010101100110 +001100110011 +001101000100 +010101100110 +011001110111 +011001110111 +011110001000 +011110001000 +100010001000 +100010011001 +100010001000 +100010001000 +100010011001 +011110001001 +011110001001 +100010001001 +100010001001 +011110001001 +100010011001 +010001000011 +001000010001 +001000010000 +001000010000 +001000010000 +000100010000 +000100010000 +001000010001 +001000010001 +001000010001 +000100010000 +001000010001 +000100010001 +000100010000 +001000100000 +001100110001 +010101000001 +100001010001 +100101100010 +100101100001 +100101100010 +101001100010 +101001110010 +100101100010 +011101110011 +011110011000 +001101010110 +001101000101 +011001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011101110111 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +010101100110 +010101100110 +011001110111 +011001110111 +011001110110 +011001110110 +100110101010 +101011001100 +011010011010 +001110001001 +010001110110 +001101100011 +010001010010 +011001100100 +101010101010 +100010001000 +011101110111 +010101010101 +010101010101 +011101110111 +011101110111 +100001111000 +011001100110 +010101010101 +010101010110 +011101110111 +100010001000 +011110001000 +100010001001 +010101010101 +100010001000 +100110011001 +001100110100 +001000110100 +010001000110 +100010001001 +011001110111 +011001111000 +011110001001 +011110001001 +011001110111 +010101100110 +001101000101 +001100110100 +001000110011 +001100110011 +001100110011 +001101000100 +010001010101 +010101100111 +010101100111 +001101000100 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +000100010000 +000100100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +011001000010 +100101100010 +010101000001 +010001000001 +010001000001 +001100110001 +001000100000 +001000110001 +001100110001 +001100110001 +001000110001 +001000110001 +001000100001 +000100100000 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001001000001 +000100110001 +000100110001 +000101000010 +000101000010 +000101000011 +000101010100 +000101010100 +000101010101 +000101100110 +000101101000 +000101101000 +000101101000 +000101101001 +000101111001 +001001111010 +001001111010 +000101111010 +001010001010 +001010001011 +010010011100 +011110111101 +011010011010 +001101010110 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010001 +000100100010 +001000110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001000110100 +001000110100 +001000110100 +001000110100 +001000110100 +001101000100 +010001010101 +010101100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011101111000 +011110001000 +100010001001 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010001001 +100010011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011001111000 +011001110111 +010101100111 +011001110111 +101110111010 +101110111010 +100010100111 +011010000110 +010101110101 +010001110110 +010001110110 +001101110101 +001101110110 +010010000110 +010010000111 +001110001000 +010001111000 +010001111000 +011010011001 +100110101001 +101110111010 +101010101001 +110111011100 +111011011100 +111111101101 +111011011100 +101110111011 +100010011001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001000 +011001010011 +010001000011 +011101110111 +100001110111 +011101110111 +010001000100 +010101000101 +010101010110 +001101000110 +001101000101 +010001000011 +010101000011 +010101000100 +010000110011 +010101000100 +100010001000 +100110011001 +100110011001 +101010101010 +100010000111 +000100010000 +010000100000 +101001100010 +110001110001 +110001110001 +110110100011 +111011011000 +100110010111 +011001100111 +011101111000 +100010011001 +100010011001 +100010011001 +100010001001 +011101111000 +010101010110 +001000110011 +001101000100 +010101100111 +011001110111 +011001110111 +011101111000 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +100010001001 +100010001001 +100010011001 +100010001000 +001100110010 +001000100001 +001000010000 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010000 +000100010000 +000100010000 +001000100000 +001100110001 +010101000001 +011101010001 +100101010010 +100101100001 +100101100001 +100101100010 +100101100010 +100101110010 +100101100010 +010001010011 +011010011010 +010001101000 +000100100001 +011001100110 +011101110110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101111000 +011110001000 +011101111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011001110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011001110111 +100010011000 +100110101011 +010001111000 +001101111000 +001101100110 +001101010010 +010001010001 +011101110101 +101010101010 +101010101011 +011101110111 +100010001000 +001000100010 +010001010101 +011110001000 +011101110111 +011101110111 +011101110111 +011001100110 +011001010101 +011101100110 +011101110111 +100010001000 +100010001001 +100110011001 +100010011001 +010001000101 +001100110100 +001100110101 +001000110100 +010001010110 +100010011010 +011110001001 +011110001001 +011110001001 +011001110111 +010101100110 +010001010101 +001101000100 +001100110100 +001101000100 +010001010101 +010101100111 +011001111000 +011101111000 +011001111000 +010001000101 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000010000 +000100010000 +000000000000 +000100000000 +001000100000 +011001000001 +001100100000 +001000100000 +001100100000 +001000100001 +001000100001 +001000100001 +001000110001 +001100110001 +001000110001 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +000100100000 +000100100000 +000100100001 +000100100000 +000100100001 +000100100001 +000000010000 +000100100001 +001000110001 +001001000010 +000100110010 +000100110010 +000101000011 +000101000010 +000101010100 +000101010110 +000101010111 +000101010111 +000101101000 +000101101001 +000101111001 +001001111001 +000101111001 +000101111001 +001001111010 +001001111010 +001110001011 +010110101100 +011010101101 +010001111000 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +001000010001 +001000100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000110011 +001000110011 +000100010000 +001000100011 +001101000100 +001101000101 +001101010101 +001101010101 +001101000101 +001101010101 +010001010110 +010001010101 +001101000101 +001101000100 +001001000100 +001000110100 +001001000100 +001101000100 +001101000100 +001100110100 +001000110011 +001000110011 +001100110100 +001101000100 +001100110100 +001000110011 +001101000100 +010001010101 +010101100110 +011001100111 +011001110111 +011101111000 +011110001000 +100010001001 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011001110111 +011001110111 +010101100110 +011101110111 +101110111010 +100110100111 +011001110101 +010001100011 +001101100011 +001101100011 +001101100011 +001101100011 +001101100011 +001101100011 +001101100100 +001101110101 +001101110110 +001101110110 +010001110110 +010110000111 +100010011000 +101010111001 +011110000111 +011110011000 +100010011001 +101010101010 +110011001011 +111111101101 +110111101101 +101010111010 +100010011001 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +010001000011 +010001000011 +101110111011 +101010101010 +010101010101 +010001000100 +010101010101 +010001000101 +001101000101 +001101000101 +010001000100 +010101010100 +010001000011 +001100110010 +001100110010 +100010000111 +100110011001 +101010101010 +100110011001 +100010001000 +001000010001 +000100010000 +011001000001 +101101110010 +110001110010 +110110100010 +111111000101 +101110111000 +100010011000 +011101110111 +011110001001 +100010001001 +100010001001 +100010001001 +011110001000 +010101100111 +010001010101 +010001010110 +011001100111 +011001111000 +011001110111 +011101111000 +011110001000 +100010001000 +100010011001 +100010011010 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +011110000111 +001100100001 +001000100001 +001000010000 +001000100001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +001000010001 +001000010001 +000100010000 +001000010001 +001000100000 +010000110001 +011001000001 +100001010001 +100101100001 +100001010001 +100101010010 +100101100010 +100101100010 +100101100010 +100101100010 +010101010100 +001101111000 +010110001010 +001000110100 +010101010100 +011101110110 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101111000 +011001110111 +010101100110 +011001110111 +011110001000 +011110001000 +011110001000 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011001100110 +011101111000 +110011001100 +011010001000 +001101110110 +001101100100 +001101010010 +010001000010 +011101110101 +101110111011 +101110111011 +101010101010 +011101110111 +011001100110 +011001110110 +011001100110 +011101110110 +100001110111 +010101010101 +011001010101 +011001100110 +011001100110 +011101110111 +011101110111 +100010011001 +100110101010 +100110101010 +010001010101 +001100110100 +001101000101 +001100110100 +001100110100 +001000110100 +010101100111 +100010011010 +011010001000 +011001111000 +011001110111 +010101100110 +010001010110 +010001010101 +010001010101 +010001010110 +010101100111 +011010001000 +011110001001 +011110001001 +011001111000 +001101000100 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000010000 +000100000000 +000100000000 +000100010000 +010000110001 +011001010010 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +001000100000 +001000100000 +001000100000 +001000100001 +001100110010 +010101010101 +010001000100 +001100110011 +000100010001 +001100110011 +010001000100 +010001010101 +010101010101 +010001000100 +010001000100 +010001000101 +001000100010 +000100010001 +000100100001 +001000110001 +000100110001 +000100110010 +000101000010 +000101000011 +000101000100 +000101000101 +000101010101 +000101010110 +000101010111 +000101101000 +000101101000 +000101101001 +000101101001 +000101101000 +000101101001 +001001111010 +001010001011 +001110011011 +010110101100 +010001110111 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +001000010001 +001000100001 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000100010001 +001000110011 +001101000101 +010001010101 +001101010101 +001101010101 +001101010101 +010001010101 +010001010110 +010001010110 +001101010101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000100 +001000110100 +001000110011 +001000100011 +000100100010 +000100010010 +000100100010 +001000110011 +001000110011 +001100110100 +001101000100 +010001010101 +010101100110 +010101100110 +011001100111 +011001110111 +011101111000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001000 +011110001000 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +100110101000 +011010000101 +010001100011 +010001010010 +001101000010 +001001000010 +001001000001 +001001000010 +001001010010 +001001010011 +001101100011 +001101010010 +001101010010 +001101100011 +010001110011 +010001110100 +011001110100 +100010000101 +100010000101 +011001110110 +010001100110 +001101100101 +010101110110 +011110011000 +101110111010 +111011101101 +111111101101 +111011101101 +101111001011 +100110101010 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +010001000100 +011001100101 +101110111010 +100110011000 +010101010101 +010000110011 +010101000101 +010001000100 +001101000101 +001101000101 +010000110100 +010101000011 +010001000011 +001100100010 +001000100001 +010101010100 +100010001000 +100110011001 +100110011001 +100110011000 +001100110010 +000100010000 +001000010000 +011101000001 +110001110010 +110110010010 +110010000010 +100110010101 +100110011000 +100010001000 +011110001000 +100010001001 +100010001001 +100010001001 +011110001001 +011001111000 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011110001000 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +011110000111 +001100100001 +001000010000 +001000010000 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +001000010000 +001000010001 +001000100001 +001000100001 +001100110001 +010000110001 +011001000001 +100001010001 +100001010010 +100101100001 +100001010001 +100101100010 +100101100010 +101001100010 +100101100010 +100101100010 +011101110110 +010010001010 +010010001001 +001101100111 +010001010101 +011101110110 +011101100101 +011101100101 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +010101100110 +010001010101 +010101100110 +011101111000 +011110001000 +011101110111 +010101100101 +010101010101 +011001100110 +011101110111 +011101110111 +100001110111 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101111000 +011101110111 +011001100110 +011001100110 +010101100110 +010101010101 +011001100110 +100110111011 +100010011001 +010001100100 +010001010010 +010101010001 +011001010010 +011110000110 +101010101010 +101010101010 +011101110111 +011101110111 +100110011001 +011001100110 +011001100110 +011101110111 +100010001000 +011101110111 +011101100110 +011001100110 +011001100101 +010101010101 +010101010101 +011101111000 +100110011001 +101010101010 +010101010110 +001000110100 +001101000100 +001100110100 +001100110100 +001000110100 +001100110101 +001100110101 +011001111000 +011001110111 +011001100111 +010101100111 +010101100110 +010101010110 +010101100110 +010101100110 +010101100110 +011001111000 +011110001001 +011110001001 +011001111000 +010101100111 +001100110011 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000100000000 +001000010001 +100001110101 +100110000110 +011001100110 +011101110111 +011101110111 +010101010101 +001100110010 +001000100001 +001000100001 +001100110010 +010101010100 +010101010110 +011001100110 +011001100110 +010001000100 +001100110011 +011001100110 +011001100111 +011101111000 +100010001000 +011101110111 +011101110111 +011101111000 +100010001000 +011001100110 +001100110010 +000100100000 +001000110001 +001000110001 +000100110010 +000100110010 +000100110010 +000100110011 +000101000100 +000101000100 +000101000101 +000101010110 +000001010111 +000101011000 +000101101000 +000101101000 +000101101000 +000101101001 +000101111010 +001001111010 +010010011100 +011010101101 +010110001010 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +001000100001 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000000010001 +001000110011 +001101000101 +010001010110 +010001010101 +001101010101 +001101010101 +010001010110 +010001010110 +010001010110 +001101010101 +001101000101 +001101000101 +001101000101 +001101000101 +010001010101 +010001010110 +010001010101 +001101000101 +001101000100 +001101000100 +001000110100 +001000110011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110100 +001000110100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +011001100111 +011001110111 +011001110111 +011101110111 +011101111000 +011110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +011001100111 +010101100110 +010101100111 +011001110111 +011001110111 +011001111000 +011101111000 +011101111000 +011001111000 +011001111000 +011101111000 +100010000110 +011001110100 +010001010010 +001101010010 +001001000001 +001001000001 +001001000001 +001001000001 +001001000001 +001001000001 +001001000010 +001001000010 +001101000010 +001101000001 +001101000001 +001101010001 +001101000010 +010001010001 +010101010001 +010101010010 +010001010010 +010001010011 +010001000010 +001101000011 +010001100110 +100010011000 +110111001011 +110111001011 +111011011100 +111011011100 +110111101101 +110011011100 +100110101001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +010001000100 +100001110111 +101010101010 +100010001000 +001100110011 +001100110010 +010001000100 +010000110100 +010001000101 +010001000101 +001100110011 +010000110010 +001100110010 +001000010001 +001000100001 +010000110011 +100010001000 +100110011001 +100110011001 +100110011000 +010001000100 +000100010000 +000100010000 +001100100000 +101101100010 +110110000001 +101110000010 +100001100011 +101010010111 +101010101010 +100010001001 +100010001001 +100010011001 +100010011001 +011110001001 +011001111000 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011110001000 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011000 +100110000011 +001100110001 +001000100001 +001100100001 +001100100001 +001100100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000110001 +001100110001 +010000110001 +011001000001 +011101010001 +100001010010 +100001010010 +100001010010 +100001010010 +100101100001 +100101100010 +100101100010 +100001100010 +011101100010 +011001100100 +010110011010 +010010011010 +001101111000 +010101100110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +011110001000 +011001110111 +011001100110 +011001110111 +011110001000 +100010001001 +011101111000 +010101100101 +010001010100 +011001100110 +011101110111 +011101110111 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011110001000 +011101110111 +011001100110 +011001100101 +010101010101 +010101010100 +011110011010 +010001111000 +001101010100 +010101000001 +100101010010 +101001110010 +101001110011 +100001110100 +011101100101 +010101010101 +011101110111 +100010001000 +011001100110 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +010101010101 +010101010100 +010101010101 +011101110111 +101010101010 +011001100110 +001000110011 +001101000100 +001100110100 +001000110100 +001100110100 +001100110100 +001101000100 +001100110101 +010001010110 +011001110111 +010101010101 +010101100110 +010101100110 +010101010110 +010101100110 +010101100110 +010101100111 +011001111000 +011001111000 +011001111000 +010101100110 +001101000100 +000100100001 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +010000110010 +101110101001 +101010011001 +100010001000 +011101110111 +011001100110 +011101111000 +011101110111 +010101010101 +011101110111 +011101110111 +011001100110 +010101010101 +011001110111 +011001100110 +011001100110 +001100110100 +010001010101 +001100110011 +010101010101 +010101000100 +001100110011 +010001000100 +010101100110 +011001100111 +100010001001 +100001111000 +010000110011 +001000100001 +001000110001 +000100110001 +001000110001 +000100100001 +000100110001 +000100110010 +000101000011 +000101000100 +000101000101 +000101010110 +000101010111 +000101010111 +000101011000 +000101101000 +000101101000 +000101111001 +000101111001 +001001111010 +010010001011 +011010101100 +010110001001 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000000010000 +001000110010 +001101000101 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101010101 +001101000101 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +001000110100 +001000100011 +001000100011 +001000110100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000101 +010001000101 +010001010101 +010001010101 +010101010110 +010101100110 +011001100110 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +010101100111 +010101100110 +010101100110 +010101100111 +010101100111 +011001110111 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011001110100 +010001010010 +001101000010 +001101000001 +001000110001 +001000110001 +001000110001 +001001000001 +001001000001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001100110010 +011001010100 +011001010100 +010001000010 +010000110001 +011001010001 +100001010010 +011001000010 +010101010011 +101010010110 +110010111001 +100110011001 +100110011001 +100110101001 +100010011001 +101111001011 +110111101101 +101110111011 +100110011001 +100010001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011110001000 +011110001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +010101010100 +100010000111 +101010101010 +100010001000 +001100110011 +001000100010 +010001000100 +010001000100 +001100110100 +010001000100 +010000110011 +001100110010 +001000100001 +000100010000 +001000100001 +001100110010 +100001110111 +100110011001 +100110011001 +100010001000 +010001000011 +000100010000 +000100010000 +001000010000 +011101010001 +110001110010 +110010000010 +100101100010 +101010010111 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011001111000 +010101100111 +010101100111 +010101100111 +011001110111 +011001110111 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +101010100111 +110010010011 +100001100010 +011001010001 +010100110001 +010000110000 +001100110001 +001100110001 +001100110001 +010000110001 +010000110001 +010000110001 +010000110001 +010000110001 +011001000001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +100001100010 +011001010001 +010001000001 +001100110001 +001100110001 +010101100101 +011010001000 +010001100111 +011001100110 +100001110110 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +100010001000 +011110001001 +011110001000 +011110001000 +100010011001 +100010011001 +100010001000 +011001110111 +011001110111 +011101110111 +011101110111 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011110001000 +011101110111 +011001100101 +011001010101 +010101010101 +011110001000 +010010001001 +001101110110 +010001010010 +100101100001 +101101110010 +101101110010 +101101100010 +100001100010 +011001010100 +011001100110 +011001100110 +010101010101 +010101010101 +011001100110 +011001100110 +011101110111 +011101110111 +011001100110 +010101010100 +011001100110 +011101110110 +010101010100 +010001000100 +100010001000 +100110011010 +010001000101 +001101000101 +001101000100 +001100110100 +001100110100 +001000110100 +001100110100 +001100110100 +001100110100 +001100110101 +011001111000 +010101100110 +011001100110 +010101100110 +010001010101 +010001010101 +010001010101 +010101100110 +010101100111 +010101100111 +010001010101 +001101000100 +001000110011 +000100010001 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +101010011000 +100110001000 +011101110111 +011101110111 +010000110100 +001000100011 +011101110111 +011101110111 +011101110111 +011001100110 +011101110110 +001100110011 +010101010101 +010001010101 +010101010101 +010001000101 +010101010110 +010101010101 +010101010110 +001100110011 +000100010001 +000100100010 +011101110111 +010101010101 +011101111000 +100010001000 +100001110111 +011001100110 +010101010100 +001100110010 +001000100000 +001000100000 +001000100000 +001000110001 +001000110010 +001001000011 +001001000100 +000101000101 +000101000110 +000101010110 +000101010111 +000101010110 +000101010111 +000101101000 +000101111001 +001001111010 +001001111010 +001001111001 +010001111001 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010001 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +000100110010 +000100110011 +001000110011 +001000110011 +001000110011 +000000010000 +001000100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +001101000101 +001101000100 +001000110100 +001000110011 +001101000100 +001101000101 +001101000101 +001101010101 +001101010101 +010001010101 +010001010101 +010001010101 +001101010101 +010001010101 +010001010101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001000110100 +001000110100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010101100110 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011101110111 +010101010010 +001101000001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110000 +001000110001 +001000110001 +001000110001 +001000100001 +001000100010 +010001000100 +011001100111 +011101100111 +100010001000 +010101000100 +010000110000 +100101100010 +101001100010 +100001010001 +100101100001 +110010100100 +110010110110 +100010011000 +011110001001 +010101111000 +001101100111 +010001111000 +011010001001 +101111001011 +110111011101 +110011001100 +100110101001 +011001110110 +010101100101 +010001010101 +010001010101 +010101100110 +010101110110 +011001110111 +011001111000 +011001111000 +011110001000 +011110001000 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +010000110011 +011001100101 +101010101010 +100010011000 +010001000100 +001100100010 +010001000011 +010001000100 +001000100010 +001000100010 +010001000011 +001100110010 +000100010000 +001000010001 +001000100010 +001100110011 +100010001000 +100110011001 +100010001000 +011001100101 +001000010001 +000100010000 +000100010000 +000100010000 +010100110000 +101101100010 +101101110010 +100001100011 +010101010101 +010101100111 +011010001001 +011110011001 +100010011001 +100010011001 +011110001001 +011101111000 +011001110111 +010101100111 +010101100111 +011001100111 +011001110111 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +101010101000 +101010100110 +101110010101 +100101100001 +011101010001 +011001000001 +010101000001 +010100110001 +010100110001 +011001000001 +011001010001 +011001000001 +011001000001 +011101010001 +100001010010 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011001000001 +100001010010 +011101010010 +010101000001 +001000110011 +001001000100 +001001000100 +001101000011 +010101110110 +010001100110 +011001100110 +011101100101 +011001100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +100010001001 +100010011001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +011101110110 +011101100101 +011101100101 +011101110110 +011101110110 +011101110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110110 +011101110110 +011110000111 +011110001000 +011101110111 +011001100110 +011001010101 +011110011001 +011010011010 +001101110111 +010001100100 +100001100010 +101101110010 +101101110010 +101101110010 +101101110010 +100001010001 +011001010010 +100010000111 +100110011010 +100110011001 +100110001001 +100010001000 +011101100111 +010101010101 +011001100101 +011001100110 +011101110110 +010101010101 +010001000100 +011001100110 +100110011001 +101010101010 +011110001000 +010101010111 +001101000100 +001101000100 +001101000100 +001101000100 +001000110100 +001100110100 +001101000100 +001101000100 +001000110100 +010101100111 +011101111000 +010101100111 +010001010110 +001101000100 +001100110100 +001101000100 +010001010101 +010001010110 +001101000101 +001101000100 +010001010101 +010001000101 +001000100011 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +010101000100 +101010011001 +010101010101 +011101100111 +011101110111 +011001100110 +000100010001 +001100110011 +011101110111 +100010001000 +010101010101 +011001100110 +011101110111 +011001110111 +011001100110 +010101100110 +010001000101 +011001100110 +011001100110 +010001000100 +010000110011 +000100000000 +000000000000 +010101100101 +010001010101 +011101111000 +100010001000 +100001111000 +100010001000 +100010001000 +100001110111 +011001010101 +010101000100 +010000110010 +010000110001 +010000110001 +010000110001 +001100110001 +001100110010 +001000110010 +001101000010 +001001000011 +000101000100 +000101010101 +000101010111 +000101101000 +000101101001 +001001111010 +001010001011 +001001111010 +001101100111 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100010010 +000100010010 +000100010001 +000000010001 +000100010010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100110010 +000100110011 +001000110011 +001000110011 +001000110011 +000000010000 +001000100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +001101000101 +001101000100 +001000110100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110100 +001101000101 +010101100111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011001100110 +010001000010 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +000100100001 +000100100000 +001000110001 +001000100001 +001000100001 +001100110011 +001100110011 +010001000101 +010000110100 +010001000100 +011001010101 +001100100010 +010101000001 +100101100010 +101101110010 +101001100010 +101001100001 +110010010001 +111011000100 +100010000101 +010101110111 +010101111000 +001101010111 +001101010111 +001101101000 +010001101000 +010110001000 +101010111010 +110111011100 +101010111010 +011101110111 +100110000111 +100001110101 +011101100100 +010101100100 +010001010100 +010001010101 +010001010101 +010101100110 +011001111000 +011110001001 +100010001001 +011110001001 +011110001001 +011110001000 +001100110011 +001000100010 +100010001000 +100110011001 +010101010101 +001100110010 +010001000011 +010000110011 +001000100010 +001000100010 +010001000011 +001100110010 +000100010000 +001100100010 +001000100010 +001100110011 +100010001000 +100010001000 +011001100110 +001000100001 +000100010000 +000100010000 +000100010000 +000100010000 +010100110001 +101001100010 +101101110010 +011101100010 +001101010101 +001101100111 +010001111000 +011110001001 +100010011001 +100010011001 +100010001001 +011110001000 +011001111000 +011001110111 +011001110111 +011001100111 +011001110111 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100110011010 +100010011001 +101010010101 +101001110001 +100101100010 +100001010010 +011101010001 +011101010010 +011101010010 +011101010001 +011101010010 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +010101000001 +100001010010 +011101010010 +010101000010 +001001000011 +001001010110 +001001100111 +001001100111 +001101111000 +010001111000 +010001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101110111 +011110001000 +100010011001 +100010001001 +100010001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101110101 +011101110101 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011110000111 +011110001000 +011101110111 +011001100110 +011110000111 +011110111100 +001110001001 +001001100101 +010101100011 +101001110010 +101101110010 +110001110010 +101101110001 +101101100010 +101001100010 +100001110011 +100010000111 +100010001000 +011101110111 +011101111000 +011101110111 +010101010101 +010101010100 +010001000100 +001100110011 +010000110011 +011101110111 +101010111010 +101010101010 +100001110111 +011001010101 +010101010110 +010101010110 +001101000101 +001100110100 +001100110100 +001100110100 +001000110100 +001100110100 +001100110100 +001100110100 +001101000101 +010001010110 +011101111000 +010101100111 +010001010101 +001101000100 +001000110010 +001000110011 +001101000101 +010001010110 +010001010110 +010001100110 +010101110111 +010101100111 +001100110100 +000100010001 +000100000000 +000100000000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000000000000 +000100000000 +000100010000 +000100010001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100010 +100110000111 +011001100110 +001000100011 +001000100010 +010101010101 +011101110111 +011001100101 +001100110011 +011001100110 +011101110111 +010101010101 +010101010101 +011001100110 +100010001000 +010101010101 +011001100110 +011001100110 +011101110111 +010001000100 +010101010101 +001100110011 +010001000011 +010101010100 +010001000100 +010101010101 +100010001000 +011001100110 +001100100011 +001000010010 +001000100011 +010101010101 +100010001000 +100110000111 +100001100100 +100001010010 +011101010010 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +010101000001 +001101000010 +001001010100 +000001000110 +000001000110 +000001010111 +000101101000 +001001111010 +001001111010 +000101101000 +001001010111 +000100100011 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000010010 +000100010010 +000100100010 +000100100010 +000000010001 +000000010001 +000100010010 +000100010010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000000010000 +000100100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +001101010101 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001001000100 +001101000100 +010001010110 +011001110111 +011101111000 +011101111000 +011001111000 +011001111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011001110111 +001101000010 +001000100001 +001000110001 +001000100001 +000100100000 +000100100001 +000100100000 +001000100001 +000100100001 +000100100001 +001000100000 +001000100001 +001100110011 +010001000100 +001100100011 +001100110011 +001000010001 +001000010001 +010001000100 +010001000011 +010000110001 +100001010001 +101101110010 +101101110010 +101101110010 +110010010001 +111110110001 +110010100011 +011001110101 +010001100111 +001101101000 +001001010110 +001001010111 +001001101000 +001101100111 +101010010101 +110010110110 +011110000110 +101110100110 +110010010100 +101110000100 +010101010010 +010000110011 +011001010011 +010001000011 +001101000011 +010001010101 +011001110111 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +001100110011 +000100000000 +010000110011 +100010001000 +011110000111 +001100110011 +010001000011 +001100100010 +000100010000 +001000010001 +001100100010 +001100110010 +001000100001 +001000100001 +001000010001 +011001010101 +100010000111 +010001000100 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +010100110001 +100101010010 +101101110010 +100101110011 +010101100101 +001101100111 +010001110111 +100010011001 +100010011001 +100010001001 +100010001001 +011110001000 +011101111000 +011001110111 +011001100111 +011001100110 +011001110111 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011010 +100010011010 +101010101001 +110010100100 +101001110001 +100101100010 +100001100010 +100001100001 +100001010010 +100001010001 +011101000001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001100010 +011101010001 +100001010001 +011101010001 +010101000001 +001100110010 +001101010100 +001001010110 +001001100111 +001101100111 +010001111001 +010101111000 +011001110110 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +100010001001 +100010011001 +100010001001 +011110001001 +011110001001 +100010001001 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011110000111 +011110001000 +011110000111 +011001110111 +011110011000 +010110011010 +001101110111 +011001110100 +100101110010 +101110000010 +110001110010 +101101110010 +101101110010 +100001010010 +010000100000 +001100100001 +001100110011 +010001000100 +010101010101 +011001100110 +011001100110 +010101010101 +010101010101 +011101110111 +100110011001 +101010101010 +101010101010 +100110011001 +011001110111 +001100110011 +000100010000 +001000100010 +010001000100 +001101000101 +001101000100 +001101000100 +001100110100 +001100110100 +001000110011 +001000110100 +001100110100 +001101000101 +001101000101 +010101100111 +011001100111 +010001010101 +001101000100 +001100110100 +001100110100 +001101010101 +010101100111 +010101111000 +011001111000 +011010001000 +011001100111 +001101000100 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +010101010100 +100001110111 +001100110100 +001000110011 +001000100011 +001000100010 +001100110100 +011001100110 +011101110111 +010101010110 +011101110111 +011101111000 +011001100110 +010101010101 +010101010110 +010101010101 +011001100110 +011001100110 +010001000101 +011001100110 +011101110111 +011101110111 +010101010101 +010101010101 +011001010110 +011101111000 +010001000100 +001100110011 +010001000100 +011001100110 +011101110111 +011101111000 +011001100100 +011101010011 +100101100010 +100101100001 +100101010001 +100101010010 +100101010001 +100101010010 +100001010001 +011101000001 +011001000001 +010101000001 +001001000100 +000101010111 +000001010111 +000001010111 +000101101000 +000101011000 +000101101001 +001001111001 +001110001010 +010001111000 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100010010 +000100010010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100110011 +001000110011 +001000110011 +001000110011 +000100010000 +000100100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +001101000101 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +010001010101 +010001010101 +010001010101 +001101000101 +001101000101 +010001010110 +011001110111 +011110001000 +011110001000 +011001111000 +011001111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +010001000011 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100010000 +000100100000 +000100010000 +000100100000 +001100110010 +001100110011 +010101010101 +010000110100 +010000110011 +001100100010 +010000110011 +010000110011 +001100100011 +010001000011 +011001000010 +100001010010 +101001110010 +101101110010 +101110000010 +110010000001 +111010100001 +111011000011 +101010000011 +010101100100 +010101100110 +001101010110 +001001000110 +011001111000 +100110101001 +110111000111 +110111011001 +110011001010 +011101100100 +010101000010 +001100110010 +000100100010 +000100100010 +001000010001 +010101000010 +011001010100 +010101100110 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +001100110011 +000100010000 +001000010001 +001100110011 +010001010100 +010101010101 +010000110010 +001100100010 +000100010001 +000100010000 +001000010001 +001000100001 +001000100001 +001000010001 +010000110011 +010101010101 +001100110010 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010000110001 +100001010010 +101101100010 +101001110010 +011101100011 +010001100101 +010101100110 +101010111010 +101010111010 +100010011001 +100110011001 +100010001000 +011001110111 +011001100110 +010101100110 +010101100101 +011001100110 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100110101001 +110110110101 +101110010010 +101001110010 +100101100010 +100001100010 +100001100010 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100001010001 +011101010001 +011101010001 +100001010001 +100001100001 +100101100010 +100101100010 +100101100010 +100101100010 +011101010001 +010101000001 +001100110010 +001001000011 +001001000101 +001001010110 +001001010110 +001001010111 +010001101000 +011010001000 +011101110111 +011001100101 +011001110110 +011001110110 +011001100110 +011001100110 +011001110110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011001110110 +011101100101 +011101100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011110001000 +011110001000 +011001110111 +011110000111 +011110011001 +100010000101 +101001110010 +101110000010 +101101110010 +101101110010 +101101110010 +100101010010 +001100010000 +001100110010 +100010001000 +100110011001 +101010101010 +101010101010 +101010101011 +101010111011 +101010111011 +101010111011 +101010101010 +101010101010 +101010101010 +100010011001 +011001100110 +001100110011 +001100110011 +001000100010 +000100000000 +000100010001 +001101000100 +001100110100 +001101000100 +001100110100 +001000110011 +001000110011 +001000110011 +001000110011 +001100110100 +001100110100 +010101010110 +011001100111 +010001010101 +010001010101 +010001010101 +001101000101 +010001100110 +010101111000 +011001111001 +011010001001 +011010001001 +010101100111 +001101000100 +001000100010 +001000010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000000010000 +000100010000 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +011101110110 +010101000101 +001000100011 +001000110011 +001100110100 +001100110011 +001000100011 +001000110011 +011001100110 +011110001000 +011101110111 +011101110111 +011101110111 +010101010101 +010101010101 +010101010101 +010001000100 +001100110011 +001100110011 +010101010101 +010001000100 +010001000100 +011001010110 +010101010101 +010001000100 +010001000100 +010101010101 +100001110111 +100010001000 +100010001000 +011101110111 +011101110111 +100001100100 +100001100010 +100101100010 +100101100010 +100101010010 +100101010001 +100001010001 +100001010001 +100001000001 +011101000001 +010100110000 +001100110010 +001001000100 +000101010110 +000101010111 +000001010111 +000101101000 +000101101000 +000101101000 +001001111001 +001001111010 +010010001011 +010001111000 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100010010 +000100010010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100110011 +001000110011 +001000110011 +001000110011 +000100010000 +000100100010 +001101000100 +010001010101 +010001100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001010110 +010001010101 +001101010101 +001101000101 +010001010110 +011001110111 +011110001000 +011110001000 +011101111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +010101000100 +010000110011 +001100110011 +001000100001 +000100100001 +001000110011 +001101000100 +010001000100 +010101010110 +010001010101 +011001100110 +010001000100 +010101000101 +010101010101 +010001000100 +010001000100 +010101010101 +010001000100 +010101010101 +011001100110 +001100100001 +001100010000 +011101010001 +101001100010 +101101110010 +110010000010 +110010000001 +110010000010 +101110000010 +100001110010 +011001010010 +001001000100 +010001010111 +010101101000 +010101100111 +010101111000 +011001111000 +011110001001 +010101100111 +010001010110 +001001000101 +000100110100 +000100100011 +000100100011 +001100110011 +011001010100 +100110000111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +010101010101 +000100010000 +000100010001 +000100010000 +000100010000 +001000100001 +001100110011 +001000100001 +001000100001 +001000010001 +001000010000 +001000100000 +001000010001 +001000100001 +001000100010 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +100001010001 +101001100010 +101001110001 +010101010001 +011001100100 +100110011000 +011101110111 +100110101001 +100110011001 +100010011001 +100110101001 +100110101001 +011001100101 +010001000100 +010001010101 +011001100101 +011101110111 +011110000111 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +110010111000 +110110100010 +101101110010 +101001110010 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100001010001 +100101010010 +100101010010 +100001010010 +100001010010 +100001010001 +100001100001 +100101100010 +100101110010 +101001110010 +100101110010 +100101110010 +100101100010 +010101000001 +001000110011 +001001010101 +001001010101 +001001010110 +001001010110 +001001000110 +001001010110 +001101100111 +011010001000 +100010000111 +011101100101 +011001100110 +011001100110 +011001100110 +011101110110 +011101110111 +011101111000 +011001110111 +011001110111 +011001111000 +011110001000 +011110001000 +011110001000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110110 +011101110110 +011101110110 +011001110110 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011110001000 +011101111000 +011001110111 +100010000111 +101110111010 +101010000011 +101101110001 +110010000010 +101101110010 +101101110010 +101001100010 +010100110001 +001000100001 +100010001000 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101011 +100110101010 +100110011001 +100010001001 +001100110011 +001000010001 +001000100001 +001100110010 +001000010001 +000100000000 +001000100010 +010001000100 +001100110011 +001100110011 +001000100011 +001000110011 +010001000100 +001100110100 +001100110100 +001100110100 +010001000101 +010101100110 +010001010101 +010001010101 +010001010110 +010001010110 +010101100111 +011001111000 +011010001001 +011010001001 +011001111000 +010101100111 +010000110100 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100010000 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011101100110 +001100110100 +001000100011 +001000110011 +001101000100 +001100110100 +001100110100 +001101000100 +001100110100 +010101010110 +100010001000 +100010001000 +011001100110 +010001000100 +010101010101 +010000110100 +001100110011 +010101010101 +011101110111 +011101110111 +011101110111 +011001100110 +011001010110 +010101010101 +011001100110 +011101110111 +011001100110 +010101010101 +010000110100 +001100100011 +001000010010 +010000110011 +011001000010 +100001010001 +100101010010 +100101010010 +100101010001 +100101010010 +100101010001 +100101010001 +100001010001 +100001010001 +011101000001 +010000110001 +001001000010 +001001000100 +000101010110 +000001000110 +000101010111 +000101101000 +000101101000 +000101011000 +001101111010 +001101111010 +001101101000 +001000100010 +000000010000 +000100010001 +000100010010 +000100100010 +000100100010 +000100010001 +000100010010 +000100010010 +000100010010 +000100010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010000 +000100100001 +001101000100 +010001010110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001100110 +011001110111 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011001010101 +010101000101 +100010001001 +100110011001 +100010001001 +100110011010 +100010001001 +010101100110 +010001010101 +011101110111 +011101100110 +010101000100 +010000110011 +010101000100 +010001000100 +010000110011 +010001000011 +010101010100 +010101010100 +010101000100 +011001010101 +000100010000 +001000010000 +011101000001 +101001110010 +110001110001 +101101110010 +101101110010 +101110000010 +100101100010 +010001000001 +001001000101 +001001000101 +001001000101 +001001000110 +001001010110 +001101010111 +010001101000 +010001101000 +010001101000 +001101010111 +001001010110 +001001000110 +001001000101 +010101111000 +001101000101 +010001000011 +100001110101 +100010000111 +011110001000 +011110001000 +011110001000 +011110001000 +010101010101 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +001000010001 +001000010001 +001000010001 +001000010000 +001000010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010000100000 +100101010010 +101001100010 +100001100010 +010101000010 +100001110101 +011101110101 +010101100110 +010001010110 +001001000101 +001001000101 +001001010101 +010101110111 +100110101001 +100001110110 +010101010100 +010101100100 +011101110111 +011101111000 +011110000111 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100110101001 +110110110101 +101110000010 +101001110010 +100101110010 +100101100010 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100001010001 +100001010010 +100001010001 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101110010 +100101110010 +100101100010 +011001010010 +001101010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001000101 +001001010110 +001101111000 +011110001000 +100001110110 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011001110111 +011001110111 +010101100110 +011001110111 +011110001001 +100010011001 +011110001000 +011001110111 +010101100110 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001100110 +011001100110 +011001110110 +011001110110 +011001110111 +011001111000 +011101110111 +011001110110 +011101110111 +101110111000 +101110010010 +110010000010 +110010000010 +110010000010 +101101110010 +011000110001 +001000010000 +010001000011 +100110011001 +100110011010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101011 +100110011001 +100110011010 +011001100110 +001000100001 +001100100010 +001100100010 +010000110100 +001100110100 +001000100010 +000100000000 +001000100001 +001000100010 +001100110011 +001000100011 +001000100011 +001100110011 +010001000100 +001100110011 +001100110100 +010001000100 +010101010110 +010001010101 +010001010101 +010001010110 +010001100110 +010101100111 +011001111000 +011001111000 +010101111000 +010101101000 +010001010110 +001100110100 +001000100010 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +001100110010 +001100110011 +001000100001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010101000100 +010101000100 +001100110011 +001000100011 +001000110011 +001100110100 +001100110100 +001101000100 +001100110011 +001100110100 +001100110100 +001100110100 +011001100111 +100110011001 +011101110111 +010001000100 +010000110100 +010001000100 +010001000100 +010001000100 +010101000101 +010101010101 +010001000100 +010001000100 +010101010101 +010001000100 +001100110011 +010001000100 +011001100110 +011101110111 +011001100110 +010001000100 +001100110011 +010000110010 +011101010010 +100001000001 +100001010001 +100101010010 +100101010010 +100101010010 +100101010001 +100101010001 +100101010010 +100001010010 +100001010001 +010101000010 +001001000100 +001001010110 +000101010111 +000101010111 +000101010111 +000101010111 +000101101000 +001101111010 +010010001011 +001101111010 +000100100010 +000000000000 +000000000000 +000100010001 +000100100010 +000100100010 +000100010010 +000100100010 +000100010010 +000100010010 +000100010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010000 +000100100001 +001101000100 +010001010110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010101100110 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011001100110 +010101010101 +100110011001 +100010001000 +011101111000 +100010011001 +100110011010 +011101111000 +001100110011 +001100110011 +010101010101 +010101000100 +001100110011 +010000110011 +010101010100 +010101000100 +010101000100 +001100110011 +011001100110 +100010001000 +100010000111 +010001000100 +000100000000 +001000010000 +011101010001 +101001110010 +101101110010 +101101110010 +101101110010 +011101010001 +001000110010 +000100110100 +001000110100 +001000110101 +000100110101 +001001000110 +001001000111 +001001010111 +001101010111 +001101010111 +001101101000 +001101101000 +001101101000 +001101101000 +010001100111 +010001111001 +000101000110 +000100100010 +010101010100 +011101110111 +011110001000 +011110001000 +011110001000 +010101010101 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +001000010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +011101000001 +101001100010 +100101100010 +011101010001 +010101010011 +010101010100 +001101000011 +001001000100 +000100110100 +000100110100 +001001000101 +001001000101 +001001000101 +001101010111 +100110011001 +110111001000 +101110100101 +101010010101 +100010000111 +011110001000 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100110011001 +101110100111 +101110010010 +101001110010 +100101100010 +100101100010 +100001010001 +011101010001 +100001010001 +100001010001 +100101100010 +100001100010 +100001100010 +100001010001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100001100001 +100001100010 +010001010100 +001001010110 +001001000101 +001001010101 +001001010110 +001001010110 +001001010110 +001001000101 +001001010110 +001001100111 +010001100111 +100110011001 +100110000111 +011101100101 +011101100101 +011101100101 +011101110111 +011101110111 +011001110111 +011001100111 +011001111000 +100010011001 +100010011001 +011110001000 +010101100111 +010101100110 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001110110 +011001110110 +011001110111 +011001110111 +011001110111 +010101100110 +011001100110 +101110110111 +110010100010 +110010010010 +110010000010 +110001110010 +101101110010 +100001000001 +001100100000 +001100110010 +100010011001 +100110011010 +101010101010 +101010101010 +100110011010 +100110011010 +101010101010 +101010101010 +101010101010 +100110011010 +100110011010 +100110011001 +001100110011 +001000100001 +001100110010 +001100110011 +001100110011 +001000100010 +001000100010 +000100010000 +000000000000 +001000100001 +001100110010 +001100110011 +001100110011 +001100110100 +001100110100 +001100110011 +001100110011 +001100110011 +010001010101 +010001000101 +001101000101 +010001010101 +010001010101 +010001010110 +010101100111 +010101101000 +010101101000 +010101101000 +010001010110 +001100110011 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +010001000011 +010001000011 +010000110011 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +001100110011 +001000100011 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001100110011 +001100110011 +001000100010 +010101010110 +100110011010 +100010001000 +011001100110 +010101010101 +010101000101 +010001000100 +011001010110 +011101100111 +011101110111 +011001100110 +011001100110 +011101110111 +100010001000 +100110011001 +100110011001 +100010001000 +100010001000 +100010001000 +100010001000 +011101100101 +011101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100001010010 +010101000011 +001001000101 +000101010111 +000101010111 +000101010111 +000101000111 +000101010111 +000101010111 +001001101000 +010010011011 +001101010110 +000000000000 +000000000000 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +000100010000 +000100100001 +001101000100 +010001010110 +010101100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010001010110 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001100110 +010001100110 +010001100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010101100110 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011001110111 +011001100110 +010001000100 +001100110100 +001000100100 +001000110011 +010001000100 +011001100110 +011001010110 +010101010101 +011001010101 +010000110011 +001100110011 +010101000100 +010001000011 +010000110011 +010101000100 +011101110111 +100110011001 +100110011001 +100110011001 +100010001000 +001100100010 +000100000000 +001100100000 +100101100010 +101101110010 +101101110010 +101001110010 +010101000001 +001000100010 +000100110011 +000100110011 +000100110100 +000100110100 +001000110101 +001001000110 +001001010111 +001001000110 +001101011000 +001101101000 +001101100111 +001101010111 +001101101000 +010001101000 +001101101000 +001001010111 +000100110100 +010001000100 +011101100101 +100010001000 +011110001000 +011101111000 +011101110111 +001000100001 +000100000000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +001000010001 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010100110001 +100101010010 +100101100010 +100101010010 +011101010001 +010001010100 +001001000100 +001001000011 +001000110100 +000100110100 +001000110100 +001000110100 +001000110100 +001001000101 +001001010110 +001101100111 +100010011000 +110011001001 +100110000101 +100010000101 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100110011001 +110010010100 +101001110001 +100101110010 +100101100001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010010 +011101010001 +100001010001 +100001100001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100001 +100101100010 +100001100010 +011001010010 +001101010101 +001001010110 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +010001100111 +100110101010 +101010011000 +011101100101 +011001100101 +011101110111 +011110001000 +011110001000 +011110001000 +011110001001 +100010011001 +100010011010 +011110001001 +011001110111 +011001110111 +011001110111 +011110001000 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001110110 +011001110111 +011101110111 +011001110111 +010101100110 +010101100101 +101110100101 +111010100010 +110010000010 +101101110010 +101101110010 +101101110010 +011001000001 +000100010000 +001100110011 +100110011001 +100110011010 +100110011010 +101010101010 +101010101010 +101010101010 +100110101010 +101010101010 +100110011010 +100110011010 +100110011010 +011001110111 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010000 +000100010000 +000100010000 +000000000000 +000100010000 +001000100001 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001010101 +010001000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010111 +010101101000 +010101101000 +010101101000 +010101010110 +010000110100 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +010001000100 +010101000100 +001100110010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000110011 +001100110011 +001000100011 +001000100010 +001000100011 +001000100011 +000100100010 +011101110111 +100110011001 +100010001000 +100110001000 +100110011001 +011101110111 +010101010101 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +010000110011 +001000100010 +001000100010 +001000010001 +001100100001 +010100110001 +011101000010 +100001010001 +100001010001 +100001010001 +100101010010 +100101010010 +100101010010 +100101010010 +100101010010 +100101100010 +100101100010 +100001010001 +010101000010 +001101000100 +001001000110 +000101010111 +001001011000 +000101011000 +000101011000 +000101011000 +001001111001 +010010001010 +001001000100 +000100010010 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000000010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000100010000 +000100010001 +001101000100 +010001010110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001100110 +010001100110 +010101010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010110 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +010001000100 +001100110101 +001000110100 +001000100011 +000100100010 +000100010001 +000100010001 +001100110011 +010101010101 +011101110111 +011101100111 +011101100110 +011101110111 +011101111000 +100010001000 +100110001001 +100110011001 +100110011010 +101010011010 +100010001001 +001000100010 +000100000000 +001000010000 +011001000001 +101001100010 +101001100010 +100101100001 +010000110001 +000100110011 +000100110011 +000100110100 +000100110100 +000100110011 +000100110100 +000101000101 +001001000110 +001001010110 +001001010111 +001001101000 +001001101000 +001001101000 +001101101000 +001101101000 +001101101000 +001101101000 +001001000110 +001101010101 +010000110010 +100001110111 +011110001000 +011101111000 +011110001000 +011001010011 +000100000000 +000100010001 +000100000000 +000100000000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100000 +011101010001 +100101010010 +100101010001 +100001010010 +011001000001 +001001000011 +001001000100 +001001000100 +000100110011 +001000110100 +000100110100 +000100110100 +001000110100 +001001000101 +001001010110 +001101100111 +010001111000 +011110001001 +101010101010 +011001010100 +011101110110 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +101010010111 +101110000010 +100101110010 +100101100010 +100101100010 +100001010001 +100001010001 +011101010001 +100001010010 +100001010010 +100001100010 +100001010010 +100001010010 +011101010001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100001100010 +011101010001 +010101000010 +001001010101 +001001000101 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001100111 +001101100111 +001001010110 +001101010110 +100110101001 +101010101000 +100001110101 +011101110110 +011110001000 +011110001000 +011110001001 +100010011001 +100010011010 +100010011010 +100010011001 +011110001001 +011110001000 +011110001000 +100010001000 +011101110110 +011101110110 +011101110110 +011101110110 +011101110101 +011101100101 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101110110 +011101110110 +011001110111 +011101110111 +011001110111 +011001100110 +011101110101 +111011010100 +110110100001 +110010000010 +101101110010 +101101110010 +101001100010 +001100100000 +000100010000 +001100100010 +100010001000 +100110011010 +100110011001 +100110011010 +100110101010 +100110011010 +100110011001 +100110011010 +100110011001 +100110011001 +100110011010 +010101010101 +001000100001 +001000010001 +001000010001 +001000100001 +001000010001 +000100010000 +000100010000 +001000010001 +000100010000 +000000000000 +000100010000 +001100110010 +010000110011 +001100110010 +001100110011 +010001000100 +001100110011 +010001000100 +010001010101 +010001000100 +001101000100 +001000110100 +001000110100 +001101000101 +010001010111 +010101101000 +010101111000 +010101111000 +010101100111 +010001000100 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +010101000100 +011101110110 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +010001000101 +011001100110 +010101010101 +011101111000 +100010001000 +100110011001 +100110011010 +100010001001 +011001100110 +010101000101 +010101000101 +010101010101 +011001010110 +010101010101 +010001000100 +010001000100 +010101010101 +010101010101 +010001000100 +001100100011 +001000010001 +001000010000 +010000100001 +011001000001 +100001010001 +100101010001 +100101010001 +100101010010 +100101010010 +100101010001 +101001100010 +101001100010 +100101100010 +100001010001 +011001010001 +001101000011 +001001000110 +000101010111 +001001111001 +001001111010 +001001101001 +000101101001 +001001111010 +010010001010 +001101000101 +001000110100 +001000110011 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010010 +000100100010 +000000010010 +000000010001 +000000010001 +000000100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000000010000 +000100010001 +001101000100 +010001010110 +010101100110 +010001100110 +010101100110 +010101100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +010001000101 +010001010101 +010001010101 +010001010110 +010001100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001100110 +010001010110 +010001100110 +010101100110 +010001100110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010001010110 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +010101100110 +001000110011 +001000110100 +001000110011 +000100010010 +000100010001 +000100010000 +000100010001 +001000010001 +011001100110 +100110011001 +100110011001 +100110011001 +100010001000 +100110001001 +100110011001 +100110011001 +100110011010 +100110011010 +100010001000 +001000010001 +000100000000 +000100010000 +010000110000 +100101100010 +100101100010 +011101010001 +001000100001 +000100110011 +000100110011 +000100110100 +000100110100 +000100110011 +000100110100 +000100110101 +000101000101 +001001010110 +001001010111 +001001010111 +001001101000 +001001101000 +001001101000 +001001010111 +001001011000 +001001011000 +001001010111 +001101010111 +000100100011 +001100110010 +100001110111 +011110001000 +011001111000 +101010000101 +010000100000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +001000010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +001000010000 +010000110001 +100001010001 +100101010001 +100001010010 +100001010001 +011001000001 +010000110001 +000100110010 +001001000100 +000100110100 +000101000100 +000101000100 +000100110100 +001001000100 +001001000101 +000101000101 +001001000101 +001001100111 +001101101000 +010110001000 +011110011001 +001101000011 +100010000111 +100010011001 +100010011010 +100010011001 +100010011001 +100110011001 +101110010101 +101001110010 +100101100010 +100101110010 +100101100010 +100001010001 +100001010001 +100001010010 +100001100010 +100101100010 +100001100010 +100001010001 +100001010010 +100001010001 +100001010001 +100101100010 +100101100010 +100101110010 +101001110010 +100101110010 +100101100010 +100001100010 +100001010010 +011001010001 +010001000001 +001001000100 +001001010101 +001001010101 +001001010110 +001001010110 +001001010110 +001001000110 +001001010110 +001001010111 +001001100111 +001001100111 +001001010110 +001001000101 +001000110011 +011101110111 +101110101001 +100110000111 +011110001000 +011110001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110101 +011101110110 +011001110111 +011101110111 +011001110111 +011001100101 +101010100110 +111111010100 +110110010001 +110010000010 +101110000010 +101101110010 +100001010010 +001100100000 +001000010001 +000100010001 +010101000100 +100110011001 +100110011001 +100110101010 +100110101010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +001100110011 +001000010001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010001 +000100010000 +001000010001 +001100100010 +001000100001 +001000010000 +010000110010 +001100110010 +010001000011 +010001000100 +001100110011 +010001000100 +010001010101 +010001000100 +001101000100 +001101000100 +001101000100 +010001010110 +010101100111 +011001111000 +011001111000 +011001111000 +010101100110 +010001000100 +010000110010 +010000110010 +001100110010 +001100110001 +010001000010 +010001000010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100011 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +010000110010 +101010011000 +100001110101 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +001000010001 +001000100010 +001000100010 +001100110011 +001000100010 +000000000000 +010001000100 +100010011001 +100110011001 +100010001001 +100110011001 +100110011001 +100110101010 +100110011010 +100110011001 +100110011001 +100110011001 +100110001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001111000 +011101110111 +001100110011 +000000000000 +001000010000 +011101000001 +100101010010 +100101010010 +100101100010 +100101100010 +101001100010 +101001100010 +101001100010 +101001100010 +100101100010 +100001100001 +011001010001 +001101000011 +001001000101 +000101010111 +000101101000 +001001111001 +001001111010 +000101101001 +001101111001 +010001100111 +001100110100 +001000110011 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000000010001 +000000010001 +000100010010 +000100010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +001000110011 +001000110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000000010000 +000100010001 +001101000100 +010001100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000100 +001000110100 +001000110100 +001000110011 +001100110100 +001101000100 +001101000101 +001101010101 +010001010101 +010001010101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001100110 +011001100111 +011001111000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +011110001001 +011110001000 +011110001000 +011110001001 +100010001001 +010101100110 +001000100011 +001000110100 +001000110011 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +010001000100 +011001110111 +100110011010 +100110011010 +100110011001 +100110001001 +100110011001 +100110011001 +100110011001 +100110011001 +011101100111 +000100010000 +000100000000 +000100000000 +010000100000 +100001010001 +100101010010 +010100110001 +001000100010 +000100110011 +000100110011 +000100110100 +000100110100 +000100110100 +000100110100 +000100110100 +000101000101 +000101010110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010111 +001001010111 +001001011000 +001001011000 +001001010111 +001001010111 +001001000101 +000000000000 +010101010100 +011110001000 +011101111000 +100010000110 +100101100011 +010000100000 +000100000000 +000100010000 +000100000000 +000100000000 +000100010000 +001000010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +001000100000 +010000110000 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011001000001 +001000110010 +000100110100 +000101000100 +000101000100 +000101000100 +001001000100 +000101000100 +001001000101 +001001000101 +000100110100 +001001010110 +001101111000 +001101101000 +010110001001 +010001100111 +010001010101 +100010000111 +100110011001 +100010011010 +100010011001 +100110011001 +101110010011 +100101110010 +100001100001 +100101110010 +100101110010 +100001100010 +100001100001 +100101100010 +100101100010 +100101100010 +100101100010 +100001100001 +100001010001 +100001010001 +100101100001 +100101100010 +100101100010 +100101110010 +100101110010 +100101100010 +100101100010 +100001100010 +100001100010 +011001010001 +010001000010 +001001000101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +001001100111 +001001010110 +001001010110 +000100010001 +001101000100 +010101110110 +011101110110 +100010001000 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011001110111 +011101110111 +011001110111 +011001100110 +101110100111 +111111000011 +110110010001 +110010000010 +101101110010 +101001110010 +010100110001 +001000010000 +001000100001 +001000100001 +001000100001 +010101010101 +100010011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011001 +011110001000 +001000100010 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +001000100001 +000100010000 +000100010000 +000100010000 +000100010001 +001100110011 +001000100001 +001100110011 +001100110011 +001100110011 +010001010101 +010001000101 +010001000100 +010001000011 +001101000100 +010001000101 +010101010110 +010101111000 +011001111000 +011001111000 +010101100111 +010101010110 +010001000011 +010000110010 +001100110001 +001100110001 +001101000001 +001101000001 +001101000010 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100100010 +001100100010 +001000100010 +001000100001 +001100110001 +100101110110 +100001110101 +010000110010 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100010 +000000000000 +000100010001 +001000100010 +001000100011 +010101100110 +100010011001 +100010011001 +100010001001 +100110011001 +100110011001 +100110011001 +100010001001 +100110011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +011101110111 +011101100110 +001000010001 +000000000000 +001100100000 +011101010001 +100101010010 +100101100010 +100101100001 +100101100010 +101001100010 +101001100010 +101001100010 +100101100010 +100101100001 +100101100010 +011001010010 +001101000001 +000101000100 +000101000110 +000101101000 +001001111010 +000101101000 +001001111000 +001101100111 +001000110011 +000100110011 +000100100010 +000000010001 +000100100010 +000100010010 +000100010001 +000000010001 +000000010001 +000100010010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000000010001 +000100010010 +001000110011 +001000110100 +000100100011 +000000010001 +000000010001 +000100100010 +000100100010 +001000110011 +001000110011 +000100010001 +000100010001 +001101000100 +010101100110 +011001110111 +011001110111 +010101110111 +010101100111 +010101100111 +010101100110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000100 +001000110100 +000100110011 +000100100011 +000100100010 +000100100011 +000100100011 +001000110011 +001000110011 +001000110100 +001000110100 +001101000100 +010001010101 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +100010001001 +010101100110 +001000100011 +001000110011 +001000100010 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +001000100010 +001100110011 +011001100111 +100010001000 +100110011010 +100110001001 +100110001001 +100110001001 +100110011001 +100010001001 +010001000100 +000000000000 +000100010000 +000100000000 +010000100000 +100001010001 +100001010001 +010000110001 +001000110010 +000100110011 +000100110011 +000100110100 +000100110100 +000100110011 +000100110100 +000100110100 +000101000101 +000101000110 +000101000110 +001001000110 +001001010111 +000101010110 +001001101000 +001001101000 +001001010111 +001001101000 +001001010111 +001001100111 +001001101000 +000100100011 +001000100001 +011101110111 +011110001000 +011101111000 +100110000110 +100101100010 +010000110001 +001000010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100000 +001100100000 +001100010000 +001100100000 +001000010000 +000100000000 +000100000000 +000100010000 +001000010000 +001100110001 +010100110001 +011001000001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010010 +100001010010 +011101000001 +001100100001 +000100110011 +000100110100 +000100110100 +000100110100 +000101000100 +000101000100 +000101000101 +001001010101 +000101000100 +001001010101 +001101100111 +001101100111 +001101111000 +010001111000 +010001100111 +010101100110 +100110011000 +100110011010 +100010011010 +100110011000 +101010000011 +100001100001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100001100001 +100001100010 +100001100010 +100101100010 +100001100010 +100001100010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100001110010 +011101100010 +010101000010 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +001001010110 +001001100111 +001001100111 +001001100111 +001001010111 +001101100111 +000100100010 +001000100010 +010001111000 +010001100110 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010011001 +011110011001 +011110001001 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011001110111 +011001110111 +011001110111 +011001100110 +100010000101 +111111000100 +110110100001 +110010000010 +101101110010 +101001100010 +010000110000 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +001100110011 +011001110111 +100010011001 +100110011010 +100010011001 +100010011001 +100010011001 +100110011001 +011110001000 +001000100010 +000100010001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +001000010000 +000100010000 +000100010000 +000100010000 +001000100010 +010001000100 +010001000100 +001000100001 +001100100010 +001100110010 +001101000100 +010001000101 +001101000100 +001101000100 +010001000011 +010001000100 +010001010101 +010101010110 +010101100111 +011001111000 +010101100111 +010001100101 +010001010100 +010001000010 +001100110010 +001100110001 +001100110001 +001100110001 +001101000001 +001101000001 +010001000010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +010000110011 +001000010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000000000000 +000000000000 +000000000000 +000100010001 +000000000000 +000000000001 +000100010001 +010001010101 +100010001000 +100010001000 +100010001001 +100010001001 +100110001001 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +011101110111 +011101111000 +100010001000 +100001111000 +100001111000 +011101110111 +010000110011 +000000000000 +000000000000 +010000110001 +011101010001 +100001010001 +100101010001 +100101100010 +100101100001 +101001100001 +101001100010 +100101100010 +101001100010 +100101100010 +100001100010 +011001010010 +001101000011 +000101010110 +000101010111 +000101101000 +000101011000 +001001111001 +010010001010 +000100110100 +000100100010 +000000010001 +000000010001 +000100100001 +000100100010 +000000010001 +000000010001 +000000010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +000100100010 +000100100010 +000100010010 +000000010001 +000100100010 +001000110100 +001100110100 +000100100011 +000000000001 +000000010001 +000100100010 +000100100010 +001000110011 +001001000011 +000100010001 +000100010001 +001100110011 +010101010110 +011001100111 +011001110111 +010101110111 +010101100111 +010101100111 +010101100110 +010001100110 +010001010110 +010001010110 +010001010101 +010001010101 +001101000101 +001101000100 +001101000100 +001000110100 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010101 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001000 +011110001001 +010101010101 +001000100010 +001000100011 +000100100001 +000100010001 +000100010001 +000100010001 +000000000000 +000100010000 +001000010001 +000100010001 +001000100010 +001100110011 +011101110111 +100110001001 +100110001001 +100010001000 +100010001000 +011101110111 +001000010001 +000000000000 +000000000000 +000100000000 +010100110001 +100001010001 +011101000001 +010000110000 +001000100010 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110100 +000100110100 +000101000101 +000101000101 +000101000101 +001001010111 +000101000101 +000101010111 +000101010111 +000101000110 +001001100111 +001001101000 +001101111000 +001001101000 +001101010110 +010000110001 +010101010100 +011110001000 +011110001000 +011101110111 +100101110101 +100001100010 +010100110001 +001100100000 +001000010000 +001000010000 +010000110001 +010101000001 +010101000001 +011001000001 +011001000001 +011001000001 +010100110001 +001100100000 +001100100000 +010100110001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010010 +100101010010 +100101010001 +100001010001 +011001000001 +001100100001 +000100110011 +000100110100 +000101000101 +001001000100 +001001000101 +000101000101 +000101000101 +001001000101 +001001000101 +001001000101 +001001010110 +001001010110 +001101100111 +010001111000 +010001111000 +010001110111 +100010001001 +100110101010 +100010011010 +100110011000 +100101110010 +100101100001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010001 +100001010001 +100001100010 +100001100010 +100101110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100001100010 +011001000010 +001101010100 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010110 +001001100111 +001001100111 +001001100111 +001001100111 +001001100111 +001001000100 +000100100010 +010001111000 +001101111000 +001101010101 +100010001000 +011001110111 +011001111000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011101110111 +011001110110 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001110110 +011001110111 +011001110111 +011001110111 +011001110110 +100010000101 +111011000100 +110110010001 +110010000010 +101101110010 +101001110010 +011001000001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001100110011 +010101010101 +011001100110 +011110001000 +011110001000 +011110001000 +100010001001 +010001010101 +001000100001 +000100010001 +000100010001 +001000100001 +000100010000 +000100010000 +001000010000 +000100010000 +001000100001 +001100110011 +010001010101 +010001010101 +010001000100 +001000010001 +001100110010 +010000110011 +010001000100 +001101000100 +001101000011 +001101000011 +001101000011 +010001000011 +010001000100 +010001010101 +010101100110 +010101100110 +010001010101 +001101000011 +001100110010 +001100110010 +001100110010 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001101000010 +001100110010 +001000100010 +001000100001 +001000100001 +000100100001 +000100100001 +001000100010 +000100000000 +000000000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000000000000 +000000000000 +000000000001 +000000000000 +000000000000 +001101000100 +011110001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101111000 +100010001000 +100010001000 +100001110111 +100001110111 +011101100110 +001000010001 +000000000000 +000000000000 +010000100000 +011101000001 +100001010001 +100101010010 +100101100010 +101001100001 +101001100010 +101001100010 +101001100010 +100101100010 +100101100010 +011001010010 +001101000011 +000101000110 +000101010111 +000101101000 +000101101000 +001001111010 +010010011100 +001001010110 +000100100010 +000100010001 +000100100001 +000100100001 +000100100010 +000000010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +000100100010 +000100100010 +000100010001 +000000010001 +000100100010 +001000110100 +001100110100 +000100100010 +000000000001 +000000010001 +000100100010 +001000110011 +001101000100 +001101000100 +000100010001 +000100010001 +001000110011 +010001010101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010001010110 +010001010110 +010101010110 +010101100110 +010101100110 +010001010110 +010001010101 +010001010101 +001101000101 +001101000100 +001000110011 +000100100010 +000100100010 +000100100010 +001000110011 +010001010101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +011110001001 +011110001000 +011110001000 +011101111000 +011101111000 +010101010101 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001100100010 +011101110110 +011101110111 +011101110111 +011001100110 +001000100010 +000100000000 +000100000000 +000000000000 +001000010000 +011001000001 +011101000001 +011001000001 +010000110000 +001000100001 +000100110011 +000100100011 +000100110011 +000100100011 +000100110011 +000000100011 +000100110011 +000100110100 +000100110100 +000101000101 +000101000101 +001001010110 +000100110101 +001001010110 +000101000110 +000101000110 +000101100111 +001001101000 +001001101000 +001001101000 +001101101000 +010101010100 +010101000010 +011101111000 +011110001000 +011110001000 +011110001000 +011101110101 +100001100010 +011001000001 +010100110001 +011001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001010001 +100101100010 +100101010010 +100101010010 +100001010001 +100001010001 +011000110001 +010000100000 +001000110011 +000100110100 +000100110101 +000100110100 +001001000101 +000101000101 +000101000101 +000101000101 +001001000101 +000101000101 +001001010110 +001001100110 +001001100111 +001001100111 +001101100111 +001101101000 +010101111000 +100010011001 +100110011010 +100110000111 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010010 +100001010010 +100001100010 +100101110010 +100101110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100001100010 +011001000001 +001101000011 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010110 +001001100111 +001001100111 +001001100111 +001001100111 +000101010110 +001001000100 +000100100010 +001101111000 +001001111000 +001001000101 +011001100110 +011001100110 +010101100110 +011101111000 +011110001000 +011110001000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001100101 +011001100110 +011001100110 +011101100101 +011101100101 +011001100101 +011001100101 +011001100110 +011001110110 +011001110111 +011001110111 +011001110110 +100001110101 +111010110010 +110110010001 +101101110010 +101101110010 +101001100010 +011101010010 +001000100000 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100010 +010001000100 +010001000100 +001100110011 +010001000100 +001100110010 +001000010001 +000100010000 +000100010000 +000100010000 +001000010000 +001000010001 +001100110010 +001100110011 +010001000100 +001101000101 +001101010101 +010001000100 +001000010001 +001100110010 +010001000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001101000011 +001101000011 +010001010100 +010001000100 +001101000011 +001000110010 +001100110010 +001100110001 +001100110010 +001100110010 +001100110010 +001100110001 +001100110001 +001100110001 +001000110001 +001000110001 +001000110001 +001000110001 +001100110001 +001100110001 +001100110001 +001000110001 +001100110001 +001100110001 +001000110001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100010 +001000100010 +000100010000 +000000000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010101010101 +011110001000 +011110001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +011001010101 +000100010001 +000000000000 +000000000000 +000100010000 +011001000001 +100001010001 +100101010001 +100101100010 +101001100010 +101001100010 +101001100010 +101001100010 +101001100010 +100101100010 +100001100010 +010101010010 +001001010101 +000101010111 +000101101000 +000101011000 +000101101000 +001001111001 +001101111001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000100010010 +000100010010 +000000010010 +000000010010 +000000010010 +000100100010 +000100100010 +000100010001 +000000010001 +000100100010 +001000110100 +001100110100 +000100100010 +000000000001 +000000010001 +000100100010 +001101000100 +010001010101 +010001010101 +000100010001 +000000010001 +001000100010 +010001010101 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +001101000100 +001000110011 +001000100011 +001000110011 +001000110011 +010001010101 +010101100111 +010101110111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101110111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +011001111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011001110111 +010101100111 +010101100110 +010101010110 +001101000101 +000100010001 +000100010001 +000100000000 +000100010000 +000000000000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +010000110011 +011101110111 +010101010101 +000100010001 +000100000000 +000100000000 +000100000000 +000100000000 +010000110001 +011101000001 +011101000001 +011000110001 +010000110000 +001000100001 +001000110011 +000100100011 +000100100011 +000100110011 +000100110011 +000100110011 +000100110011 +000101000100 +000101000101 +000101000110 +000101000110 +000101000110 +000100110100 +000101000101 +000101010111 +000101000110 +000101010111 +000101011000 +001001010111 +001001101000 +001001101001 +010001100111 +011001000011 +011101110111 +011110001000 +011110001000 +011010001000 +010001100111 +011101010011 +100101100010 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +011001000001 +010100110000 +001000110010 +000100110100 +000100110101 +000100110100 +000101000101 +000101000101 +001001000101 +001001010101 +000101010110 +000101010110 +001001010110 +001001100111 +001001100111 +001101100111 +001001010110 +001101111000 +001101100111 +011110001000 +100010011010 +100110000110 +100001100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100001100010 +100101100010 +100101100010 +100001010010 +100001010001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100001100010 +100001100010 +100101100010 +100001100010 +100001100010 +011101010010 +011001000001 +010001000010 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010110 +001001010111 +001001100111 +001001100111 +001001100111 +001001100111 +001001000100 +000100100010 +001101111000 +001101101000 +001101010110 +001100110011 +011101110111 +011001100111 +011110001000 +011110001001 +011110001000 +011001110111 +010101100110 +011001110111 +011001110111 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001100110 +011001100110 +011001110110 +011001110110 +011001110110 +011001100101 +011001100110 +011001110110 +011001110110 +011001110111 +010101110111 +100010000101 +111111000010 +110110000001 +101101110010 +101101110010 +101001100010 +011001000001 +001000100000 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +001000010001 +000100010000 +000100010000 +001000100001 +010101000100 +010000110011 +001000100001 +010000110011 +001100110011 +001100110100 +001100110100 +001101000100 +010001000100 +001000010001 +001100110010 +001101000011 +001101000011 +001101000011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001101000011 +001101000011 +001101000011 +001101000011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011101110111 +100001110111 +010101010101 +000100000000 +000000000000 +000000000000 +001000010000 +011001000001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100001 +100101100001 +100101100010 +100101100010 +100101100010 +100001100010 +011101010010 +001101010100 +001001100111 +001001101000 +000101010111 +000101010111 +000001000110 +001101111001 +001000110100 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000100010010 +000100010010 +000000010010 +000000010001 +000000010010 +000100100010 +000100100010 +000100010010 +000000010001 +000100100010 +001101000100 +001101000101 +001000110100 +000000010001 +000000010001 +001000110011 +010101010110 +011001110111 +011001100110 +000000010001 +000000010000 +000100100010 +001101000101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010101 +001000110100 +001000110011 +001000110011 +001000110011 +001101000101 +010101100110 +010101110111 +011001110111 +011001110111 +010101110111 +010101110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101110111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +010101100110 +010001010110 +010101100111 +011001110111 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011001111000 +011001110111 +011001100111 +010101100111 +010001010110 +010001010101 +001101000101 +001101000101 +001101000100 +001101000100 +000100010001 +000100010001 +000000000000 +000100010000 +000000000000 +000100000000 +000100000000 +000100010000 +000000000000 +000100010001 +000100010000 +000100010001 +000100010000 +001100110011 +010000110011 +000100010001 +000000000000 +000100000000 +000100000000 +000100000000 +001100100000 +011001000001 +011101000001 +011001000001 +011001000001 +010100110000 +001100100000 +000100100010 +000100100011 +000100100011 +000100110011 +000100110011 +000100110100 +000100110100 +000101000100 +000101000101 +000101000101 +000101000110 +000101000110 +000100110100 +000100110101 +000101000110 +001001000110 +001001010111 +001001010111 +001001101000 +001001101000 +001001011000 +001101100111 +011101111000 +011110001000 +011101111000 +011110001000 +011101111000 +001101010110 +010001000010 +100101100010 +100101100010 +100101100010 +100001010001 +100001010001 +011101000001 +100001010001 +011101010001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010001 +100001010001 +100101010001 +100101100001 +100001010001 +011101000001 +010100110000 +001100100001 +000101000100 +001001000101 +000101000101 +000101000101 +000101000101 +001001000101 +001001000101 +000101010101 +000101000101 +001001010111 +001001100111 +001001010111 +001001100111 +001001010110 +001101100111 +001101111000 +010101111000 +100010011001 +100110000110 +100001100001 +100001010010 +100001100010 +100101100010 +100101100010 +100001100001 +100001010001 +100101100010 +100001010001 +100001100010 +100001010001 +100001100010 +100001100010 +100001100010 +100101100010 +100101100010 +100101110010 +100101100010 +100001100010 +100001100010 +011101010001 +100001010010 +100001100010 +011101010001 +011001010001 +010101000010 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +001001010111 +001001010111 +001001100111 +001001100111 +001001101000 +001001000101 +000100110011 +001101111000 +001101111001 +001101100111 +001000110011 +010101100110 +011110001000 +011110001001 +100010011001 +011110001000 +011001110111 +011001110111 +011001110111 +011101110111 +011101110110 +011101100110 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110110 +011001110111 +011001110111 +011001110111 +011001110111 +011001110110 +110110110011 +110010000001 +101101110010 +101101110010 +100001010001 +010000110000 +001000010000 +000100010000 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010000 +001000100001 +001100100010 +001100100010 +001000010001 +001100100010 +001100110011 +001000110010 +001100110011 +001100110011 +010000110011 +000100010001 +001100110010 +001101000011 +001101000100 +001101000100 +001100110011 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110011 +001101000100 +001101000101 +010001010101 +001101000100 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110100 +100010001000 +011101111000 +011110001000 +011101111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011101110111 +011101110111 +011101100110 +010101000100 +000000000000 +000000000000 +000000000000 +001000010000 +011001000001 +100001010001 +100001010001 +100101010010 +100101100010 +100101010001 +100101100010 +101001100010 +100101100010 +100101100010 +100001100010 +011101100010 +010001010100 +001001100111 +001001101000 +000101011000 +000101101000 +000101010111 +000101000110 +001001010110 +000000100010 +000100010010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +000100100011 +001000110011 +000100100010 +000000010001 +000100100010 +001101000100 +001101000110 +001101000101 +001000110100 +000100100010 +001000110011 +010101100110 +011101110111 +011001110111 +000000010001 +000000000000 +000100100010 +001101000100 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010001010110 +001101000100 +001000110100 +001000110011 +001000110011 +001101000100 +010001010110 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010001100110 +010001010110 +010001010110 +010101100110 +011001110111 +011101111000 +011110001000 +011110001000 +011001111000 +011001110111 +011001100111 +010101100111 +010101100110 +010001010110 +001101000101 +001101000101 +001100110100 +001000110100 +001100110100 +001100110100 +001101000100 +001101000101 +001000100010 +000100010000 +000100000000 +000100000000 +000000000000 +000100000000 +000100000000 +000100000000 +000000000000 +000100010001 +000100010001 +000100010000 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010000 +010100110001 +011000110000 +011000110001 +011001000001 +011000110001 +010000110000 +001100100000 +001000100001 +000100100011 +000100110011 +000000110011 +000100110011 +000100110100 +000100110100 +000100110100 +000000110101 +000101000101 +000101000101 +000101000110 +000100110101 +000101000101 +001001000110 +001001000110 +010001101000 +001001010111 +001001101000 +001001101000 +001001100111 +001001010111 +011110001001 +011110001000 +011101111000 +011110001000 +011001111000 +001001010110 +001000100001 +100101100010 +100101100001 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101100010 +100101100010 +100001010010 +011101000001 +011000110000 +010000110001 +001001000100 +000101000101 +000101000101 +000101000101 +001001000101 +000100110100 +000100110100 +000100110100 +001001000101 +000101010110 +000101000101 +000101000101 +000101010110 +001001000101 +000101000101 +001101111000 +010001100111 +011001110111 +100110000111 +100001100010 +011101000001 +011101000001 +100001100010 +100101100010 +100001100010 +100001100010 +100101110010 +100101100010 +100101110010 +100101100010 +100001100010 +100001100010 +100001100010 +100001100010 +100101100010 +100001100010 +100001010001 +011101010001 +011101010010 +011101010001 +100001100010 +100001100010 +011101010001 +011101010001 +010101000010 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001100111 +001001010111 +001001010111 +001001101000 +001001101000 +001001101000 +001001010110 +001001010110 +001001111000 +001101111001 +001101101000 +001001010101 +001101010101 +100010001001 +100010011001 +100010011001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101110110 +011001110110 +011001110111 +011001110111 +011001110111 +011001110110 +010101100110 +110010010100 +110010000010 +101101110010 +101001100001 +100101100010 +011101010010 +001100110001 +001000100000 +001000100001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +001000010001 +001000100001 +001000100001 +000100010001 +001100100001 +001100110010 +001100110010 +001100110010 +001100110010 +010101000010 +001100110010 +001101000011 +001101000100 +010001010101 +001101000100 +001101000011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110010 +001101000011 +001101000100 +010001010110 +010001010110 +001101000100 +001101000011 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110010 +001000110010 +001000110001 +001000100010 +000100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010000 +000000000000 +000100000000 +001000010001 +001000100001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +011001100110 +011110001000 +011001110111 +011001110111 +011101110111 +011110001000 +011101110111 +100010001000 +011101110111 +011101110110 +011101110111 +011101100110 +010101000100 +001000010001 +000000000000 +000000000000 +000000000000 +000100010000 +010100110001 +100001010001 +100001010001 +100001010001 +100101010010 +100101010010 +100101100010 +101001100010 +100101100010 +100101100010 +100101100010 +011101100010 +010101010100 +001001101000 +001001111001 +000101101000 +000101101000 +000101101000 +000101000110 +001001000110 +000000100011 +000100010010 +000100100010 +000000100010 +000000010001 +000000010001 +000000010001 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +001000110011 +001101000100 +001000110100 +000100100011 +000100100010 +001000110100 +001101000101 +010001010110 +010001010110 +001101000101 +001101000100 +011001100110 +011101110111 +011001100111 +000000010001 +000000000001 +000100010010 +001101000100 +010101100110 +010101100111 +010101100110 +010101100110 +010001010110 +010001100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +001101000101 +001101000100 +001101000100 +001101000100 +001000110100 +001101000101 +010101100110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001100111 +010101100111 +010101100110 +010001010110 +010001010101 +010101100110 +011001110111 +011110001000 +011110001000 +011101111000 +011001110111 +010101100110 +010001010110 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001100110100 +001100110100 +001101000100 +001101000101 +001000100010 +000100010000 +000100010000 +000100010001 +000000000000 +000100010000 +000100010000 +000000000000 +000100000000 +001000100001 +000100010000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +001100100000 +010100110000 +011000110001 +011001000001 +011000110001 +010100110000 +010000100000 +010000100000 +001100100001 +000100100010 +000000100011 +000000100011 +000100110011 +000100110100 +000100110100 +000100110100 +000000110100 +000101000110 +000101000101 +000101000110 +000101000101 +000101000110 +001001010111 +000101000110 +001001010111 +001001100111 +001001101000 +001001111000 +001001101000 +001001010111 +011010001000 +100110011001 +011101111000 +011110001000 +011001111000 +001001010110 +000100100010 +100001010010 +100101010001 +100001010010 +100001010001 +100001010001 +100001010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010010 +100101010010 +100001010001 +011101000001 +011001000001 +010100110000 +001000110011 +000101000100 +001001000101 +000101000101 +001001000101 +000101000100 +000101000100 +000100110011 +001001000101 +000101010110 +000101000101 +000101000101 +001001010110 +001001000101 +000100110011 +001001010111 +010001111000 +010001100110 +100010001000 +100001010010 +011101000001 +011001000001 +011101010001 +100001010010 +100001010010 +100001100010 +100101110010 +100101110010 +100101110010 +100101100010 +100001100010 +100001100010 +100001100010 +100101110010 +100001100010 +100001100010 +011101010001 +011101010001 +100001100010 +100001100010 +100001010010 +100001100010 +011101100001 +011101100010 +011001010010 +001001010101 +001001010101 +001001010101 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +001001100111 +001001101000 +001001100111 +001001100111 +000101010110 +001001100111 +001001101000 +001001111001 +001101101000 +001101111000 +001001010111 +011001111000 +100010011001 +100010011001 +100010011001 +011110001001 +100010001001 +100010001001 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001110110 +011001110111 +011001110111 +011001110111 +010101100110 +010101100101 +110010010011 +110010000001 +101101110010 +101001110010 +101001110010 +100001100010 +010101000001 +001000110001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010001 +001000100010 +001000010001 +001100100001 +010000110010 +001100110010 +001100110010 +001100110010 +010001000010 +001100110011 +001101000011 +010001000100 +010001010101 +010001000100 +001101000011 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001101000011 +001101000100 +001101000101 +001101000100 +001101000011 +001100110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110010 +001100110011 +001100100001 +001100100001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110011 +011101111000 +011001110111 +011001100110 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +001100110011 +000100000001 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +010000110001 +011101010001 +100001010001 +100101010010 +100101010010 +100101100010 +100101100010 +100101100001 +100101100001 +100101100010 +100101100010 +100001100010 +010101100100 +001001100111 +001001111001 +001001111001 +000101101000 +001001111001 +000101010111 +000101000101 +001001000101 +000000100010 +000100100010 +000100100010 +000100100010 +000000100001 +000100010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000100010 +001000110011 +001101000100 +001101000101 +001000110100 +000100100011 +000100100011 +001000110100 +010001010101 +010001010111 +010001010110 +010001010101 +011001100110 +011101110111 +011001100110 +000000010001 +000000000001 +000100010001 +001101000100 +010101010110 +010101100111 +010101100110 +010101100110 +010001010110 +010001100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +001101000101 +001101000101 +001101000101 +001000110100 +001101000100 +010101100110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +010101100110 +010001010110 +010101100110 +011001110111 +011110001000 +011101111000 +011001110111 +011001100111 +010101100110 +010001010101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001100110100 +001100110100 +001000110100 +001100110100 +001000100010 +000000000000 +000000000000 +000100010000 +000100010001 +000100010000 +000100000000 +000000000000 +001000100010 +001000010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +001100100000 +010100110000 +010100110001 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +000100100010 +000100100011 +000000100011 +000000100011 +000100110100 +000100110100 +000100110100 +000000110100 +000101000101 +000101000101 +000101010110 +000101010110 +000101000110 +000101010111 +000101000110 +000101010111 +000101100111 +000101010111 +001001101000 +001001101000 +001001010111 +010001100111 +101010101010 +011110001000 +011110001000 +011010001000 +001001010110 +000100100010 +010101000001 +100101010001 +100001010010 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101010001 +011101010001 +100001010001 +100101010001 +100101010001 +100001010001 +100101010001 +100101010001 +100001010001 +011101000001 +011101000001 +010100110001 +001100110010 +001001000101 +000100110100 +001001000101 +000101000101 +000101000101 +000101000101 +000100110011 +000100110100 +001001010110 +000101010101 +000101010101 +001001010110 +001001010110 +000100110011 +001001010110 +001001101000 +001101100111 +011101110111 +011001000010 +011001000001 +011101010010 +100001010001 +100001010001 +011101010001 +100001010010 +100001010001 +100001100010 +100101100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001010010 +011101010001 +100001010001 +100001100010 +100001010010 +100001010001 +100001100010 +100001100010 +011001010010 +001101010101 +001001000101 +000101000101 +001001010110 +001001010110 +000101010110 +001001010111 +001001010111 +001001100111 +001001100111 +001001010111 +001001100111 +001001010111 +001001101000 +001001101000 +001001101000 +001001100111 +001001101000 +001101111000 +010001010101 +100010001001 +011110011001 +100010011001 +100010001001 +100010001001 +100010001001 +011110001000 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001110110 +011001110111 +011001110111 +011001110111 +010101100110 +011001100100 +110110100011 +110010000001 +101101110010 +101001110010 +101001110010 +100101100001 +011101010010 +001100110001 +000100100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +001000010010 +001000100001 +001100100001 +011001010010 +001100110001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001101000011 +001101000100 +001101000100 +001100110011 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001101000011 +001101000011 +001100110011 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +000100100000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +011001100110 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011101110111 +011001100110 +010001000100 +001000100010 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100100000 +011101000001 +100001010001 +100001010001 +100101010010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +011001100011 +001101100101 +001101100110 +001001100111 +000101101000 +001001111001 +001001101000 +000000110101 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100100011 +000100110011 +000100100011 +000100110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100011 +001000110100 +001101010101 +001101000101 +010001000100 +011001010100 +011101100101 +010101010101 +000000010001 +000000000001 +000000010001 +001100110100 +010101010110 +010101100111 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001100110 +010001010101 +010001010101 +001101000101 +001101000100 +001101000100 +010001010110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +010101100110 +010101100110 +011001110111 +011001110111 +011001100110 +010101100110 +010101100110 +010101100111 +010001010110 +001101000101 +001101000100 +001101000101 +001101000101 +001101000101 +001100110100 +001000110100 +001000110011 +001000100011 +001000100011 +001000110011 +001000110011 +000000000000 +000000000000 +000100010000 +001000100001 +001000010001 +000100010001 +001000100001 +001100100001 +010100110001 +010000110000 +001100100000 +001100100000 +001000010000 +001100100000 +001100100000 +010000100000 +010000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100100000 +010100110000 +011000110001 +011000110001 +010000100000 +001000100010 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000100110100 +000000110100 +000101000101 +000101000101 +000101010110 +000101010110 +000101000110 +000101010111 +000101010110 +000101010111 +001001100111 +000101010111 +001001101000 +000101010111 +001001101000 +001001000110 +100010001001 +100010001000 +011110001000 +011010001001 +000101010110 +000000100010 +001100100001 +100101010010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100101010001 +100001010001 +100001010001 +011101000001 +011001000001 +010000110010 +000100110100 +000101000100 +000101000101 +000101000101 +000101000101 +000100110101 +000100110100 +000100110100 +000101000101 +001001010110 +000101010110 +001001100111 +001001010111 +000100110100 +001001010101 +001001010110 +001101100110 +001101000101 +010101000010 +011101010001 +100001100010 +100101100010 +100001100010 +100001010010 +100001010010 +011101000001 +011101010001 +100001010010 +100001100010 +100001010001 +100001010010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001010010 +100001010001 +100001100010 +100001100010 +100001100010 +100001010010 +011101010010 +011001010010 +001101010100 +001001000101 +000101000101 +001001010110 +001001010111 +001001010110 +001001010111 +001001010110 +001001010110 +001001101000 +001001010111 +001001100111 +001001101000 +001001010111 +001001100111 +001001101000 +001001100111 +001001100111 +001101111001 +001001010110 +011001100110 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011101110111 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001100101 +011001110110 +011001110111 +011001110111 +011001110111 +100010011000 +101010101000 +110110100011 +110010000001 +101101110010 +101001110010 +101001110010 +101001100010 +100101100010 +010101000001 +001100100000 +001100100000 +001100110001 +001100110001 +001000010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100001 +001000100010 +000100010001 +000100010000 +001100100001 +001100100000 +000100010000 +001000010010 +001000100001 +100001110011 +010000110001 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +010101010100 +010101010100 +001100110011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000100001 +001000100001 +000100010000 +000100010000 +000100000000 +000100000000 +001000100010 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010001000100 +010101100110 +010101010101 +010101010101 +010101010101 +010001000101 +001100110011 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +010000100000 +011001000001 +100001010001 +100001010001 +100101010001 +100101010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100001100010 +011101100010 +010001100011 +010001100100 +001001100111 +000101101000 +000101011000 +000101010111 +000101000110 +000000100011 +000100110011 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000100100011 +001000110100 +001001000101 +001001000101 +001000110100 +000100100010 +000000010010 +000000010010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001000110011 +001100110011 +010101000011 +011001010100 +010101010100 +000000010001 +000000000000 +000000010001 +001000110011 +010001010110 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010101 +010101010110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000100 +001101000100 +010001010110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +010101100111 +011001110111 +011001110111 +010001010101 +010001000100 +010101100110 +011001110111 +010101100110 +001101010101 +001101000100 +001100110100 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001100110011 +000100010001 +000000000000 +000000000000 +000100010000 +000100010000 +001000010000 +010000110001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110001 +010100110001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100100000 +010100100000 +010100110000 +011001000001 +011000110001 +010000100000 +001000100001 +000000100010 +000000100010 +000000100010 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000000110101 +000101010110 +000101000110 +000101000110 +000101010111 +000101010110 +000101000101 +000101010110 +000101010111 +001001011000 +001001101000 +001001101000 +001001000110 +010101101000 +100010011001 +011110001000 +010110001001 +000101000101 +000100100011 +001100100001 +100001100010 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +100001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100001010001 +100001010001 +100001010001 +100001010001 +011001000001 +010000110001 +000100110100 +000101000100 +000101000100 +000101000101 +000101000101 +000101000101 +000100110100 +000101000100 +000101000101 +000101000101 +001001010110 +001001010111 +000101010111 +000101000100 +000101000100 +001001100110 +001001100110 +001101010110 +010000110010 +011101010001 +100001010001 +100001100010 +100001100010 +100001010001 +011101010010 +011101000001 +011101000001 +011101010001 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +100001100010 +100001010010 +100001010010 +100001100010 +100001100010 +011101010010 +011101010001 +011001000010 +001101010100 +001001000101 +001001000110 +001001000110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010111 +001001101000 +001001100111 +001001100111 +001001101000 +001001010111 +001001100111 +001001100111 +001001100111 +001101111000 +001101111001 +001101111001 +001001000100 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011001110111 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001110110 +011001110111 +011001110111 +011001110111 +011001110111 +011001100101 +110010010010 +101110000010 +101001110010 +101001110010 +101001110010 +101001110010 +100101100010 +100001100010 +011101010001 +011101010001 +011101100010 +011001010010 +001100100001 +000100010001 +000100010001 +000100100010 +000100100001 +000100010001 +000100100010 +001000100010 +000100100001 +001100110011 +001100110010 +010000110001 +011001000001 +011101100010 +011001010010 +010000110001 +001100100001 +011101100011 +010101000001 +001000110001 +001000110010 +001100110010 +011001010100 +101010011000 +011101110111 +100010011000 +011101110110 +001101000011 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100000000 +000000000000 +000000000000 +000000000000 +001000100001 +001000100001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +000000000000 +000000000000 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +001000100010 +001000100010 +000100010001 +000000000000 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010000 +010000110000 +011001000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100001100010 +011101100010 +011001100010 +010101100011 +010001110111 +001001101000 +000101000110 +000101000110 +000101010111 +000101000110 +000100110100 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +001000110011 +001101000101 +010001010111 +010001010111 +001101000101 +000100100011 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110010 +001100110010 +010001000011 +010101010100 +010101010100 +000000010001 +000000000000 +000000000000 +001000110011 +010001010101 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000100 +001001000100 +010001010110 +010101100111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +011001100111 +011001110111 +011001100111 +001101000100 +001101000100 +010101010110 +010101100111 +010101100110 +010001010101 +001101000101 +001000110100 +000100100011 +000100100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001000100010 +000000000000 +000000000000 +000100010001 +001000100001 +000100000000 +001100100000 +011101010010 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110001 +011000110001 +011000110000 +010100110000 +001000100001 +000100100010 +000000100010 +000000100010 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000101000101 +000000110100 +000000110101 +000101010111 +000101000110 +000000110100 +000101000110 +000101000110 +000101010111 +001001010111 +000101010111 +000101000110 +001101100111 +100010011001 +011110001000 +010101111000 +001001000101 +001000100010 +001000100000 +011101010010 +100101100010 +100001100010 +100001010001 +011101010001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010000110001 +001001000100 +000100110101 +000100110100 +000101000101 +000101000101 +000101000101 +000101000100 +000101000101 +000101000101 +000101000101 +000101010101 +001001100111 +001001100111 +000101010101 +000100110011 +001001010110 +001001100110 +001101010110 +001100110010 +011101010001 +011101010001 +011101010001 +100001010010 +011101010001 +011101010010 +011001000001 +011001000001 +100001010001 +100101100010 +100001100010 +011101010001 +011101010001 +100001010010 +100001100010 +100001010001 +100001100010 +100001100010 +100001100010 +100001010010 +100001010010 +100001010010 +011101010010 +011101010001 +011001000010 +001101010101 +001001000110 +001001000110 +001001000110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010111 +001001100111 +001001100111 +001001100111 +001001101000 +001001100111 +001001100111 +001001101000 +001001101000 +001001111001 +001101111001 +001001010111 +001000110100 +010101010101 +011101111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +010101100101 +010101100101 +010101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100100 +011001100100 +011001100101 +011001100110 +011001110111 +011110001000 +010101111000 +001101100110 +001101010100 +110010010011 +110010000010 +101001110010 +101001110010 +101001110010 +101001110010 +100101100010 +101001100010 +100101100010 +100101100010 +100101100010 +100001010001 +010101000001 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +001000100001 +010001000010 +010101010010 +010101000010 +011001010010 +100101100010 +100101100001 +100101100010 +100101110010 +100101110011 +010101000010 +010101000001 +100101110011 +010001000010 +001000110010 +001100110001 +101010011000 +011001100110 +001101010110 +001101010110 +010001100111 +011001111000 +001101000100 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100000 +001000010001 +000100000000 +000100010001 +010001000100 +001101000011 +001000100001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +010101000011 +011001000010 +011001000010 +001100100001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000010000 +010000110000 +011101000001 +011101000001 +100001010001 +100001010001 +011101010001 +100001010001 +100001010001 +100001100001 +100101100010 +100101110010 +100101110010 +100001100010 +011101010010 +011001100010 +001101100110 +000101010111 +000101000110 +000101010111 +000101011000 +000101010111 +000100110101 +000000010010 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100110011 +001101000101 +010001100111 +010101100111 +010001010110 +001000110100 +000000010010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110010 +001100110011 +010001000011 +010001000100 +000000000000 +000000000000 +000000000000 +001000100011 +010001010101 +010101100110 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000100 +001100110100 +010001010101 +010101100111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011101111000 +011101111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +010001000100 +001101000100 +010001010110 +010101100111 +010101100110 +010101100110 +010001010101 +001101000100 +001000110011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001100110011 +000100010001 +000000000000 +000100010000 +001000010000 +000000000000 +001000010000 +010100110001 +011101010001 +011101000001 +011001000001 +011001000001 +011001000001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110001 +011000110001 +011000110001 +011001000001 +011000110000 +010100100000 +001100100000 +001100110010 +000000010010 +000000010010 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000000110101 +000101000110 +000000110101 +000000110101 +000101000110 +000101000101 +000101000110 +001001000110 +000101000110 +000101010110 +001001010111 +100010101010 +011110001000 +010101101000 +001000110101 +000100100010 +000000010000 +011001000001 +100101100010 +100101100010 +100001010010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101010001 +100101010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010010 +100001010001 +100001010001 +011101000001 +010000110001 +001101000011 +001000110100 +000100110100 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +001001100111 +001001100111 +001001010110 +000100110011 +001001010110 +001101100111 +010001100110 +001000100010 +011001000001 +011101010001 +011101000001 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +011101010001 +100001100010 +100001010010 +011101010010 +011101010001 +100001100010 +100101100010 +100101100010 +100001100010 +100001010010 +100001010001 +100001010010 +011101010010 +011101010001 +011101010001 +011001000001 +010101000010 +001101000101 +001001000110 +001001000110 +001001000110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010110 +001001010111 +001001100111 +001001100111 +001001101000 +001001100111 +001001101000 +001001101000 +001001101000 +001001101000 +001101111001 +001001000100 +001000110011 +001100110011 +011001110110 +010001100110 +010001010101 +010101100110 +011001110110 +011001110110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +010101100101 +010101100101 +010101100101 +011001100110 +011110001000 +011010001000 +010001111000 +001001010101 +001000110011 +100110000011 +101110000010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101100010 +100101100010 +100101100010 +100001100010 +011101100010 +010001000001 +001000100001 +000100100001 +000100100001 +001000100001 +001100110001 +011001010001 +100001100010 +100001100010 +100101110010 +101001110010 +101001110010 +100101100010 +100101100001 +101001110010 +100101110010 +011101010010 +101110000011 +110010110101 +100001110100 +010101010011 +100010000111 +001101010101 +001001010110 +001001010110 +001001000101 +001101100111 +010101110111 +010001000100 +001100110010 +001100110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100100000 +001100110001 +001000100001 +001100110011 +001000100010 +000100010000 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +010101000010 +011001000001 +010000110001 +001000010000 +001000010000 +000100010000 +000000000000 +000000000000 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000100000 +010000110000 +011101000001 +100001010001 +100001010010 +100001010001 +011101010001 +100001010001 +100001010010 +100001100010 +100001100010 +100101100010 +100101100010 +100001100010 +011001010001 +010001000010 +001001000100 +000101000110 +000001000110 +000101010111 +000101010110 +000101010111 +000101000101 +000000010001 +000100010010 +000000010001 +000000010001 +000000010001 +000100100010 +001000110011 +001101000101 +010101100111 +010101100111 +010001010110 +001101000100 +000100100010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +001000110010 +001000110011 +001101000011 +001101000100 +000000000000 +000000000000 +000000000000 +001000100010 +010001000101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010101 +001101010101 +001101000101 +001101000100 +001101000100 +010001010101 +010101100111 +011001110111 +011001111000 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +010001000100 +001100110100 +010001010110 +010101100111 +010101100110 +010101100110 +010001010110 +001101000100 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001100110100 +010001000100 +000000000000 +000100000000 +000100010001 +000000000000 +001000010000 +001100010000 +011001000001 +011101000001 +011001000000 +011000110001 +011001000001 +011001000001 +011000110001 +011000110001 +011000110001 +011001000001 +011000110001 +011000110001 +011000110001 +011000110001 +011001000001 +011101000001 +011001000001 +011000110001 +010100100000 +001100100000 +010000110010 +000100010001 +000000010010 +000000100011 +000000110100 +000000100011 +000000110011 +000000100011 +000000100011 +000000110011 +000000110100 +000000110101 +000000100100 +000000100100 +000000110101 +000101000110 +000101000101 +000101000110 +000101000110 +000101000110 +000101010110 +001001010111 +011110011010 +100110011001 +010101101000 +001000110110 +000100100010 +000000100010 +010000110001 +100001100001 +100101100010 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +011101000001 +010000110000 +010000110011 +001000110100 +000100100100 +000100110100 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101010110 +000101010110 +001001010110 +000100110100 +001001010110 +010101110111 +010101010100 +000100100010 +010101000001 +011101010001 +011101010010 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +011001000001 +011101010001 +011001000001 +011101000001 +100001010010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010001 +100001010001 +100001010010 +011101010001 +011101010001 +011101010010 +011001000001 +010100110001 +001101000100 +001001000110 +001001000110 +001001000110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010110 +001001010111 +001001100111 +001001101000 +001001101000 +001001101000 +001001101000 +001001101000 +001001111000 +001001101000 +001101111001 +001101100111 +000100100010 +001000110011 +010001000100 +010001100110 +010001010100 +010101100110 +011001110110 +011001110110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001110111 +011110011001 +010101111000 +001101100111 +001001000101 +001000110011 +001100110001 +100101110010 +101001110010 +100101100010 +101001110010 +101001110010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +011101100010 +010101000001 +001100110001 +001100110001 +010000110001 +010101000001 +100001100010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101110010010 +101110010010 +101010000001 +110010010010 +110110100100 +110010100111 +011001110101 +001001000101 +000101000110 +001001010110 +001001000101 +001001010110 +001001100111 +010001111000 +001100110010 +001100110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +001000100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +001100110010 +000100010000 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +010000110001 +010000110001 +001000010000 +001000010000 +001100100001 +001000100001 +000000000000 +000000000000 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000010000 +001000100000 +010000110001 +011101000001 +100001010001 +100001010010 +100001010001 +011101010001 +100001010001 +100001010010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100001 +011101100010 +001000110010 +000100110100 +000101000110 +000101010111 +000101010110 +000101000110 +000101000110 +001001010110 +000000100010 +000100010001 +000000010001 +000000010001 +000000010001 +000100100010 +001000110100 +001101010110 +010101100111 +010101100111 +010001010110 +001001000100 +000100100010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +000000000000 +000000000000 +000000000000 +000100100010 +010001000101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000100 +001100110100 +010001010101 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +010001010101 +001100110100 +010001010110 +011001100111 +010101100110 +010101100110 +010001010110 +001100110100 +000100100010 +000100100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110100 +001101000100 +000100100010 +000100010001 +000100010001 +000000010000 +001000100000 +001100010000 +010000100000 +011101000001 +011101000001 +011001000001 +010100110000 +010100110000 +011000110000 +011000110000 +011101000001 +011001000001 +011000110000 +011000110001 +011000110001 +011000110001 +011000110001 +011100110001 +011000110001 +011000110001 +010100110000 +010000100000 +001100110010 +001000100011 +000000010010 +000000010011 +000000100100 +000000110011 +000000110011 +000000100011 +000000110011 +000000110011 +000000110100 +000100110101 +000000110100 +000000110100 +000001000101 +000101000110 +000101000101 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +010001111001 +100110101010 +010101111000 +001000110110 +000100100010 +000000100010 +001000100001 +011101010001 +100001010001 +100101100010 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101100010 +100101100001 +100101100010 +100101100010 +100101100001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010000110001 +001100110011 +001000110011 +000100100100 +000100100100 +000100110101 +000100110101 +000101000101 +000101000110 +000101000101 +000101000101 +000101000101 +000101010110 +000101010110 +001001010110 +000100110100 +000101000101 +010001100111 +001101000011 +000000100010 +001100110001 +011001000001 +011101010001 +011101010001 +100001010010 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010001 +100001010010 +100001100010 +100001010001 +100001010010 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +001101000100 +001001000110 +001001000110 +001001000111 +001001010111 +001001010111 +001001010111 +001001010111 +001001010110 +001001010111 +000101010111 +001001010111 +001001100111 +001001101000 +001001101000 +000101101001 +001001101000 +000101101000 +001101111001 +001110001010 +000101000100 +001000110011 +001000100010 +010001010101 +010101100110 +011001110111 +011001110111 +011001110110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011010001000 +011010001001 +010001110111 +001001100111 +001001000101 +001001000011 +000100100010 +100101100010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +101001110010 +100101110010 +100101100010 +100001100010 +011001000001 +011101010001 +100001100010 +100101110010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101110000010 +110010010010 +101010000010 +101001110010 +101110000010 +101001110011 +001101010100 +001001000110 +001001010110 +001001010110 +001001010111 +001001010111 +000101010110 +001101100111 +010001100110 +001000100001 +001000110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000100001 +000100000000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +001000100001 +000100010000 +001000100001 +001000010000 +001000010001 +000100010000 +000000000000 +000000000000 +000000000001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010000 +001100100000 +001100100000 +010100110001 +011101000001 +100001000001 +100001010001 +011101010001 +011101010001 +100001010010 +100001010010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +011001010011 +000100110100 +000101000110 +000101000110 +000101000110 +000101000110 +000101010110 +001001101000 +000100100011 +000100010001 +000000010001 +000000010001 +000000010001 +000100100010 +001000110100 +001101010110 +010101100111 +010101100111 +010001010110 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100110011 +001000110011 +001000110011 +001101000100 +000000010001 +000000000000 +000000000000 +000100100010 +001101000100 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010001010101 +001101000101 +001101000101 +001101000100 +001000110100 +010001010101 +010101100111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010001010101 +001101000100 +010001010101 +011001100111 +010101100111 +010101100110 +010001010110 +001101000100 +001000100010 +001000100011 +001000100011 +001000110011 +001000110100 +001000110100 +001100110100 +001101000100 +001101000101 +010001000101 +010001010110 +001000110100 +000100010001 +000000010001 +000000010000 +001000100000 +010000100000 +001100100000 +010100110001 +011101000001 +011101000001 +011001000001 +011000110001 +011001000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110000 +011000110001 +011000110000 +011000110001 +011000110001 +011000110001 +011000110000 +010100110000 +010100100000 +001100100001 +001000110011 +000000010010 +000000010011 +000000100011 +000000100011 +000000110011 +000000100011 +000000110011 +000000110011 +000000110100 +000100110101 +000000100100 +000000110100 +000001000101 +000101000101 +000100110101 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +001001101000 +100010101011 +011001111001 +000100110101 +000100100010 +000000100010 +000100010001 +011101000001 +100001010001 +100001100010 +100101100010 +100001010001 +100001010001 +100001010010 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101100010 +100101100010 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010000110001 +001101000011 +001000110011 +000100100101 +000100100100 +000100110101 +000100110101 +000100110101 +000101000110 +000101000101 +000101000101 +000101000101 +000101000110 +000101010110 +001001010110 +000101000101 +000101000101 +010001100110 +001000110011 +000100100011 +001000100001 +010101000001 +011001000001 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010001 +100001010010 +100001010010 +100001010010 +011101010001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000010 +010001000011 +001001000101 +001001000110 +001001000111 +001001000111 +001001010110 +001001010111 +001001010110 +001001010110 +000101010111 +000101010111 +000101010110 +001001010111 +001001100111 +001001101000 +001001111001 +001001101001 +001001101000 +001001111001 +001110001011 +001001010110 +000100110010 +001000100001 +001101000011 +011001111000 +011101111000 +011001110111 +011001100110 +011001100101 +011001100100 +011001100100 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +010101100110 +010110001001 +010001111000 +001001100111 +001001010110 +001001000100 +001001000011 +001100110001 +101001110010 +101110000010 +101001110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +101001110010 +100101110010 +100101110010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101010000010 +101010000010 +101001110010 +101001110010 +100101100010 +001001000101 +000101000101 +000101000101 +000101010110 +001001100111 +001001100111 +000101010110 +001001010111 +010001111001 +001101000011 +001000110001 +001000110010 +001100110010 +001000110001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +001000100001 +010101000010 +001100100001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +001000100000 +001100100000 +010000110000 +011000110001 +011101000001 +011101000001 +011101010001 +011101010001 +011101010010 +100001010010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100001 +011101100010 +001101000100 +000101000110 +000101010110 +000101010111 +000101010111 +000101100111 +001001111001 +000100110100 +000000010001 +000000100001 +000000010001 +000000010010 +000100100010 +001000110011 +001101000101 +010001100111 +010101100111 +001101000101 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +000000010001 +000000010001 +000000010000 +000100100001 +001101000100 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101110111 +011001110111 +010101100111 +010001010110 +001101000101 +001101000101 +001101000100 +001000110011 +001101000101 +010101100111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011010001000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +001101000100 +010001010101 +010101100111 +010101100111 +010101100110 +010001010110 +001101000100 +001000100011 +001000100011 +001000110011 +001100110100 +001101000101 +010001000101 +010001010101 +010101010110 +010101100110 +011001100110 +011001100110 +010001010101 +000000010001 +000000010001 +000000010001 +000100010000 +001100100000 +001100100000 +001100010000 +011000110001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110001 +011000110001 +011000110000 +011000110000 +010100110000 +010100100000 +001100100001 +001000100010 +000000010010 +000000010011 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000000110011 +000000110100 +000000110101 +000000100100 +000000110100 +000101000101 +000101000101 +000000110100 +000101000101 +000101000110 +000101000110 +000101000111 +000101000111 +000101011000 +011010001001 +011110001010 +000100110101 +000100100010 +000000100010 +000000010000 +011000110001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010010 +100101100010 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110001 +010001000011 +001000110011 +000100100100 +000100100100 +000100110101 +000100110100 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101010110 +001001010110 +000101000101 +000101000101 +010001100110 +001000110011 +000100100010 +000100100010 +010000110001 +010101000001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010001 +011101000001 +011101000001 +011101010001 +011101010001 +100001010010 +100001010010 +011101010001 +011101010001 +011001000001 +011000110001 +100001010001 +100001010001 +011101000010 +010001000011 +001001000101 +001001000110 +001001000111 +001001000111 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +000101010111 +000101010111 +001001100111 +001001100111 +001001101000 +001001111001 +001001101000 +001001111000 +001001101000 +001110001010 +001001100111 +001001000100 +001000100001 +001000110010 +010101100110 +011110001000 +011001110111 +011001100110 +011001100101 +011001010100 +011001010100 +011001010100 +011001100101 +011001100101 +011001010100 +011001100101 +011001100101 +011001100101 +010101111000 +010010001001 +001101110111 +001001010110 +001001010101 +001001000011 +001100110001 +010101000001 +101110000010 +101110000010 +101001110010 +101001110010 +101001110010 +101010000010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101100010 +100101100010 +101001100010 +101001100010 +101001100010 +101001110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +101001110010 +101001110010 +100101110010 +101001110010 +101001110010 +101001110010 +100101110001 +100101100010 +100101100010 +100001010010 +001101010100 +000101010110 +000101000101 +000101010110 +001001100111 +000101010111 +001001100111 +001001100111 +001101101000 +010001100111 +001000100010 +001100110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100000 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010000 +010101100100 +101010000110 +011001010100 +001000100001 +001000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010001 +000100100001 +001000100001 +001000100000 +001100100000 +010000110001 +010100110001 +011101000001 +011101000001 +011101000001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010010 +100101100010 +100101010001 +100101010001 +100101100010 +100101100010 +100101100010 +011001010010 +001101010101 +000101010111 +000101010111 +000101010111 +000001000110 +000101101000 +001001111001 +001001010110 +000000100010 +000000010001 +000000010010 +000000010010 +000000010010 +000100010010 +001000110011 +001101000100 +001101000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +000100110011 +001000110011 +001000110011 +001101000100 +000000000000 +000000000000 +000000000000 +000100010001 +001101000100 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010101100110 +010101100111 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +010001010110 +001101000101 +001101000101 +001101000100 +001000110100 +001101000101 +010101100110 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011010001000 +011010001000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +001101000100 +010001010101 +010101100111 +010101100111 +010101100110 +010001010110 +010001000101 +001100110100 +001100110100 +001101000101 +010001010101 +010101010110 +010101100110 +011001100110 +011001100111 +011001110111 +011001110111 +011001100110 +011001100110 +001100110011 +000000010000 +000000010001 +000000010000 +000100010000 +001000100000 +001100100000 +010000100000 +011101000001 +011101000001 +011101000001 +011000110000 +011000110001 +011001000001 +011000110001 +011000110000 +011000110000 +011000110000 +011000110000 +011000110001 +011000110001 +011000110000 +011000110000 +010100110000 +010100110000 +010000100000 +001100110001 +000100010001 +000000010010 +000000010011 +000000100011 +000000110100 +000000110100 +000000110011 +000000110100 +000000110100 +000000110100 +000000100100 +000000110100 +000101000110 +000000110101 +000000110101 +000101000110 +000001000110 +000101000110 +000101000110 +000101000111 +000101011000 +001101101000 +011010001010 +001001000110 +000100100010 +000000100010 +000000010001 +001100100001 +011101000001 +011101000001 +011101010001 +100001010001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010010 +100101100010 +100101100010 +100101100001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110000 +001101000010 +001000110010 +000100100100 +000100100100 +000100100100 +000100110100 +000101000101 +000101000110 +000101000101 +000101000101 +000101000101 +000101000101 +000101010110 +000101000110 +000101000101 +000100110100 +001101010101 +000100110011 +000100100010 +000100100001 +001000100001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +011101000001 +011101000001 +011101010001 +100001010010 +100001010010 +011101010001 +011101010001 +011001000001 +011000110001 +100001010010 +100001100010 +011101010010 +010101000010 +001000110100 +001001000101 +001001000110 +001001000111 +001001000110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010110 +000101010111 +001001100111 +001001100111 +001001100111 +000101101000 +001001101000 +001001111000 +001001101000 +001110001010 +001110001001 +001001010110 +000100100001 +001000100001 +010001000100 +011110001000 +011001110111 +011001100110 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010100 +010101010101 +010110001001 +010010001010 +001101100111 +001001010110 +001001010100 +001100110010 +010000110001 +011101010001 +101110000010 +101001110010 +101001110010 +101001110010 +101001110010 +101010000010 +100101110010 +100101110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001100010 +101001100010 +100101100001 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +101001110010 +100101100010 +100101110010 +101001110010 +101001110010 +100101110010 +100101100010 +100001010001 +011101000001 +001101000011 +000101000110 +000101000110 +000101000110 +000101010110 +000101010110 +001001101000 +001001100111 +001001101000 +010010001001 +001100110011 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100000 +000100100000 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +100110010111 +100110000111 +010001000100 +011001000010 +010101000001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100000 +001100100000 +010000110001 +010100110001 +011000110001 +011101000001 +011101000001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100001010001 +100001010001 +100101100010 +100101100010 +100101110010 +100001110010 +010101100100 +001001010110 +000101010111 +000101010111 +000001000111 +000101010111 +000101011000 +001001100111 +000100100011 +000000010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +000100110010 +001000110011 +001001000011 +001101000100 +010000110011 +001000100010 +000100010001 +000100010001 +001100110011 +010001010101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010101010110 +010101100110 +010101100110 +010101100111 +010101110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001110111 +010001100110 +010001010101 +001101000101 +001101000100 +001101000100 +010001010101 +010101100110 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011010001000 +011010001000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +001101000100 +010001000101 +010101100111 +010101100111 +010101100110 +010101010110 +010001010110 +010001010101 +010001010101 +010101100110 +010101100111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +010101100110 +010101010101 +000100100001 +000000010000 +000000010000 +000000000000 +000100010000 +001100100001 +010000100000 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110001 +011000110000 +010100110000 +011000110001 +011000110000 +010100110000 +010100110000 +011000110000 +011000110001 +011000110001 +011000110000 +011000110000 +010100110001 +001100100001 +000100010001 +000000010010 +000000010011 +000000010011 +000000110100 +000000110100 +000000110011 +000000110100 +000000110100 +000000110100 +000000100100 +000000110100 +000000110101 +000000110101 +000000110101 +000100110101 +000101000110 +000101000110 +000101000110 +000101000110 +000101010111 +001001101000 +001101101000 +001001000110 +000100110011 +000100110011 +000000010001 +000100010000 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101010001 +100001010001 +100101010001 +100101100010 +100101100010 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110000 +001100110010 +001000110010 +000100110100 +000100100100 +000100100100 +000100110101 +000101000101 +000101000110 +000101000101 +000101000101 +000101000101 +000101000101 +000101010110 +000101000101 +000101000100 +000100110100 +001001000101 +000100110011 +000100100010 +000100100001 +000100010001 +010101000001 +011101010001 +011101010001 +011101010001 +011001000001 +011101010001 +011001000001 +010100110001 +011001000001 +011101000001 +100001010001 +100001010010 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011001000001 +100001100010 +100001110010 +100001100010 +010101000010 +001001000100 +000100110101 +001001000110 +001001000111 +000101000110 +000101010110 +001001101000 +001001010111 +001001010111 +000101010110 +000101010111 +001001101000 +001001100111 +001001100111 +001001101000 +000101101000 +001001101000 +001001101000 +001110001010 +001110001010 +001101111000 +000100100010 +001000100001 +001100110010 +010101100110 +011001110111 +011001100110 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101100101 +010110001001 +001101111000 +001001010111 +001001010110 +001001000011 +010001000001 +010000110000 +011101010010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001100001 +101001110010 +101001110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +100101110010 +100101100010 +100001010010 +011000110001 +010000110001 +001001000101 +000101000110 +001001010110 +001001010111 +000101000110 +001001010111 +001001101000 +000101101000 +010010001010 +001101010101 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010101010100 +100110011000 +010101010101 +001000110011 +100001100011 +011101000001 +001000100000 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010001 +001000010001 +001000100001 +001100100001 +010000110001 +010101000001 +010100110000 +010100110001 +011000110000 +011101000001 +011101000001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +100001010001 +100101010010 +100101010010 +100101100010 +100101110010 +100001110011 +010101100100 +001101010110 +001001011000 +000101101000 +000101101000 +000101011000 +001101101000 +001001000101 +000000010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010000 +000000010001 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100110010 +000100110011 +001000110011 +001001000011 +001101000100 +100110000111 +100101110111 +100001110110 +011101100101 +011001100101 +011001100110 +011001100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011001111000 +011001110111 +010101100110 +010001010101 +010001010101 +001101000100 +001101000100 +010001010101 +010101100111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +011101111000 +011101111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +001100110011 +001101000100 +010101010110 +010101100110 +010001010110 +010101010110 +010101100110 +010101100111 +011001100111 +011001110111 +011001111000 +011101111000 +011101110111 +011101110111 +011001100110 +010101100101 +010101010101 +010001010100 +010001010101 +010101010110 +010001010101 +000100010001 +000100010000 +000000010000 +000100010000 +001000010000 +001000010000 +011001000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110000 +011000110000 +011000110001 +011001000001 +011000110001 +011000110000 +011000110000 +011000110001 +011000110000 +011000110001 +011000110000 +010100110001 +010100110000 +001000100001 +000000010010 +000000010011 +000000010011 +000000100100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110100 +000000100100 +000000100100 +000000110100 +000000110100 +000000110101 +000100110101 +000000110110 +000101000110 +000101000110 +000101000110 +000101010111 +001001101000 +000101000110 +000100110110 +000100110011 +000100110011 +000000100001 +000100010000 +010100110001 +011101000001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101100001 +100001010001 +100101010001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +010100110000 +001100110010 +000100110011 +001000110100 +000100100100 +000100100100 +000100110101 +000101000110 +000101000110 +000101000110 +000101000101 +000101000101 +000101000101 +000101010110 +000101000101 +000101000100 +000100110100 +000101000100 +000100110100 +000100100010 +000100100010 +000100010001 +010000110001 +011101010001 +011101010001 +011101010010 +011101010001 +011101010001 +011001000001 +010100110000 +011001000001 +011101010001 +011101000001 +011101010001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010010 +011001000001 +011101010001 +100101110010 +100001110010 +100001100010 +011001010010 +001101000100 +000100110101 +000101000110 +001001000111 +000100110101 +000101010110 +001001101000 +001001010111 +000101010111 +000101010110 +000101010111 +001001100111 +001001100111 +001001101000 +001001101000 +001001101000 +001001100111 +001001101000 +001001111010 +001110001010 +001101111001 +000100100011 +001000100001 +011001010010 +010101100101 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +010101100110 +011010011010 +001101101000 +001001100111 +001001000101 +001001000010 +010001000001 +010000110000 +011101010001 +101001110010 +101001110010 +100101110010 +100101100010 +101001110010 +100101110010 +101001110010 +100101110010 +101001110010 +101001110010 +101001110010 +101001100010 +101001100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101100010 +100001100010 +100001100010 +100101110010 +101001110010 +100101110010 +100101100010 +100101010010 +100001010001 +011001000001 +010000100000 +001101000011 +000101000101 +000101000101 +000101010110 +001001010110 +001001010111 +001001100111 +001001100111 +001001111001 +001101111000 +000100100001 +000100010001 +000100010001 +000100100001 +001000100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100010000 +000100100000 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +100110000111 +011001100110 +001101000100 +001000110011 +100101110010 +101101110010 +011001010001 +010000110001 +001000010001 +000100000000 +000000000000 +000100010000 +000100100000 +001000100001 +001100100001 +001000100001 +001100110001 +001100110001 +010100110001 +011001000001 +011001000001 +011000110001 +011000110001 +011101000001 +011101000001 +100001010001 +100001010010 +100001010010 +100001000001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010010 +100001010001 +100001010010 +100001010001 +100101110010 +100101110010 +100101110011 +010101100101 +001001010111 +001001111001 +000101101000 +000101101000 +001001101000 +010110001001 +001001000101 +000100010001 +000000010001 +000000010010 +000000010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100011 +000100110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100110011 +000100110011 +001000110011 +001001000011 +001101000100 +101010001000 +101010011000 +100110000111 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +100110000111 +011101110111 +011001100110 +010101100110 +010101010101 +010001010101 +010001010101 +010001100110 +010101100110 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001110111 +010101100110 +010001010101 +010001010101 +001101000100 +001101000100 +010001010101 +010101100111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011101111000 +011101111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001100111 +010101010110 +001100110011 +001100110011 +010001010101 +010101010110 +010001010101 +010001010110 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +010101100110 +010101010101 +010001010100 +001101000100 +001100110100 +001100110100 +001101000100 +001101000101 +010101100110 +010101010101 +001000010001 +000100010000 +000100010000 +000000000000 +000000000000 +010000100001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +011101000001 +011101000001 +011000110000 +010100110000 +011000110000 +011000110001 +011000110000 +011000110001 +011000110001 +011000110001 +011000110000 +011000110000 +011001000001 +010000110000 +000100010001 +000000010010 +000000010011 +000000100011 +000000100100 +000000100100 +000000110100 +000000110100 +000000110100 +000000100100 +000000100100 +000000100100 +000000110100 +000000110100 +000100110101 +000000110110 +000101000110 +000101000110 +000101000110 +000101010111 +001001101000 +001001010111 +000100110110 +000100110011 +000100110011 +000000010001 +001000010000 +001100100000 +011001000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +011101000001 +100001010001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +011101000001 +010100110000 +010000110001 +001000110011 +000101000101 +000100110101 +000100100100 +000100110101 +000100110110 +000101000110 +000101000110 +000101000101 +000101000101 +000101000101 +000101010110 +000101000101 +000101000100 +000101000100 +000101000100 +001001000100 +000100100010 +000100100010 +000100100001 +001100110001 +011101010001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010001 +010101000001 +011001000001 +011101010001 +011001000000 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101010001 +100001010001 +100101110010 +100101110010 +100101110010 +100001100010 +011101010010 +001101000011 +000100110101 +001001000110 +001001000111 +000100110110 +000101010110 +000101100111 +000101010111 +000101010111 +000101010110 +000101010111 +001001101000 +000101101000 +000101100111 +000101100111 +001001100111 +001001101000 +001001111000 +001001111010 +001001111010 +001001111001 +000100110100 +001000100001 +011001010010 +011001010011 +011001100110 +010101100110 +010101100101 +010101100101 +010101100101 +010101100101 +011001100101 +011001100101 +011001100101 +011001010100 +010101010101 +010101110111 +010110001001 +001101100111 +001001010101 +001001000011 +010001000010 +010101000001 +001100100000 +100001010010 +101001110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001100010 +101001100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101110010 +100101100010 +100101110010 +100101100010 +100101100010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010010 +100001010001 +011101000001 +010000100000 +010000110010 +001001010101 +000101000110 +001001010110 +001001010111 +001001100111 +001001101000 +000101101000 +000101101000 +010010001001 +000100100010 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100010000 +000100010000 +000100100000 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010001000011 +100110011000 +010001010110 +001001000100 +000100100010 +101010000010 +110110100010 +100101100010 +100101010010 +011101000010 +001100010000 +000100010000 +001000100000 +001100110001 +001100110001 +010000110001 +001100100000 +001100100001 +001100110001 +010100110000 +011000110001 +011001000001 +011000110001 +011001000001 +011101000001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001100010 +100101110010 +100101110010 +100101110010 +011101110011 +001101100110 +000101101000 +000101101000 +001001010111 +001001010111 +010001101001 +010110001001 +010001010110 +000100010010 +000000010001 +000000010001 +001100110011 +010101010101 +010001010101 +001100110011 +000100100010 +000100100010 +000100100011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100110011 +000100110011 +001000110011 +001001000011 +001101000100 +100110000111 +100110000111 +100110000111 +100110000111 +101010000111 +101010000111 +101010011000 +101110011000 +101110011000 +101110011000 +101110011000 +101010011000 +100110000111 +011101110111 +011001100110 +011001100110 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001110111 +010101100110 +010001010101 +010001010101 +010001000100 +001101000100 +001101000101 +010101100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101100110 +001101000100 +001100110011 +010001000100 +010001010101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101010110 +010001010101 +010001010101 +001101000100 +001100110011 +001000110011 +001000110011 +001000110100 +001000110100 +001000110011 +001101000100 +010001010110 +011001110111 +011001100110 +010001000100 +001100100010 +001000010001 +000100100010 +001100100000 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110001 +011000110001 +011001000001 +011101010001 +011101010001 +010101000001 +001100100001 +000000010010 +000000010010 +000000010011 +000000100100 +000000100100 +000000110100 +000000110100 +000000100100 +000000100011 +000000100011 +000000100100 +000000110100 +000000110100 +000000110101 +000000110101 +000100110110 +000101000101 +000101000110 +000101010110 +001001011000 +001001010111 +000100110110 +000000100011 +000000100010 +000100100010 +000100010000 +001000010000 +010100110001 +011101000001 +011101000001 +011101000001 +011000110001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +011101000001 +011000110000 +010000110001 +001000110011 +000100110100 +000100110100 +000100100100 +000100110101 +000100110101 +000101000110 +000101000110 +000101000110 +000101000101 +000101000110 +000101000110 +000101000101 +000101000100 +000101000100 +000101000100 +000101000101 +000100100011 +000100100010 +000100100010 +001100100001 +011001000001 +011001000001 +011001000001 +011001000001 +100001010001 +011101010001 +011001000001 +011001000001 +011101010001 +011001000001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +100001010001 +100101100010 +011101010001 +100101110010 +100001100001 +011101010001 +011101010001 +010001000011 +000100110100 +001001000101 +001001000111 +001001000110 +001001010111 +001001010111 +000101010111 +000101010111 +000101010110 +000101010111 +000101101000 +000101101000 +000101100111 +001001100111 +001001100111 +001001101000 +001001111001 +001001111001 +001001111001 +001001111010 +001001010110 +000100100001 +010001000010 +011001010010 +010101010101 +011001100110 +010101010101 +010101010101 +010101010100 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101010101 +010110001001 +001101111001 +001001010110 +000101000100 +001001000010 +010101000001 +010100110001 +001100100000 +100001100010 +101001100010 +101001110010 +101001110010 +101001100010 +100101100010 +100101100010 +100101110010 +101001110010 +100101110010 +100101110010 +101001110010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100001010010 +100001010010 +100001010010 +100001010001 +011101010001 +010100100000 +001100100000 +001101000100 +000101010110 +001001010110 +000101101000 +001001101000 +001001101000 +000101101000 +000101101000 +001110001010 +001000110100 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100010000 +000100010000 +011101110110 +011001110111 +001101010110 +000100100011 +010000110001 +110010100011 +110010010010 +101001110001 +100101100010 +100101010001 +100001010001 +011001000001 +011001000001 +010100110001 +001100110000 +001100100001 +001100100000 +001100100000 +010000110001 +010100110001 +010100110001 +011000110001 +011001000001 +011000110001 +011101000001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100001010001 +100001010001 +100001010010 +100001010010 +100001100010 +100101100010 +100101110010 +100101110010 +100101110010 +100001110010 +010101110100 +001001010110 +000101010111 +000101010110 +001001000110 +001001010110 +001101010111 +010101111001 +010001100111 +000100100010 +010101100101 +100110011001 +011001111000 +011001111000 +011110001001 +010101100110 +000100100011 +000100100010 +000100110011 +001000110011 +001000110011 +000100100010 +000100110011 +000100110011 +000100110011 +001000110011 +001001000011 +001101000100 +101010000111 +100110000111 +101010000111 +100110000111 +100110000111 +100110000111 +100110000111 +101010000111 +101010000111 +101010010111 +101110011000 +101110011000 +101010011000 +101010011000 +101110011001 +101110011001 +100110001000 +100110001000 +100010001000 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +010101100110 +010001010101 +010001010101 +010001010101 +001101000100 +001101000100 +010101010110 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +010101100110 +010001000100 +001100110011 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +010001000101 +001101000100 +001100110100 +001000110011 +001000100011 +000100100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000100010 +001100110011 +010001010101 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +011001110111 +010101010100 +011101000010 +011101000001 +011101000000 +011101000001 +011101000001 +011000110000 +011000110001 +010100110000 +010100110000 +010100110000 +010100100000 +010100100000 +010100110000 +011000110001 +011000110001 +011000110000 +011101010010 +011101010001 +011001000001 +010000110000 +001000100001 +000000010010 +000000010011 +000000010011 +000000100100 +000000100100 +000000110100 +000000100100 +000000100011 +000000100011 +000000100011 +000000100100 +000000110100 +000000110100 +000000110101 +000100110101 +000101000101 +000101000110 +000101000110 +001001010111 +001001010111 +001001000111 +000000100100 +000000100010 +000100100010 +000100010001 +001000100000 +010000100000 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +011101000001 +100001000001 +011101000001 +100001000001 +100001010001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +010100110001 +001000110010 +000100110011 +000100110011 +000100100100 +000100100100 +000100110101 +000101000110 +000101000110 +000101000110 +000101000101 +000101000101 +000100110100 +000100110100 +000101000101 +000101000101 +000101000100 +000101000101 +000100100011 +000100100010 +000100100010 +001000100000 +010100110001 +011101010001 +100001010010 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +011101000001 +011001000001 +011001000001 +011001000001 +011000110001 +011101000001 +011101000001 +100001010001 +100101100010 +011101010001 +100101100010 +100101100010 +100001100001 +100001100010 +011101010001 +010001000010 +001001000101 +001000110101 +001001000110 +001001000111 +000101010111 +000101010111 +000101010111 +000101010111 +000101010111 +000101010111 +000101101000 +000101101000 +000101100111 +001001100111 +001001100111 +001001111001 +001001111001 +001001111001 +001001111001 +001001111001 +001001101000 +000100100001 +001100110001 +010101010010 +010101010100 +011001100101 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101100110 +011010101011 +001001111000 +001001010101 +000101010100 +001101010011 +010101000001 +010000100000 +001100100000 +100001100010 +100101100010 +100101100010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101100010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100001 +100101010001 +100101100001 +100101100010 +100001010010 +100001010010 +100001010010 +100101100010 +100001100010 +100001010001 +100001010001 +010100110001 +001100010000 +001101000011 +000101010101 +000101010110 +000101010111 +000101101000 +001001101000 +000101101000 +000101101000 +001110001010 +001001000110 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100000 +000100100000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100010000 +001000100001 +100010000111 +001101010110 +000100110100 +000100100010 +010000110001 +100001010001 +101110000010 +101110000001 +100101100010 +100101100001 +100101100010 +100001010010 +100001010001 +011001000001 +010100110001 +010000110001 +010000110001 +010000110001 +010100110000 +010100110001 +010100110001 +010100110000 +011001000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010001 +100001010001 +100101010001 +100101100010 +100101100010 +100001010001 +011101000001 +100001010010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100001110011 +010101010100 +000101000101 +000100110101 +000100110101 +001001000110 +001000110101 +001001000101 +010101111000 +011010001001 +010001100111 +001000110100 +000000100100 +000100110101 +001001010111 +010001101000 +010101100111 +001000110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001101000011 +001101000100 +101010000111 +100110000111 +101010000111 +101010000111 +101010000111 +100110000110 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010011000 +101110011000 +101110101001 +101110011000 +101110011000 +101110101000 +101010011000 +101010011000 +100110011000 +100110001000 +100010000111 +011001110110 +010101010101 +010001010101 +001101000101 +001101000100 +001000110100 +010001010110 +010101110111 +011001111000 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +010101100110 +010001010101 +001101000011 +001101000011 +001101000100 +001100110100 +001100110100 +001100110100 +001000110100 +001000110011 +001000110011 +001000100011 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001100110011 +010101010110 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011101110111 +011101100011 +011101000001 +011101000000 +011101000001 +011101000001 +011000110001 +011000110000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100110000 +011000110000 +011000110001 +011000110000 +011101000001 +011101000001 +011001000001 +010100110000 +010000100001 +000100010001 +000000010010 +000000010011 +000000100011 +000000100011 +000000100100 +000000100100 +000000010010 +000000100010 +000000100011 +000000100100 +000000110100 +000000110100 +000100110101 +000000110101 +000101000101 +000101000101 +000101000110 +001001010111 +001001010111 +001001000111 +000000100100 +000000100010 +000000100010 +000100100001 +001100100000 +001100100000 +010100110001 +011101000001 +011101000001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +011000110001 +010000110001 +000100100010 +000100110100 +000100110100 +000100100100 +000100110101 +000101000110 +000101000110 +000101000110 +000101000101 +000101000101 +000100110100 +000100110100 +000101000101 +000101000101 +000101000100 +000101000100 +000100100010 +000100100010 +000100100010 +001000100000 +010000100000 +011001010001 +011101010001 +100001010010 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +010101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101010001 +100001010001 +100001010001 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +011101000001 +010000110001 +001001000100 +000100110100 +001001000110 +001001000111 +000101010110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010111 +000101010111 +000101010110 +000101010111 +001001100111 +001001100111 +001001101000 +001001101000 +001001111001 +001001111001 +001001111000 +001001111000 +000100110010 +001100100001 +010101000001 +010101000011 +010101010101 +010101010100 +010101010100 +010101010100 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010101110111 +010110011011 +001001100111 +000101010101 +001001000100 +010001010010 +010101000001 +010000100000 +001100100000 +100101100010 +100101100010 +100101110010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +101001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100001100010 +100101100010 +100001010001 +100101010001 +100101100010 +100101100010 +100001100010 +100001010010 +100001010010 +100101100010 +100001100010 +100001010001 +011101000001 +011000110001 +001100010000 +001000110010 +001001010101 +000101000110 +000101010111 +000101010111 +000101101000 +000101101000 +001001111000 +001001111001 +001001010110 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +010001010100 +011110001000 +001001000101 +000000110011 +000000100001 +000100010000 +001100010000 +101001100001 +110010000010 +101001100001 +100101100010 +100001010001 +100001010001 +100001010001 +011101000001 +011000110001 +010100110001 +010100110001 +010100110000 +011001000001 +011000110001 +010100110001 +010100110000 +011001000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010010 +100101010010 +100101010010 +100101100010 +100101100010 +100001100010 +100001010001 +100001010001 +100001010001 +100101100001 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100001110010 +011001010011 +001000110100 +000100110100 +000100100100 +000100110100 +000100110100 +000100110011 +010001010110 +001001010110 +000000110101 +000100110101 +000000110101 +000000110101 +000100110110 +000101000111 +010001111001 +011110001001 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000011 +001101000100 +011101100101 +100001110110 +100110000111 +101010011000 +101010000111 +101010000111 +101010010111 +101010000111 +100110000111 +100110000111 +100110000111 +100110000111 +100101110110 +100110000111 +100110000110 +101010000111 +101010011000 +101010010111 +101010011000 +101010011000 +101010000111 +101010011000 +101110011000 +101110011000 +101010011000 +101010011000 +100110000111 +100001110110 +011001100101 +010001010100 +010101010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001100111 +011001100111 +010101100110 +010101010101 +010001000100 +001101000100 +001101000100 +001000110011 +001000100011 +001000110100 +001100110100 +001101000100 +001100110100 +001000110011 +000100100010 +000100010010 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010110 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +100001100100 +100001000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110001 +010100110000 +010100100000 +010100100000 +010100110000 +010100110000 +010100110000 +010100100000 +011000110000 +011000110000 +011000110001 +011001000001 +011000110001 +010100110000 +010100110000 +001100100001 +000000010001 +000000010010 +000000010010 +000000100011 +000000100011 +000000100011 +000000010010 +000000010010 +000000100010 +000000100011 +000000110011 +000000100011 +000100110100 +000100110101 +000100110100 +000100110101 +000101000101 +001001000110 +001001000111 +001001000111 +000100100100 +000000100010 +000000100010 +000100100001 +001100100000 +001100100000 +010000110000 +011001000001 +011101000001 +011101000001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +011101000001 +011100110001 +010100110000 +001100100001 +000100110011 +000100100100 +000100100100 +000100110101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000100110100 +000100110101 +000101010101 +000101000101 +000101000100 +000101000100 +000100100010 +000100100010 +000100100010 +001000100001 +001000010000 +011001000001 +011101010001 +100001010001 +011101010001 +011101010010 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +100001010010 +011101010001 +100001010001 +100001010001 +100001010001 +100001100010 +100101100010 +100101110010 +100101100010 +101001100010 +101001110010 +011101010001 +010000110000 +001001000011 +000100110100 +001001000110 +000101000110 +000101000110 +000101010111 +000101010111 +000101010111 +000101010111 +000101010111 +000101010110 +000101000101 +001001100111 +001001100111 +000101010111 +000101010111 +001001101000 +001001111001 +001001101001 +001001111000 +001001111001 +001001010101 +001000100001 +010000110001 +010101000011 +010101010100 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +011010001001 +001110001010 +000101010110 +000101000100 +001001000011 +010001000010 +010101000001 +001100100000 +010000100000 +100001100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101010010 +100101100010 +100101100010 +100101100010 +100001100010 +100001100010 +100001100010 +100001010010 +100101100010 +100101100010 +100001010010 +100001010001 +100001010010 +100001100010 +100001100010 +100001010001 +011101010001 +011000110001 +010000100000 +001000100001 +001001010101 +000101010110 +000101010110 +000101010111 +000101101000 +000101101000 +001001111000 +001001111001 +001001100111 +000100010001 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +011101110110 +010101110111 +000100110100 +000000100010 +000000010001 +001000010000 +010000100000 +100101100001 +110110010010 +101001100001 +100101010010 +100001000001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110001 +011000110001 +011000110001 +011000110001 +011001000001 +011000110001 +011000110001 +011001000001 +011101000001 +011101010001 +011101010001 +100001010001 +100001010010 +100101100010 +100101100010 +100001100010 +100001010010 +100001100010 +100001010010 +100001010010 +100101010010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100001100010 +011001010010 +001000110010 +000100110100 +000000100011 +000000100011 +000000010010 +000100100010 +000100110100 +000000110101 +000101000110 +000100110110 +000000110101 +000000100100 +000000100100 +000001000110 +000101000111 +010101111001 +011010001001 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000011 +001101000100 +001000100001 +001100110010 +010101000011 +011001010101 +011001100101 +100001110110 +100110000111 +101010001000 +101010001000 +101010011000 +101110011000 +101110011000 +101110011000 +101010010111 +101010011000 +101010000111 +101010000111 +101010000111 +101010011000 +101010011000 +101010010111 +101010000111 +101010000111 +101010000111 +101110011000 +101110101001 +101110101001 +101110101001 +101110101000 +101010011000 +101010011000 +100110011000 +100110001000 +100010001000 +011101110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011101111000 +011101111000 +011101111000 +011001111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001100110 +010001010101 +001101000100 +010001010101 +010001010101 +001101000100 +001000110100 +001101000100 +001101000100 +001101000100 +001000110011 +000100100010 +000100010001 +000100010001 +000100010010 +000100100010 +001000100010 +001000100011 +001000100010 +001000100010 +001100110011 +010101010101 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +100001110101 +100101010010 +011101000001 +011000110000 +011000110000 +011000110000 +011000110001 +011000110001 +010100110000 +010100100000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110001 +011000110001 +011000110000 +010100110000 +010100110000 +010000110000 +000100010001 +000000010010 +000000010010 +000000010010 +000000100011 +000000100011 +000000010010 +000000010010 +000000010010 +000000100010 +000000100011 +000000100011 +000000100011 +000100110100 +000100110100 +000100100100 +000100110100 +000100110100 +000100110101 +001001000110 +000100100100 +000000010010 +000000100010 +000100100001 +000100010000 +001100100000 +010000100000 +011000110000 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011000110000 +010100110000 +001000100001 +000100100011 +000100100100 +000100110101 +000100110101 +000101000101 +000101000101 +000101000101 +000101000101 +000100110101 +000101000101 +000101010110 +000101000101 +000101000100 +000101000100 +001000100010 +000100100001 +000100100010 +000100010001 +000100000000 +010100110001 +011101010001 +011101010001 +011101010001 +011101010010 +011001000001 +011101000001 +011001000001 +011001000001 +011001000001 +011101010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101110010 +100001100010 +100101110010 +101001110010 +101001110010 +101001100010 +100001010010 +010000110000 +001000110010 +001001000101 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010111 +000101010110 +000101000110 +001001010111 +000101010110 +000101010110 +000101010111 +001001101000 +001001111001 +001001101001 +001001111001 +001001111010 +001001100111 +001000100001 +001100110001 +010101000011 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +011010011010 +001001101000 +001001010110 +000101000101 +001101000011 +010001010010 +010000110001 +001100100000 +010000110001 +100001100010 +100101100010 +100101100010 +100001100001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101010010 +100001010010 +100001010010 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100101100010 +100101100010 +100001010001 +100001010001 +100001100010 +100001100010 +100001100010 +100001100010 +011101010001 +011000110001 +010000100000 +001100100000 +001001010100 +000101010110 +000101000110 +000101010111 +000101101000 +000101101000 +000101101000 +000101111001 +001001111000 +000100100010 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000100100001 +100010001000 +001101010101 +000100110011 +000100100010 +001000100001 +001100100000 +010000100000 +100001010010 +101101110010 +101001100010 +100101010010 +100001000001 +100001010001 +011101000001 +011101000001 +011001000001 +011000110001 +011000110001 +011000110001 +011000110001 +011000110001 +011000110001 +011001000001 +011101000001 +011101010001 +100001010010 +100001100010 +100001100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010010 +100001010010 +100001010010 +100001010010 +100101100010 +100101010010 +100101100010 +100101100010 +100101110010 +100101110010 +100001110010 +011101100010 +011001000001 +001000110010 +000100100011 +000000010010 +000000010001 +000000000001 +000100100010 +000100110100 +000100110101 +000000110100 +000000100100 +000000110101 +000000110100 +000000100100 +000000110110 +000001000111 +000101000110 +010101111001 +010001100111 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101000100 +010000110011 +001100100010 +001100100001 +001000100001 +001000010001 +001100100010 +001100100010 +010101000100 +011001010101 +011101110110 +100110000111 +101010001000 +101110011000 +101110011000 +101110101000 +110010101001 +101110101001 +110010101001 +110010101001 +110010101001 +101110101001 +101110011000 +101010011000 +100110000111 +101010000111 +101110011000 +110010101001 +110010101001 +101110101001 +110010101001 +110010111001 +110010101001 +110010111001 +101110101001 +101110011000 +101010011000 +100110011000 +100010001000 +100010000111 +011101111000 +011101111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011101111000 +011101111000 +011101111000 +011001111000 +011001110111 +011001110111 +011001100110 +010001010101 +001101000011 +010001010101 +010101100110 +010101100110 +010001010101 +001101000101 +001101000100 +001000110011 +000100100010 +000100010001 +000100010001 +000100010010 +000100010010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100001 +001000110011 +010101010101 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +100001110110 +100101100010 +100001010001 +011101000001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100100000 +010100110000 +010100110000 +011000110000 +011000110000 +011000110000 +010100110000 +010100110000 +010000100000 +001000010000 +000000010001 +000000010010 +000000010010 +000000100011 +000000100010 +000000010010 +000000010010 +000000010010 +000000010010 +000000100010 +000000010010 +000000100011 +000000100011 +000100100011 +000100100100 +000000100011 +000100110100 +001001000110 +000100110100 +000000010001 +000000110010 +000000100001 +000000000000 +001100100001 +010000100000 +010100110000 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +010100110000 +010000100001 +000100100010 +000000100011 +000100110100 +000100110101 +000101000101 +000101000101 +000101000101 +000001000101 +000000110101 +000001000101 +000101000110 +000101000110 +000101000101 +000101000101 +001000110011 +000100100001 +000100100010 +000000010001 +000100010000 +001100110001 +011101010001 +011101010001 +011101010001 +011101010010 +011001000001 +011101000001 +011001000001 +010100110001 +010100110000 +011101000001 +100001010010 +100001010001 +100001010001 +100101100010 +100101110010 +100101110010 +100101110010 +101001110010 +101001110010 +101001100010 +100001010001 +010100110000 +001100110001 +001001000100 +000100110100 +000101000110 +000101010111 +000101010110 +000101010111 +000101010111 +000101010111 +001001010111 +000101010110 +000101010110 +000101010111 +000101010110 +000101010111 +000101100111 +000101101000 +000101101000 +001001101000 +001001111000 +001001111001 +001001100111 +000100110010 +001000100001 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010101 +010110011010 +001001101000 +000101010110 +001001000100 +010101100011 +010101000001 +010000110001 +010000100000 +010000110001 +100001010010 +100101100010 +100101100010 +100001010001 +100001010010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001010010 +100001010010 +100001010010 +100001010010 +100001010001 +100001010001 +100001010010 +100001010001 +100001010010 +100001010010 +100001100010 +100001100010 +100001100010 +100101100010 +100101110010 +100101100010 +100001100010 +011101010001 +011001000001 +010000100000 +001100010000 +001101000100 +000101000110 +000101000110 +000101010111 +000101101000 +000101101000 +000101010111 +000101101000 +001001111001 +000100100010 +000100010001 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +001100110010 +011110001000 +001001000100 +000000100010 +001000100001 +001100100000 +010000100000 +010000100000 +100001010010 +101001100010 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110001 +011001000001 +010100110000 +011001000001 +011101000001 +100001010010 +100001010010 +100001100010 +100001100010 +100101100010 +100101100010 +100001100010 +100101100010 +100101100010 +100001010010 +100001010010 +100001010010 +100001100010 +100101100010 +100001010010 +100001100010 +100101100010 +100101110010 +100001110010 +100001100010 +011101100010 +010101000001 +001100110001 +000000100010 +000000010001 +000000000000 +000000010001 +000100100011 +000000100011 +000100110100 +000000110101 +000000100100 +000000110101 +000000110101 +000000100100 +000000110100 +000001000110 +000000110110 +001001011000 +010110001011 +000100110101 +001000110100 +001000110011 +001000110011 +001000110011 +001001000100 +001101000100 +001101000100 +011001010100 +011001010100 +010101010100 +010101000011 +010000110011 +001100100010 +001100100001 +001000010001 +001000010001 +001000010001 +001100100010 +001100110010 +010101000100 +011001010101 +100001110110 +101010011000 +101010011000 +101110101000 +110010111001 +110010111001 +110010101001 +101110101001 +110010111001 +101110101001 +110010101001 +110010111010 +110010111010 +110010111010 +110010101001 +101110101000 +101110011000 +101110101001 +101110101000 +101110011000 +101110011000 +101110011000 +101110101000 +101110011000 +101010011000 +101110101000 +101010101001 +101010011001 +100110011000 +100010001000 +011110001000 +011101111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +010101100110 +010001000100 +001100110011 +010001010101 +011001110111 +010101100111 +010001010110 +010001010101 +001101000100 +000100100010 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000110011 +010001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011101110110 +100101100010 +100001010001 +100001000001 +011000110001 +010100100000 +010000100000 +010100110000 +010100100000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100110000 +011000110001 +011000110000 +010100110000 +011000110001 +011000110001 +010100110000 +010000100000 +001100100001 +001000100001 +000100010001 +000000010001 +000000010001 +000000010010 +000000010010 +000000010011 +000000010011 +000100010010 +000000000001 +000000010010 +000100100011 +000100100011 +000100100100 +000100100100 +000100100100 +001000110101 +000100110101 +000100100010 +000100010001 +000000100010 +000000010001 +001000010000 +001100100000 +010000100000 +011001000001 +011001000001 +011001000001 +011101000001 +011101000001 +011001000001 +011000110001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001000001 +011101000001 +011101000001 +011000110001 +010000100001 +001000100010 +000000100010 +000100110100 +000100110101 +000101000101 +000101000101 +000101000101 +000001000101 +000000110101 +000001000101 +000101000101 +000101000101 +000101000101 +000101000101 +001001000100 +001000100001 +000100100001 +000100100010 +000100100001 +001000100000 +011001010001 +011101010001 +011101010001 +011101010010 +011101010001 +011101000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101100010 +100101100010 +100101110010 +101001110010 +101001110010 +101001100010 +101001100010 +100001010001 +010100110000 +010000110001 +001000110011 +000100110100 +000101000110 +000101000110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010111 +000101010110 +000101010110 +001001100111 +001001100111 +000101100111 +000101101000 +000101100111 +001001100111 +001001111001 +000101101000 +001001111001 +001001101000 +000100110100 +001000100001 +001100110010 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010101100110 +010110001010 +001001100111 +001001010101 +001101010011 +010101010010 +010001000001 +010100110001 +010000100000 +010000100000 +100001010010 +100001100010 +100101100010 +100001100010 +100001010010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101010010 +100001010010 +100001010001 +100001010010 +100001010001 +100001010001 +100001010001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010001 +011101010001 +011001000001 +010000100000 +001100010000 +001100110010 +001001000101 +000101000111 +000101000111 +000101010111 +000101101000 +000101101000 +000101011000 +001001101000 +000100110011 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +010001010100 +011010001000 +000100110100 +000000100010 +001000100001 +010000100000 +010000100000 +010000100000 +100101100010 +101001100001 +100101010001 +100001010001 +100001100010 +100001100010 +100001010010 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +100001010010 +100001100010 +100001010001 +100001010001 +100001100010 +100001100010 +100001010010 +100001010001 +100001010010 +100001010010 +100001010010 +100001010010 +100001100010 +100101100010 +100001100010 +100001010010 +100001100010 +100101100010 +100101110010 +100001100010 +100001100010 +011101010010 +010101000001 +001100100000 +000100100001 +000000010001 +000000000000 +000100100011 +001000110100 +000100100011 +000000100100 +000000100100 +000000100100 +000000110100 +000000110100 +000000100100 +000000100100 +000000110101 +000000110110 +000101000111 +001101101001 +001101011000 +000000100011 +001001000100 +001000110011 +001000110011 +001001000100 +001101000100 +001101000100 +011001010100 +010101010101 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010000110011 +001100100010 +001100100010 +001000100001 +000100010000 +001000010001 +001100110011 +010101000100 +011001010100 +011101110110 +100110000111 +101010011000 +101110101001 +101110101001 +101110101000 +110010111001 +110010111010 +101110101001 +110010101001 +101110101001 +101110101001 +101110101001 +110010111001 +101110101000 +101110101000 +101110101000 +101010010111 +101110011000 +101110101000 +110010101001 +110010101001 +110010111001 +110010111001 +110010101001 +101110101001 +101110101001 +101010011001 +100110011000 +100010001000 +011110001000 +011101110111 +011001110111 +011001100111 +010101100110 +010001000101 +001100110011 +010001010101 +011001110111 +011001110111 +010101100110 +010001010110 +001101000100 +001000100010 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000110011 +010001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011101110111 +100101100011 +100001010001 +100001000001 +011000110001 +010100100000 +010100110000 +011000110000 +010100110001 +010100110001 +010000110001 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100110000 +010100110000 +010100100000 +011001000001 +011101000001 +011100110001 +010100110000 +011000110000 +010101000001 +001100110001 +001000010000 +000100000000 +000000010001 +000000010010 +000000010011 +000100010011 +000100010011 +000100010011 +000000010010 +000000010010 +000100100010 +000100100011 +000100100100 +000100100011 +000100100100 +001000100011 +001000110011 +000100010000 +000000010001 +000000100010 +000000010000 +000100010000 +001100100000 +011001000001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011000110000 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +010100110000 +001100110001 +000100100010 +000000100011 +000000110101 +000001000101 +000101000110 +000101000101 +000101000101 +000000110101 +000101000101 +000101000101 +000101000110 +000101000101 +000101000101 +000101000100 +001000100010 +000100100001 +000100100010 +000000010001 +000100010000 +011001000001 +011101010001 +011001000001 +011101010001 +011101010001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101010001 +100001010010 +100101100010 +100101100010 +100101100010 +101001110010 +101001110010 +101001100010 +100101100001 +100001010001 +011001000001 +010100110000 +001000110010 +000100110100 +000101000101 +000101000110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010110 +000101010110 +000101010111 +000101100111 +001001100111 +000101100111 +000101100111 +000101100111 +001001100111 +001001101000 +001001101000 +001001111000 +001001111000 +001001000101 +000100100001 +001000110010 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110010 +010101100111 +001101111001 +001101010110 +010001100100 +001101000100 +001001000011 +010001000010 +010100110001 +010000100000 +001100100000 +011101010010 +100001100010 +100001010010 +100001100010 +100001010010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100001010010 +100001010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001100010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100001100010 +100001100010 +100001010001 +100001100010 +100001100010 +011101010001 +011101000001 +011101000001 +011000110001 +010100110000 +001100010000 +001100100001 +001001010101 +000101000111 +000101000111 +000101010111 +000101101000 +000101111000 +000101101000 +001001101001 +000101000100 +000000010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +011001100110 +010101100110 +000000100011 +000100100001 +001100100001 +010100110000 +010000100000 +010000100000 +101101110011 +101001110001 +100001010001 +100101100010 +100101100010 +100101100010 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011001000001 +011001000001 +011101010001 +100001100010 +100001100010 +100001010010 +011101010001 +011101010001 +100001010010 +100001010010 +100001010010 +100001010010 +100001010010 +100001010010 +100001010010 +100101100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001110010 +100001100010 +100001100010 +100001100010 +011001010010 +010000100000 +001000100000 +000100010000 +000000010000 +000100100010 +001000110100 +000100100011 +000000100100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000101000111 +000101101000 +001101111001 +000100110101 +000100100011 +001000110100 +001000110011 +001001000011 +001101000100 +001101000100 +011001010101 +011001010101 +011001100110 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +010101000011 +010101000011 +011001010100 +011001010101 +010101000011 +010000110010 +001100100010 +001000100001 +001000010001 +001000010001 +001100100010 +010001000011 +011001010101 +011001010101 +100001110110 +101010011000 +101010011000 +101110011000 +101110101001 +110010101001 +110010101001 +110010101001 +110010111010 +110010111001 +110010111010 +110010101001 +101110101000 +101110010111 +101110010111 +101010010111 +101010010111 +101110011000 +110010101001 +101110101001 +101110011000 +110010101001 +110010111001 +110010101001 +110010111001 +110010111010 +101110101001 +101010101001 +100110001000 +011101110111 +010101010101 +001100110011 +010001010100 +011001100110 +011001100111 +010101100110 +010001010110 +010001000101 +001000100011 +000100010010 +000100010010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001100110011 +010001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +100101100011 +100001010001 +100001010001 +011001000001 +010100100000 +011000110000 +011001000001 +010101000011 +010001010100 +010001010101 +010001000100 +010000110010 +010000100001 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +100001010001 +100001010001 +011101000001 +011000110001 +011001000001 +011001000001 +010100110000 +011000110001 +010000110001 +000100010000 +000000010001 +000000010010 +000100010011 +000000100011 +000100100011 +000100100011 +000000010010 +000000010010 +000100010010 +000100100011 +000100100011 +000100100011 +001000100010 +010001000100 +000100100001 +000000010000 +000000100001 +000000010001 +000100010000 +001000010000 +010100110001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011000110001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001010001 +100001010010 +100001010001 +011101000001 +011000110000 +010000100000 +001000100001 +000000100011 +000000110101 +000001000101 +000101000110 +000001000101 +000101000101 +000000110101 +000000110101 +000101000101 +000100110101 +000100110101 +000101000101 +000101000101 +000100110011 +001000100001 +000100100001 +000000010001 +000100010001 +010101000001 +100001010001 +011101010001 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010001 +100001010001 +100001010001 +100001100010 +100001010001 +100001010001 +101001110010 +101001110010 +101001100010 +100101100001 +100001010001 +011101000001 +011001000001 +010000110001 +000100110011 +000100110101 +000101000110 +000101010110 +000101010110 +000101010110 +000101010111 +000101000110 +000101000110 +000101010111 +000101100111 +000101100111 +000101100111 +001001100111 +000101100111 +000101100111 +001001100111 +001001100111 +000101101000 +001001101000 +001001010110 +001000110011 +001000100001 +010000110011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110010 +010101100111 +001101101001 +010001010110 +010001000011 +001001010101 +001001000100 +010101000010 +011000110001 +010000110000 +001100100000 +011101000001 +100001100010 +100001100010 +100001100001 +100001100010 +100101100010 +100001100010 +100001100010 +100001100001 +100101110010 +100101100010 +100001010001 +100101100010 +100101100010 +100101010010 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100001100010 +100001100010 +100101100010 +100101110010 +100101100010 +100001100010 +100001010010 +100001010001 +100001100010 +100001010001 +011101010001 +011001000001 +011001000001 +011001000001 +010100110000 +001100010000 +001000010000 +001101010100 +000101010101 +000101000110 +000101010111 +000101011000 +000101111001 +000101101000 +000101101001 +000101010110 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100100001 +011001110111 +001101010110 +000000100010 +001100100001 +010100110001 +010100110001 +010000100000 +011001000001 +101110000011 +101001110010 +100001100010 +100101100010 +100101100010 +100001010001 +011101000001 +100001010010 +100001010010 +100001100010 +100001100010 +100001100010 +011101010001 +011001010001 +100001100010 +100101100010 +100001100010 +011101010001 +011101000001 +011001000001 +011101000001 +011101010001 +100001010010 +100001010010 +100001100010 +100001010010 +100001010010 +100101010010 +100001010010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101010010 +010000110000 +001100100000 +001000010000 +000100010001 +000000100011 +000100110101 +000000100100 +000000110100 +000000110101 +000000110101 +000000110100 +000000100011 +000000110101 +000000110101 +000000110101 +000000110101 +000001000110 +000101011000 +001001111010 +001101101001 +000000010010 +001000110100 +001000110011 +001001000011 +001101000100 +001101000100 +010101000100 +011001010101 +011101100110 +011101100110 +011101100110 +011101100110 +011001010100 +011001010100 +011001010100 +010101000011 +010101000010 +011001000011 +011101100101 +011001010100 +011001010100 +011001000100 +010101000011 +010101000011 +010000110011 +010000110010 +001100100010 +001100100010 +001000100001 +001100100010 +010000110011 +010101000011 +011001010100 +011101100110 +100001110110 +100110000111 +101010011000 +101110101001 +101110101001 +101110101001 +101110101000 +110010101001 +110010101001 +101110101000 +110010101000 +101110101000 +101110101000 +110010101001 +101110101001 +101110101000 +110010111001 +110010111010 +110010111010 +110010111001 +110010111010 +110110111010 +110111001010 +110010111010 +110010111010 +101110101000 +101010010111 +100010000110 +100010000111 +011101110111 +010101100110 +010001100110 +001101000100 +001000100011 +000100010010 +001000100010 +001100100011 +001100110011 +001000100011 +001000100011 +001000110011 +001100110011 +001101000100 +010001000100 +010001000100 +010101010101 +011001100111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +100001110011 +100101100001 +100101100010 +011101000001 +010100110000 +011000110000 +010100110010 +010001000100 +010001010101 +010001100111 +010001010110 +010101010110 +011001100110 +011101010100 +011001000010 +010100100000 +010100100000 +010000100000 +011000110000 +100101010001 +100001010001 +011101000001 +011000110001 +010100110000 +011001000001 +011101000001 +100001000001 +011000110000 +001000100000 +000100010001 +000100010001 +000000010010 +000100100011 +000100100100 +000000100011 +000100100011 +000000010010 +000100010010 +000100010010 +000100100100 +001000110110 +010001010110 +010101010110 +000100100010 +000000010000 +000000010001 +000000100010 +000000010001 +000100010000 +001100100000 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110001 +010100110000 +011000110000 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001010001 +100101010001 +100101010010 +100001010001 +011101000001 +011000110001 +010100100000 +001100100001 +000000100010 +000000110100 +000000110101 +000101000101 +000101000101 +000000110100 +000000110101 +000000110101 +000000110101 +000101000101 +000101000101 +000101000101 +000101000101 +000100110100 +001000100001 +000100010001 +000100100001 +000000010001 +001100110000 +100001010010 +100001010010 +011101000001 +011101010001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100101100010 +101001100010 +100101100010 +100101100010 +011101010001 +011101010001 +011101010001 +010100110001 +000100110011 +000100110100 +000101000110 +000101010110 +000101000110 +000101000110 +000101010111 +000101010111 +000101000101 +000101010110 +000101010111 +000101010111 +000101100111 +001001100111 +000101100111 +000101100111 +001001100111 +001001100111 +001001101000 +001001101000 +001001010110 +010001010100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010101110111 +011010001001 +010101010101 +001001000100 +000101010101 +010001010011 +011001000001 +010100110001 +010000110000 +001100100000 +011001000001 +100001100001 +100001100010 +100101100010 +100001100010 +100001010001 +100001010001 +100001010001 +100001100010 +100101110010 +100101100010 +100001010010 +100001010001 +100001100001 +100001010001 +100001010010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001100010 +100001010001 +100001010010 +100001100001 +100001100010 +100001010010 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011001000001 +011001000001 +011001000001 +010100110000 +001100010000 +000100010001 +001101010100 +010001010101 +001001000110 +000101000110 +000101001000 +000101101001 +000101101001 +000101101001 +001001100111 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +001100110011 +010101100111 +000101000100 +000100100001 +010100110001 +010100110000 +010000110001 +001000010000 +011101010010 +101110000010 +101001110010 +100101100010 +100001100001 +100001100010 +100001010010 +011101010001 +100001010010 +100001100010 +100101110010 +100101110010 +100001100010 +011101010010 +011101010001 +100001100010 +100101100010 +100001100010 +011101010001 +011001000001 +011000110001 +011001000001 +011101000001 +100001010010 +100001100010 +100001100010 +100001100010 +100001010010 +100001010001 +100001010001 +100001010001 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101010010 +010100110001 +001100100000 +001000010000 +001000100001 +000100110100 +001001000101 +000000110100 +000000110101 +000000110101 +000000110101 +000000100100 +000000100011 +000000110100 +000001000110 +000000110101 +000001000110 +000001000110 +000001010111 +001001111010 +001101111010 +000000100011 +000100100011 +001000110100 +001001000100 +001101000100 +001101000100 +010101000011 +010101000011 +011001010101 +011001100101 +010101010100 +011001100110 +011001110110 +011001100101 +011001000011 +011001010100 +010101000011 +011001000011 +011101010100 +010101000010 +010101000011 +011001010100 +011001010100 +011001010011 +011001000011 +011001010011 +011001010100 +010101000011 +010000110011 +010101000100 +010001000011 +010000110010 +001100110010 +001000010001 +001000100001 +001100110010 +010101000100 +011001010101 +100001110110 +100110000111 +100110000111 +101010011000 +101110011000 +110010101001 +101110101000 +101110011000 +101110101000 +101110101000 +110010101001 +110010111001 +110010111001 +110110111010 +110010111001 +110010101001 +110010101000 +101110101000 +101110101000 +110010111001 +110010111001 +110010101001 +110010111001 +110010101001 +110010111001 +110010111001 +101110101001 +101010011000 +100001110111 +011001010101 +010000110011 +001100110011 +001100110011 +001000110011 +001000110100 +001101000100 +010001000100 +010001010101 +010101010110 +010101100110 +010101100110 +011001100110 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +100101110100 +100101100010 +100001010001 +011001000001 +010100100000 +010100110000 +010001000011 +001101010101 +001101010110 +010001100111 +010001010110 +010001010110 +011001110111 +011101111000 +011101110111 +100001100100 +100001010010 +100001000000 +100101010001 +100101010010 +100001000001 +011100110001 +011000110001 +010100110000 +011001000001 +100101010001 +101001010010 +100101010010 +010101000001 +000100100001 +000100110011 +001000100010 +000100100010 +000100100100 +000100100011 +000000010011 +000100010011 +000100010011 +000100100100 +000100100101 +001000110111 +010101101000 +010101100110 +001100110100 +000100010001 +000000000000 +000000010001 +000000010001 +000000010000 +000100010000 +010100110001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110001 +011000110001 +011000110001 +011100110001 +011101000001 +011101000001 +011101000001 +100001000001 +100001010001 +100001010001 +100001010001 +011101000001 +011001000001 +011000110000 +010000100000 +000100010001 +000000110100 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000000110101 +000000110101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000100 +000100100010 +000100010001 +000000010001 +000000010001 +001000100001 +011101010010 +011101010001 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010001 +011101010001 +100001010010 +011101010001 +011101000001 +100101100010 +100101100010 +100101100010 +100001010001 +011101000001 +011101010001 +100001010001 +011001000001 +001000100010 +000100110100 +000101000110 +000101010110 +000101000110 +000101010110 +000101010111 +000101010110 +000100110101 +000101000101 +000101010110 +000101010110 +000101100111 +000101100111 +000101100111 +000101101000 +001001100111 +001001100111 +001001101000 +001001100111 +001001010110 +001101000100 +010000110011 +001100110010 +001100110010 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110011 +011001111000 +011110000111 +010001010100 +000101000101 +000101010101 +010101010010 +011101000001 +010100110001 +010000100000 +001100100000 +011001000001 +100001010001 +100001100010 +100101110010 +100101100010 +100001100001 +100001010010 +100001010010 +100001100010 +100101100010 +100101110010 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010001 +100001010010 +100001100010 +100001100010 +100001010010 +100001010001 +100001010001 +100001100010 +100001010001 +011001000001 +011000110001 +011001000001 +011001000001 +010100110001 +010000100000 +000100010001 +001001000101 +010101010100 +001101010110 +000100110110 +000101001000 +000101101001 +000101101001 +000101111001 +001101111001 +000100010001 +000100010000 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +010001010101 +010101100111 +001000110011 +010001000001 +011001000001 +010000110001 +001000010000 +000100010000 +100101100010 +101101110010 +101001110010 +100101100010 +100101100010 +100001010010 +100001010001 +100001010010 +100101100010 +100101100010 +100101110010 +100101110010 +100001100010 +011001010001 +011101010001 +100001100010 +100001100010 +100001100010 +011101010010 +011001000001 +011001000001 +011001000001 +011101010001 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001010001 +100001010001 +100001010001 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101010001 +011001000001 +010100110001 +001100100000 +001000010000 +000100010001 +000100110100 +001001000101 +000000100100 +000000110100 +000000110101 +000000110101 +000000110101 +000000110101 +000000110100 +000000110101 +000001000110 +000001010110 +000001010111 +000001010111 +001001111010 +001101111011 +000100110101 +000000010010 +001001000100 +001101000100 +001101000100 +001101000100 +011001010100 +011001010100 +011001010101 +011001100101 +011001010101 +011001110111 +011110001000 +011001110111 +010101010100 +010101010100 +011001100101 +010101000011 +010101000011 +010000110001 +010100110010 +011001000011 +011001010011 +011001000011 +010100110010 +011001000011 +011001010100 +011001010100 +011001010100 +011001100110 +011101110110 +011001010101 +011001010100 +010101000100 +001100110010 +001000100001 +001000100010 +001000100010 +001100110011 +001100110011 +010000110011 +010001000011 +011001010101 +100001110110 +100110000111 +101010010111 +101110011000 +101110011000 +101110011000 +110010111001 +110110111010 +110010111001 +101110101000 +110010101000 +110010101001 +110010101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101001 +110010101001 +110010111001 +110010111001 +110010111001 +110010101000 +110010101000 +101110011000 +101010010111 +100010000110 +011101110110 +011001100101 +010101100110 +010101100110 +010101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +100001110100 +100001010010 +100001010011 +010100110001 +010000100000 +010000110001 +010001000011 +001101010110 +001101010110 +010001100111 +010001010110 +010001010110 +011001100111 +011101111000 +011001111000 +011001110111 +100001110110 +100101110100 +100101100010 +100101010001 +100001000001 +011000110001 +011000110001 +011000110000 +100001010001 +100101010001 +100001010001 +100001010001 +100101100010 +011101010010 +010001000010 +001100110001 +001000100001 +000100100010 +000100100011 +000100010011 +000100100100 +000100100100 +000100100100 +000100100100 +001000110101 +011001100111 +010101100110 +010001010101 +001000100010 +000000000001 +000000000000 +000000010001 +000100010000 +000100000000 +001100010000 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110001 +011000110000 +010100110000 +011000110001 +011000110001 +011000110001 +011000110001 +011100110001 +011101000001 +011101000001 +100001010001 +100101010001 +100001010001 +100001010001 +011101000001 +011001000001 +011000110001 +010000100000 +001000010001 +000000110100 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000000110101 +000000110101 +000000110101 +000101000101 +000101000101 +000100110101 +000101000101 +000100110100 +001000010001 +000100010001 +000000010001 +000100010000 +011001000001 +011001000001 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011000110000 +010100110001 +011001000001 +011101000001 +011101000001 +011101000001 +011001000001 +100001100010 +100001010001 +100001010010 +011101010001 +011101010001 +100001010001 +100001010001 +011101000001 +001100100001 +000100110100 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +000101000110 +000100110100 +000101000101 +000101010110 +000101010110 +000101101000 +000101100111 +000101100111 +000101101000 +001001101000 +001001101000 +001001101000 +001001100111 +001001010110 +001101000100 +010001000011 +001100110010 +010000110010 +010001000011 +010001000011 +010000110011 +010000110010 +001100110010 +001100110011 +010101111000 +010101110110 +001001000100 +000101010101 +001001010101 +010101000001 +011001000001 +010100110001 +010000100000 +001100010000 +010100110000 +100001010010 +100001100010 +100101100010 +100101110010 +100001100010 +100001010010 +100001010010 +100001010001 +100001100010 +100101100010 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100001010001 +100001010001 +100001100010 +100001100001 +100001010001 +100001010001 +100001010001 +011101010001 +100001010001 +100001010010 +011101000001 +011001000001 +011001000001 +011000110001 +010100110000 +010000100000 +000100000000 +001000110100 +001101010101 +010001010110 +000100110110 +000101000111 +000101101001 +000101101001 +000101101001 +001110001010 +000100100010 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +010101010101 +100110001000 +011101100110 +011101100011 +010101000001 +001000100000 +000100010000 +001000010000 +100101100010 +101001110010 +101001110010 +100101100010 +100001010001 +100001010010 +100001010001 +100001100010 +100101100010 +100101100001 +100101110010 +100101110010 +011101100010 +011001010010 +011001000001 +100001100010 +100001100010 +100001010001 +011101010001 +010100110001 +010100110001 +011001000001 +011101010001 +100001100010 +100101100010 +100101100010 +100101100010 +100101110010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101100010 +011101100010 +011101010001 +011001000001 +010100110000 +001100010000 +001000010000 +000100010000 +000100100011 +001000110100 +000100100011 +000000100100 +000000110100 +000000110101 +000000110101 +000000110101 +000000110101 +000000110101 +000001000110 +000001010111 +000001010111 +000001011000 +000101101001 +001001111011 +001001010111 +000000010010 +001000110100 +001001000100 +001101000100 +001101000100 +011101100110 +011001100101 +011001100110 +011001100101 +011001100101 +011101111000 +011110001001 +011110001000 +011101100110 +011101110111 +011001110111 +011001100110 +010101000100 +010000110010 +010101000011 +010101000011 +010101000010 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +010100110011 +011001010100 +010101000100 +010101000100 +011001010100 +011001010101 +010101000011 +011001010100 +010101010100 +001100110010 +001100100001 +001100100010 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +010101000011 +011001010100 +011101100101 +100001110110 +101010000111 +101110101000 +101110101001 +110010101000 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +101110101000 +101110101000 +101110010111 +101010010111 +110010101000 +110010101000 +110010111000 +110010101000 +110010111000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101001 +110010101001 +101110101000 +101010011000 +100110010111 +100010000111 +011101110110 +011001100101 +010101100101 +011001100110 +011001100110 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011101110111 +100101110101 +011101000010 +010100110011 +001100100001 +001100100001 +001000100001 +001100110011 +001101010110 +001101010101 +010001010110 +010001010110 +010001010110 +010101100111 +011001110111 +011001110111 +011001110111 +011101111000 +100001110101 +100101100010 +100001010001 +011101000001 +011000110001 +010100110000 +011101000000 +101101110010 +101001110010 +010101000001 +010100110001 +100101100010 +100101100010 +010101000001 +001100100001 +001000100001 +001000100001 +000100100010 +000100100010 +001000100010 +000100010011 +000100100011 +000100100100 +000100100101 +001000110101 +010101010101 +010101010101 +010001000100 +000100100010 +000000000001 +000000000001 +000100010001 +000100010000 +000100000000 +010100110001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +010100110000 +010100110000 +011000110001 +011000110001 +011000110001 +011000110001 +011000110000 +011101000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +011000110001 +010000100000 +001000010001 +000100110100 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000000110100 +000000110100 +000000110101 +000100110101 +000101000101 +000101000101 +000101000101 +000101000101 +010001010101 +100001100110 +001000100010 +000100100001 +010101000010 +011101010010 +011001010010 +011001010010 +011001000001 +011001000001 +011001000001 +011101000001 +011001000001 +010100110001 +010100110001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010010 +011101010001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +011101010001 +010000100000 +000100110011 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +000101000110 +000100110100 +000100110100 +000101010110 +000101010111 +000101101000 +000101010111 +000101100111 +000101101000 +000101100111 +000101100111 +001001101000 +001001100111 +001001010110 +001101010101 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110010 +001100110010 +001100110011 +011010001000 +010001100101 +001001000101 +000101010110 +001101010100 +011001000001 +011001000001 +010100110001 +010000100000 +001100010000 +010100110001 +100001010010 +100001100001 +100001100010 +100001100010 +100001100010 +011101010001 +011101010001 +011001000001 +011101010001 +100001010001 +100001010001 +100001100001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001100010 +100001010001 +100001010001 +100001100010 +100001100001 +100001100010 +100001010001 +100001010001 +011101000001 +011101000001 +011101010001 +100001010001 +011101000001 +011000110001 +011000110001 +010100110001 +010000100000 +000100000000 +000100100011 +001001010101 +010001010101 +001000110110 +000100110111 +001001011001 +000101101001 +000101111001 +001110001010 +000100110011 +000100010000 +000100010010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000000000 +000100010001 +011001100110 +101010011001 +100001110101 +010101000001 +000100010000 +000000010000 +000100010000 +001000010000 +100101100010 +101001110010 +101001110010 +100101100010 +100001010001 +011101010001 +100001100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100001110010 +011101100011 +011001010010 +011001010001 +011101010010 +011101010001 +011001000001 +010100110000 +010000100000 +010100110000 +011001000001 +100001010001 +100001100010 +100001100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101100010 +011101010010 +011101100010 +011101010010 +011001000001 +010100110000 +001100010000 +001000000000 +000100000000 +000100010010 +000100100011 +000000100100 +000000100100 +000000100100 +000000110100 +000000110101 +000000110101 +000000110101 +000000110101 +000001000110 +000001010111 +000101011000 +000001011000 +000101011000 +001001111010 +001101101001 +000000010011 +001000110100 +001001000100 +001101000100 +001101000100 +011101100101 +011101100101 +011001100110 +011101110110 +011101110111 +011101111000 +011110001000 +011101110111 +011001100110 +011101110111 +011110001000 +011110001000 +011101111000 +011101100110 +011101110111 +011101100110 +010101010100 +010101000011 +010101000011 +011001000011 +010101000011 +011001100110 +011001100101 +010101000100 +010101000100 +011001010101 +010101000011 +010101000010 +011001010101 +011101100101 +011001010100 +010101000011 +010101000011 +011001010100 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010100110010 +010000110011 +001000100001 +001000100001 +001100110010 +010101000100 +011001100101 +011101100101 +100110000111 +101010010111 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +101110011000 +110010101000 +110010101000 +110010101000 +110010111001 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010111001 +110010111001 +110010101001 +101110101000 +101010011000 +101010010111 +100110000111 +100001110111 +011101110111 +011001110111 +011001110111 +011101110111 +100010000111 +101010011000 +100101110111 +010101000011 +001000100010 +000100010001 +000100010000 +000100010000 +001101000100 +010001010110 +001101000101 +010001010110 +010001010110 +010001010110 +010101100110 +011001110111 +011001110111 +010101110111 +011101110111 +100001100011 +100101100001 +100001010001 +011101000001 +011000110000 +010100110000 +011101000001 +101001110010 +110010010010 +100110000011 +010101000010 +001100100000 +010000100000 +001100100001 +001100100001 +001000100001 +001000100010 +000100100010 +001000100001 +100001100010 +011101010010 +001000100001 +000100010011 +000100010010 +000100100011 +010101010101 +010101010101 +010101100110 +001000110011 +000000010001 +000000000000 +000100000001 +000100000000 +000000000000 +001100010000 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110001 +011000110000 +010100110000 +010100110000 +011000110001 +011000110000 +011000110000 +011000110000 +011000110001 +011101000001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110001 +010100100000 +001000010000 +000100110100 +000000110101 +000000110101 +000000110101 +000000100011 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000101000101 +000101000101 +000101000101 +000101000101 +001001000100 +010101000011 +010101000100 +011001100101 +010001000011 +011001010100 +010001000010 +010001000011 +010000110010 +010000110010 +010000110010 +010101000010 +011001000001 +010100110000 +010100110000 +010100110001 +010100110001 +010100110000 +011001000001 +100001100010 +100001100010 +100001010010 +100001010001 +100001010001 +100001010001 +100001010010 +011101010001 +010100110001 +000100100010 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +000101000110 +000100110100 +000000110100 +000101010110 +000101010111 +000101010111 +000101010111 +000101100111 +000101101000 +000101100111 +000101100111 +001001111000 +001001100111 +001001100111 +001001010101 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001101000011 +011110001001 +001101010101 +000101010101 +001001100110 +001101000011 +010101000001 +011001000001 +010100110001 +010000100000 +001100010000 +010100110000 +100001010001 +100001100001 +100001100001 +100001100001 +100001100010 +011101010001 +011101010010 +011001000001 +011101000001 +011101010001 +011101010001 +100001010001 +100001100010 +100001010010 +100001010010 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001100010 +100001010001 +100001010001 +100101100010 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110001 +010000100000 +000100000000 +000100100010 +001001010110 +001101010101 +001101000101 +000100110111 +000101011001 +001001111010 +000101111001 +001110011011 +001001000101 +000100010001 +000100100001 +000100100010 +000100100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +001000110010 +011101111000 +011101100110 +010101010010 +001000100001 +000000010010 +000000010001 +000000000000 +001100010000 +100101100010 +101001100010 +100101100010 +100001010001 +100001010001 +100001010001 +100001100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100110000011 +100110000100 +100001110100 +011001010001 +011001010001 +011101010001 +011001000001 +010100110001 +010100110000 +011001000001 +011101000001 +011101010001 +100001010001 +100001100010 +100101100010 +100001100010 +100001100010 +100001100010 +100001010001 +100001010001 +100001100010 +011101010010 +011101010010 +011101010010 +011101100010 +011101100010 +011001000001 +010100110000 +001100100000 +001000000000 +000100000000 +000100100011 +000000110101 +000000110101 +000000100100 +000000100100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110101 +000001000101 +000001000111 +000001000111 +000001011000 +000101011001 +001001111010 +001110001011 +001001000110 +001000110011 +001101000100 +001101000100 +001101000100 +011001100101 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101100110 +011001100101 +011101100110 +011101110111 +011101110111 +011101111000 +011110001000 +011101100110 +011101110111 +011001100110 +010101010100 +010101010101 +011001100110 +010101000011 +010000100001 +010101000011 +010000110010 +010101000011 +011001000100 +010101010100 +011101100110 +011001010101 +010000110010 +010000110010 +010000110010 +010000110010 +010100110010 +010000110010 +010000110010 +001100100001 +001000100001 +001000010001 +001000010001 +001000010001 +001100100010 +010000110011 +011001010100 +100001110111 +100001110110 +101010000111 +101110011000 +101110011000 +110010101000 +101110101000 +101110101000 +110010101000 +101110101000 +101110101000 +101110010111 +101110010111 +101110100111 +101110101000 +110010101000 +110010101000 +110010111001 +110010111001 +110010111001 +110110111001 +110010111001 +110010111001 +110010111001 +110010101000 +110010101000 +110010101000 +101110101001 +101010011000 +101110111001 +101010101000 +100001110110 +010001000100 +001000100010 +000100010001 +000100000000 +000100010000 +000100000000 +001100110100 +001101000101 +001001000100 +001101000101 +010001010110 +010001010110 +010101100110 +011001110111 +010101110111 +010101110111 +011101110111 +100001100100 +100001010001 +100001010001 +011101000001 +010100110000 +011001000011 +011101100100 +100001010001 +101110000010 +110110010010 +101110010010 +011101010011 +001100110010 +001000100000 +001100100001 +001000100010 +001000100010 +001000110010 +001100110001 +100101100001 +101110010010 +011001010010 +000100100011 +000000000000 +000100010001 +010101010100 +010101010100 +011001100110 +010101100110 +000100100010 +000000000001 +000100010001 +000100010000 +000000000000 +000100010000 +010100110001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110001 +011000110001 +011101000001 +011101000001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110001 +010100110000 +001100100000 +000100110011 +000000110100 +000000110101 +000000110101 +000000100011 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000101000101 +000101000101 +000101000101 +000101000101 +001001000100 +001100110011 +010000110011 +001100100010 +001000100001 +001000100001 +001000100001 +001100100001 +010000110010 +010000110011 +010001000100 +010000110010 +010101000001 +011001000001 +010000110000 +010000100000 +010000100000 +010100110000 +100001100010 +100101110010 +100001100010 +100001010001 +100001010001 +011101010001 +100001010001 +100001100010 +011101010001 +010101000001 +001000100001 +000101000100 +000101000101 +000101000110 +000001010110 +000001010111 +000101000110 +000100110100 +000000110011 +000101000110 +000101010110 +000101010111 +000101010110 +000101101000 +000101101000 +000101010111 +000101100111 +001001111000 +001001101000 +001001101000 +001001000101 +001101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110010 +010001000011 +011001110111 +001001010101 +000101010110 +001001010101 +001100110001 +010101000001 +011001000001 +010100110001 +010000100000 +001000010000 +010100110001 +100001010001 +100001010001 +100001010001 +100001010001 +100001100010 +011101010010 +011101010001 +010101000000 +011001000001 +011001000001 +011101010001 +100001010001 +100001010001 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101010001 +100001010001 +100001010010 +100001010001 +100001010001 +100001100010 +011101010001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +010000100000 +001000000000 +000100010001 +001001010101 +001001010101 +001101000101 +000100110111 +000101011001 +001001111010 +000101111010 +001110011100 +001101100111 +000100100001 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +001101000100 +001101000110 +010101010100 +010000110010 +000100100010 +000100100010 +000100010001 +000100010000 +010000100000 +100101100010 +101001100010 +100101100010 +100001010010 +011101010001 +100001010010 +100001100010 +100001100010 +100001100010 +100001110010 +100001100010 +100001110010 +100001110010 +100110000100 +100001110100 +011101010010 +011101010001 +011101010001 +011001000001 +011001000001 +011101000001 +100001010010 +100001010010 +100001010010 +100001010010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001010010 +011101010001 +011101010001 +011101010001 +011101010010 +011101010010 +011001010001 +011101100010 +011001010001 +010100110001 +001100100000 +001000000000 +001000000000 +000100110100 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000000100100 +000000100011 +000000110100 +000001000101 +000001000101 +000001000110 +000001000110 +000001010111 +000101101001 +001010001011 +001001111010 +001101101000 +001000110100 +001101000100 +001101000100 +001101000100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100101 +011101100110 +011101110111 +011101110110 +011101110111 +011001100101 +011101100110 +011101110110 +011001010100 +011001010100 +011001010101 +011101100110 +011101111000 +011001110111 +011101110111 +010101010101 +011001100110 +010101100110 +010101100110 +011001100111 +010101010101 +010101000011 +010101000011 +010000110010 +011001010101 +011001010100 +010101000011 +010101000011 +011001010100 +010101000011 +010000110001 +010000110010 +010000110010 +010000110010 +010101000010 +011001010100 +011001010100 +010101000011 +010000110010 +010000110001 +010000100001 +001000010001 +001000100001 +001100100010 +001100110010 +010000110011 +010101000011 +010101000011 +011001010101 +100001110110 +100110000111 +101010010111 +101110101000 +110010101001 +110010101000 +110010101000 +101110010111 +101110010111 +101110101000 +110010101001 +101110101000 +101110101000 +101110100111 +101110010111 +101110101000 +101110101000 +101110101000 +101110101000 +101110100111 +101110010111 +110010101000 +101010010111 +011001010100 +010101000100 +010000110011 +001000010001 +000100010001 +000100010001 +000100000001 +000100010001 +000100010001 +000000000000 +000100010001 +001101000100 +001000110011 +001100110100 +001101000101 +010001010101 +010101100110 +011001110111 +011001110111 +010101100110 +010101010101 +010000110010 +010100110001 +011101000001 +011100110000 +010000110000 +011001100110 +011101110111 +011101010010 +100101100001 +110010010010 +110110010001 +110010010010 +100001110011 +010001000010 +001000100001 +001000100010 +001000110010 +001000100001 +011001000001 +101001110010 +101110000010 +101001110011 +001101000100 +000100010010 +000100000000 +000100010000 +001100110010 +011001010101 +011001100110 +010001010101 +000000000001 +001101000100 +001000100010 +000000000000 +000100000000 +010000100000 +011001000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +010100110000 +010100100000 +010100100000 +010100110000 +011000110000 +011000110000 +011000110001 +011000110001 +011001000001 +100001000001 +100001010001 +011101000001 +011101000001 +011000110001 +010100110000 +010000100000 +000100100010 +000000110100 +000000110100 +000000110100 +000000100011 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000100110101 +000101000101 +000101000101 +000101000101 +001001000101 +010001000100 +001100110010 +001000100001 +001000010001 +000100010000 +000100010000 +000100010000 +001100110010 +001100110011 +010001000101 +001100110011 +010000110010 +010100110001 +010100110000 +010000100000 +001100100000 +011001000001 +100101100010 +100101100001 +100101100001 +100001010001 +100001010001 +100001010001 +100001010010 +011101010001 +011101000001 +011001000001 +001100100001 +000100110011 +000100110100 +000101000101 +000001010110 +000001010110 +000101000101 +000100110100 +000000110011 +000101010110 +000001010110 +000101010111 +000101010110 +000101010111 +000101010111 +000101010110 +000101100111 +000101111000 +001001111000 +001001101000 +001001000100 +001100110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001101000011 +001100110011 +010001000100 +011001110110 +000101000100 +000101010110 +000101000011 +001100110001 +011001000001 +011001000001 +011000110001 +010000100000 +001000010000 +010100110001 +100001010010 +100001100001 +100001010001 +100001010001 +100001100010 +011101010001 +011001010001 +010101000000 +010100110000 +011001000001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010010 +100001010001 +011101010001 +011101010001 +011101010001 +011101000001 +011101000001 +011101000001 +011001000001 +011101000001 +011001000001 +011001000001 +011101000001 +100001010001 +011101000001 +011101000001 +011000110001 +010000100000 +001000010000 +000100000000 +001001000101 +000101010101 +001101000110 +000101000111 +000101011001 +001001111011 +000101111010 +001010011100 +001101100111 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000110001 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010001010101 +001101000110 +010001000011 +000100100001 +000000100011 +000100100010 +000100010000 +001000010000 +010000100000 +100101100010 +100101100010 +100101100010 +011101000001 +011001000001 +100001010010 +100001010010 +011101010001 +011101010010 +011101010001 +011001000001 +011101010001 +100001110010 +100110000011 +100001110011 +100001100010 +100001010010 +100001010001 +011101010001 +011101000001 +011101010001 +100001010001 +100001010001 +011101000001 +100001010001 +100001010010 +100001010010 +100001010010 +100001100001 +100001100010 +100001010010 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101100001 +011101100010 +011101010010 +010100110001 +001100100000 +001000000000 +000100000000 +000100110011 +000000110100 +000000110100 +000000110101 +000000110101 +000000110100 +000000110101 +000000110100 +000000110100 +000001000110 +000001000101 +000001000110 +000001000110 +000001010111 +000001101001 +001010001011 +000101011000 +001101111001 +001001010110 +001001000011 +001101000100 +001101000100 +011001100110 +011101100110 +011001100101 +011001010101 +011101100101 +011101100110 +011101100101 +011101100101 +011001010101 +011001010101 +011101100110 +011001010100 +011101010100 +011101110110 +011101110110 +011101100101 +011001010100 +011001010100 +011001100101 +011001100111 +011001100110 +011101110110 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +011001100110 +011001010101 +011101100110 +010101010100 +010100110010 +010100110010 +010000110010 +010101010100 +010101000100 +010101010100 +011001100110 +011001010101 +011001010101 +011101100110 +011101100101 +011101010100 +011101100101 +011001010011 +011001000010 +010100110010 +010000110011 +010000110010 +010000110010 +010101000011 +010000110010 +001100100001 +001000100001 +001100100001 +001100100010 +010000110011 +011001010100 +100001110110 +100001110110 +101010000111 +101110010111 +101110011000 +101110101000 +110010101000 +110010101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +110010111001 +110010101000 +110010111000 +110010111000 +110010101001 +011001100101 +001000010010 +001000100010 +001000100010 +000100010001 +001000100010 +001000100010 +000100000000 +000100010001 +000000000000 +000100000000 +010000110010 +001100110011 +000100100010 +001100110011 +001101000100 +010001010101 +010101100110 +011001110111 +010001010101 +001100110011 +001100100011 +001000100010 +001000100001 +010000110001 +010000100000 +010101000011 +011110001000 +011110001001 +011101110110 +011101000001 +101001110010 +110010010010 +110110010001 +101110000010 +100101110011 +011001010010 +001100110010 +001000110010 +001000100001 +011101010001 +101001110010 +101110000010 +101110000010 +011001010011 +001101000100 +001000010001 +000100010000 +000100000000 +001000010001 +010101010100 +011001100110 +010001000100 +010101100110 +010101010101 +000100010001 +000000000000 +001000010000 +010100110001 +011101000001 +011101000001 +011100110001 +011000110001 +011000110001 +011000110000 +010100110000 +010100100000 +010100100000 +010100110000 +011000110000 +011000110000 +011000110000 +011000110001 +011101000001 +100001010001 +011101000001 +011000110001 +011000110001 +010100110000 +010100100000 +001100100001 +000000100011 +000000110100 +000000110100 +000000100010 +000000100011 +000000110100 +000000110100 +000000110100 +000000110100 +000100110101 +000101000101 +000101000101 +000101000101 +001001000101 +001000100011 +001000100001 +001000010001 +000100000000 +000100010000 +000100010000 +001000100001 +010001000011 +001000100010 +001100110011 +001100110100 +001100110011 +010000110010 +010100110000 +010000110000 +010000110001 +011101010001 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011001000001 +011101000001 +010000100000 +001000100010 +000100100011 +000000110100 +000101010110 +000001010110 +000101000101 +000100110100 +000000110100 +000101010110 +000001010110 +000101010111 +000101010110 +000101010110 +000101010110 +000101010110 +000101100111 +000101111000 +001001111001 +001001100111 +001000110011 +001100110010 +001100110010 +010000110011 +010000110011 +001100110011 +001100110010 +001100110011 +001100110010 +010101010100 +010101110110 +000101010101 +000101000101 +000100110010 +001100110001 +010101000001 +011001000001 +011000110001 +010000100000 +001000010000 +011000110001 +100001010010 +100101100001 +100101100010 +100001010001 +011101010001 +011101010001 +011001000001 +010101000001 +010100110001 +010100110000 +011101010001 +100001010010 +100001010001 +100001010001 +100001100010 +100001100010 +100001010001 +100001010010 +011101010001 +011101000001 +011101010001 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011001000001 +100001010010 +011101000001 +011001000001 +011000110001 +010100110000 +001100010000 +000100000000 +001000110011 +000101010110 +001001010101 +000101000110 +000101001000 +001001101010 +000101111010 +001010011100 +001101111000 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +000100100001 +000100100001 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +010001010110 +000100110101 +001000110011 +000000100010 +000000100010 +000100100001 +001000010000 +001000010000 +001100010000 +100101100010 +100101100010 +100001010010 +011001000001 +011101000001 +011101010001 +011101010001 +011001000001 +010100110000 +011101010001 +011001000001 +011101100010 +100101110010 +100101110010 +100001110010 +100001100010 +100001100010 +100001010001 +100001100010 +100001010010 +100001010001 +100001010001 +100001010001 +011101000001 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011101010010 +011101010010 +011101010001 +011101010001 +011101010010 +100001100010 +100001100010 +100001100010 +011101010001 +011001000001 +010000100000 +001000000000 +000100000000 +000100100010 +000000100011 +000000100100 +000000110101 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000001000101 +000001000110 +000001000110 +000001010111 +000001011000 +000101101001 +001010001011 +000101011000 +000101000110 +001001010110 +001001000100 +001101000100 +001101000100 +011001111000 +011101110111 +011001100110 +011001100110 +011101100110 +011101100101 +011101010101 +011101010100 +011101100101 +011101010100 +011101010100 +011001010100 +011001010100 +011101100110 +011101110110 +011101100110 +011101100101 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +011101100110 +011001100101 +011001100110 +010001000100 +100010001000 +011101110111 +010001000100 +001100110011 +011001100110 +011001100110 +010101010101 +011001110111 +011101110111 +011001100110 +010101100101 +011001100110 +011001100110 +011001010100 +010101000011 +011001010011 +011101100101 +011101010100 +011001000011 +010101000010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +010100110011 +010000110010 +010000110010 +001100100001 +001000100001 +001100100010 +001100100001 +010000110011 +010101000100 +011101010101 +100001110110 +100110000110 +101010010111 +101110010111 +101110010111 +101110100111 +110010101000 +110010101000 +110010101000 +110010101000 +110010111001 +101110101000 +011001010101 +001100100011 +010000110011 +001000100010 +000100010001 +000100010001 +000100010001 +001000100010 +000100000000 +000000000000 +000100000000 +001100100001 +010101000010 +010000110010 +010000110010 +001100100010 +001100110011 +010001000011 +010101010101 +010101010101 +001100110011 +001000100010 +001100110011 +001100110011 +000100010010 +000100010001 +000100010000 +010101010100 +010101100110 +010001000100 +010101010101 +011101100100 +011101010001 +101110000010 +110110010010 +110010010001 +101101110010 +100101100001 +011001010010 +001100110010 +001100110001 +100001010001 +101001110010 +101110000010 +110010000010 +100001100010 +010001010101 +010101010101 +000100010000 +001000010000 +000100000000 +001000010000 +010001000011 +011001100110 +011001100110 +011001110110 +001100110011 +000100000001 +000100000000 +001100100000 +011101000001 +011101000001 +011000110000 +011000110000 +011000110001 +011000110000 +010100110000 +010100100000 +010100100000 +010100100000 +010100110000 +011000110000 +011000110000 +010100110000 +011000110000 +011101000001 +011101010001 +011000110000 +011000110000 +011000110000 +010100110000 +010000100000 +001000100001 +000000110011 +000000110100 +000000100010 +000000100011 +000000110100 +000000110100 +000000110100 +000000110100 +000100110101 +000001000101 +000101000101 +000101000101 +001000110011 +001000100010 +000100010001 +001000100010 +000100010000 +000100010000 +000100010000 +001100110010 +010001000011 +001100110010 +001000100010 +001100110011 +001100110011 +001100110100 +010000110011 +010000110010 +011001000010 +011101010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +011101010001 +011101010001 +011101010001 +011001000001 +010100110000 +001100100000 +000000010001 +000100110011 +000101010110 +000001010110 +000101000101 +000100110100 +000000110011 +000101010110 +000001010110 +000101010111 +000001010110 +000101010110 +000101010111 +000101010110 +000101100111 +000101101000 +001001111001 +001001100110 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001101000100 +001101100110 +000101010101 +000100110011 +000100100001 +001100110001 +010101000001 +011001000001 +011000110001 +010000100000 +001100010000 +011101000001 +100001010001 +100101010001 +100101010010 +100101100010 +100001010001 +011101000001 +011001000001 +010100110001 +011001000001 +011101010001 +011101010010 +100001010001 +100001010001 +100001010001 +100001010001 +100001100001 +011101010001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +100001010001 +011101010001 +011101000001 +011101010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +010100110000 +001100010000 +000100000000 +001000100010 +001001010110 +001001010110 +000100110110 +000101001000 +001001101010 +000101111010 +001010011100 +001101111001 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +000100100001 +000100100001 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +010001010110 +001001000101 +000100110011 +000000100010 +000000100010 +000100010001 +001000010000 +001000010000 +001100010000 +100101100010 +101001100010 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010010 +011001010001 +011101100010 +100001110010 +100101110010 +100001110010 +100001100010 +011101100010 +011101100010 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011001000001 +011101000001 +011101010010 +011101010001 +011101010001 +011101010010 +011101010001 +011101010001 +100001100010 +011101100001 +100001010001 +100001100010 +100001100010 +100001010001 +100001010010 +011101010001 +011001000001 +010000100000 +001000010000 +000100000000 +000100100010 +000000110100 +000000110100 +000000110100 +000000110100 +000000110101 +000001000110 +000000110100 +000000110100 +000000110101 +000001000110 +000001000110 +000001010111 +000001011000 +000101101001 +001001111011 +001001101000 +000100110100 +001000110100 +001101000100 +001101000100 +001101000100 +011001111000 +011101111000 +011110001000 +011110001000 +011101110111 +011101110111 +011101100110 +011001010101 +011101100101 +011101010100 +011101010100 +011101100101 +011001100101 +011101100110 +011001010100 +011001010011 +011101100101 +011101110110 +011101110111 +011101110111 +100010000111 +011101110111 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +010101010101 +010101010101 +011001100111 +011101110111 +100010001000 +011001100111 +011001100110 +010101010100 +011001100110 +011101110110 +010101010101 +011001010100 +011101010100 +011101100101 +011001010100 +011001010100 +010101000011 +011001010100 +010101000011 +010101000011 +010000110010 +010100110011 +010101000011 +011001010011 +011001010100 +010101000011 +010100110010 +011001010100 +010101010100 +010001000011 +010101000011 +010000110010 +001100100001 +001000100001 +001100100001 +001100100010 +010000110011 +010101000100 +011101100101 +100101110110 +101010010111 +101110101000 +101110101000 +110010101000 +110010101000 +011001010101 +001100110011 +010000110011 +001000010001 +000100010001 +000100010000 +000100000000 +000100000000 +000100010001 +001000010001 +001000010001 +001000010001 +010000110010 +010000110001 +010101000011 +010101000011 +010100110010 +010000110010 +001100100001 +001100110011 +001100100011 +001000100010 +000100010001 +001000100010 +001000100010 +000100010001 +000100000001 +000100010001 +000100010001 +001000100010 +001000100001 +001000110010 +011101110111 +011001010011 +100001010001 +110010000010 +110010010010 +101110000010 +101001110010 +100001010001 +010000110001 +010100110001 +100001010001 +101001110010 +101101110010 +101110000010 +101110000011 +010101000010 +011001111000 +001100110011 +001000100000 +001100100000 +001000010000 +001000010000 +010001000011 +011001100101 +010101010101 +010001000100 +001000010001 +000100000000 +000100010000 +010100110001 +100001000001 +011101000001 +011000110000 +011000110000 +011000110000 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +010100110000 +011000110000 +011000110000 +010100110000 +011000110000 +100001010010 +011000110000 +011000110000 +011000110000 +010100110000 +010100100000 +010000100000 +001000100001 +000100100011 +000000100010 +000000100010 +000000100100 +000000110100 +000000110100 +000000110100 +000000110100 +000001000101 +000101000110 +000101000100 +001100110011 +001000100001 +000100010001 +001000100001 +001100110010 +001000010001 +001000100001 +001000100010 +001100110010 +001000100010 +001000100010 +001000100010 +001100110011 +001101000100 +001101000101 +010000110010 +011001000001 +011101000001 +011101010001 +011101000001 +011101010001 +011101010001 +011101010001 +100001010001 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +001000100000 +000100010000 +000100100011 +000001000110 +000001010110 +000101000101 +000100110100 +000000110100 +000101010110 +000001010110 +000101010110 +000001010110 +000101010110 +000101010111 +000101010110 +000101010111 +000101010111 +001001101000 +000101010101 +000100100001 +001000110010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000110100 +001001010111 +000000110011 +000100110011 +000100110010 +010000110001 +011001000001 +011101000001 +011001000001 +010000100000 +001100010000 +011101000001 +100001010001 +100101100001 +100101100010 +100101010001 +100001010001 +011101000001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110001 +011001000001 +011101000001 +011101000001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +100001010010 +011101000001 +011101000001 +011101000001 +011000110001 +010100110000 +010100110000 +010000100000 +000100000000 +001000100001 +001001010110 +000101010110 +001001000110 +000101001000 +001001101010 +000101111010 +001010001011 +001110001001 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100010 +001000110010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +011110001000 +011001110110 +000100100010 +000000110011 +000000100010 +000100010000 +001000010000 +001000010000 +001100010000 +100101100010 +101001100010 +011001000001 +010000110000 +010100110001 +011001000001 +011101000001 +010100110000 +010100110001 +010101000001 +011001000001 +011101100010 +100001100010 +100001100010 +100001110010 +100001100010 +100001110011 +100001100011 +011101100011 +011101100010 +011101010001 +011101010001 +011101010001 +011101010001 +011001000001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010010 +100001100010 +100001100010 +100001100010 +100001100001 +100001100001 +100001010001 +011101010001 +011101000001 +011000110001 +010000100000 +001000010000 +000100000000 +000100100010 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000000110100 +000000110100 +000000110100 +000000110101 +000001000101 +000001000110 +000001010111 +000001101000 +000101111001 +000101101001 +001101101000 +001001000110 +000100010010 +001101000100 +001101000100 +001101000100 +011001110111 +011010001000 +011001111000 +011110001000 +011101111000 +011110001000 +011110001000 +011101110110 +011101110110 +011001010101 +011101010100 +011101110111 +011001100110 +011001010100 +011001000011 +011001010100 +011101100101 +011001010100 +011101100101 +011101110111 +100010001000 +100010001000 +011101100110 +011101100110 +011101110111 +011001010101 +011001000011 +011001010100 +011101100101 +011001010100 +011001010100 +011001010101 +011101100101 +011101100110 +011101110111 +011001110111 +001100110100 +001100110011 +010101010101 +010101010101 +011001100110 +011001100101 +011101100110 +011101110111 +011101110110 +011101100101 +011001010100 +011101110110 +011101100101 +010101000011 +010101000011 +010101000100 +010101000011 +010000110010 +010101000011 +010101000100 +010000110010 +010101000010 +011001100101 +011001100101 +011001010100 +011101100101 +011001010100 +011001000011 +011001010011 +010101000011 +001100100001 +001100100001 +001100100010 +001100100010 +010101010100 +011001010100 +100001110110 +100101110110 +100001110110 +001100110011 +001100110011 +000100010001 +000100010001 +000100010001 +000100000000 +001000100001 +010000110011 +010001000011 +010101000100 +010101000100 +010101000011 +010000110010 +010101000011 +011001000011 +011001000011 +010000110010 +010000110010 +001100110011 +001100110011 +000100010010 +000000000001 +000100000001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010001 +000100010000 +000100010001 +011001100111 +011101110111 +011001000010 +100101100001 +110010000010 +110010010010 +101101110010 +101001110010 +011001000001 +010000100000 +011101010001 +101001100010 +101001110010 +101001110010 +110010000010 +011101010010 +001101000101 +001101010111 +000100010000 +001000010000 +001100100001 +001100100001 +001100100000 +010101000011 +010001000100 +001100110100 +001100110011 +000100010000 +000100000000 +010000100000 +100001000001 +011101000001 +011101000001 +011000110001 +011000110001 +011000110000 +011000110000 +011000100000 +010100100000 +010100100000 +010000100000 +010100110000 +011000110000 +010100110000 +010100100000 +011001000001 +011101000001 +011000110000 +011000110001 +010100110000 +010100110000 +010100110000 +010100100001 +001100100001 +000100010001 +000000010010 +000000100011 +000000110011 +000000110011 +000000110100 +000000110100 +000001000101 +000101000101 +000100110100 +001100110011 +001100110011 +000100100001 +001000110010 +010001000011 +001000100010 +000100100001 +001000100010 +001000100010 +001000010000 +001000100001 +001100110010 +001100110011 +001101000100 +010001000101 +010000110010 +011001000001 +011001000001 +011101010001 +011101000001 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101000001 +011001000001 +010000110001 +000100100001 +000100010000 +000100100010 +000101000110 +000001010110 +000101000101 +000100110011 +000000110100 +000001010110 +000001010110 +000001010110 +000001000110 +000101010110 +000101010110 +000101010110 +000101010111 +000101010111 +000101101000 +000101010110 +000100100001 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110100 +001001000110 +000000110011 +000101000100 +001001000011 +010001000010 +011001000001 +011101000001 +011001000001 +010000100000 +001100100000 +011101000001 +100001010001 +100101010001 +100101100001 +100101010001 +100001010010 +011101010001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +011101000001 +011101010001 +010100110001 +010101000001 +011001000001 +011101000001 +011101000001 +011101010001 +011101010001 +011101010010 +100001010010 +100001010001 +011101010001 +011101010001 +100001010010 +100101100010 +011101010001 +011101000001 +011101000001 +011001000001 +010100110000 +011000110000 +010100100000 +001000000000 +001000100001 +001001010110 +000101010110 +000101000110 +000101001000 +001001101010 +000101111010 +001010001011 +010010001001 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100100001 +000100100001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100011 +011001110111 +010001010101 +000000100010 +000000110011 +000000100010 +000000010000 +001000010000 +001000010000 +001100010000 +100001100010 +100101100010 +011001000001 +010000100000 +010100110000 +011101000001 +011101010001 +010100110001 +010000100000 +010000100000 +010100110000 +011001000001 +011001010001 +100001100010 +100001100010 +011101100010 +100010000101 +100001110101 +100110000101 +100001110011 +011101010001 +011101010001 +011101000001 +011101010010 +011001000001 +011001000001 +011001010001 +011001000001 +011001000001 +011101010001 +011101010001 +100001100010 +100001100010 +100001100010 +100001010010 +100001010001 +011101010001 +011101000001 +011001000001 +010100110000 +010000100000 +001000000000 +001000010000 +001000110010 +000000100011 +000000100100 +000000110100 +000000110100 +000000110101 +000000110100 +000000110100 +000000110100 +000000110101 +000001000101 +000000110101 +000001000110 +000001011000 +000101111010 +001001101001 +001001010110 +001001010110 +000000010001 +001101000100 +001101000100 +001101000100 +011001110111 +011110001000 +011110001000 +011001111000 +011101111000 +011110001000 +011110001000 +011101111000 +011101110111 +011001010100 +011101100101 +011101111000 +011001100110 +011101100110 +011101110111 +011101110111 +011101100110 +011001010100 +011101100101 +011101110110 +011110001000 +100010001001 +011101110111 +011101100110 +011101110111 +011101100101 +011101010100 +011001010100 +011101100101 +011101010100 +011001010011 +011101010100 +011101100101 +011101100110 +011101110110 +011110001000 +010101010110 +010101010101 +011001100111 +011001100110 +011110001000 +011101111000 +010001000100 +100010001000 +100110011001 +011101100110 +010101010100 +011001100101 +011101100110 +011101100101 +011101100110 +011101100101 +011001010100 +010101000100 +010101000011 +010101010100 +010101000100 +010101000011 +011001010100 +011001010101 +011001010100 +011101100101 +011101010100 +011101010011 +011001010100 +011001100101 +011001010100 +010101000010 +011001010011 +010100110010 +010100110010 +010101000011 +011001010100 +010001000011 +001100110011 +001100110011 +001000100010 +001000010001 +000100000000 +001000010001 +001100110010 +010101000011 +010101000100 +010101000100 +011001010101 +011001010101 +011101010100 +011001010011 +010101000011 +011001010011 +011001010011 +010001000011 +001100110010 +001000100011 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010001 +010001000100 +011101110111 +011101100101 +011001000001 +101001110001 +110110010010 +110010000010 +101101110001 +100101100010 +010100110001 +011101000001 +100101100010 +101001110010 +101001110010 +101110000010 +100101100010 +001100100010 +001101010111 +001101000110 +001100100001 +001000010000 +001000010000 +001100100000 +010000110001 +010001000011 +001100110011 +001100110011 +001000100001 +000000000000 +000100010000 +011001000001 +100001000001 +011101000001 +011100110001 +011000110001 +011000110001 +011000110000 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +010100110001 +010100110000 +010100110000 +010100100000 +011001000001 +011001000001 +011000110001 +011000110001 +011100110001 +011100110001 +011000110000 +010100110000 +001100010000 +000100010001 +000000010010 +000000100011 +000000100011 +000000110100 +000000110100 +000001000101 +000101000101 +000101000101 +001000100011 +001000100011 +001000100011 +001000100010 +001000100001 +001100110010 +001000100010 +001100110011 +001000100010 +001100110001 +010100110000 +001100100001 +001100110011 +010001000101 +010001000100 +001100110010 +010100110001 +010100110000 +011001000001 +011101000001 +011101000001 +011101000001 +100001010001 +011101000001 +011101000001 +011101010001 +011101010001 +010001000001 +000100110001 +000100100010 +000100100001 +000100100001 +000101000101 +000101000110 +000100110100 +000100110011 +000000110100 +000101000110 +000001010110 +000101010110 +000001000101 +000101010110 +000101010110 +000101010110 +000101010111 +000101010111 +000101101000 +000101010110 +000100100001 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110011 +001001010110 +000101000100 +000101000101 +000101000100 +010001000010 +011101010001 +011101010001 +011000110001 +010000100000 +001100100000 +011101000001 +100001010001 +100101010001 +100101100001 +100101010001 +100101100001 +100001010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001100001 +100001100001 +100001100001 +011101010001 +011001000001 +010000110000 +011001000001 +100001010001 +011101000001 +011001000001 +011101000001 +100001010001 +011101010001 +100001010001 +011101010001 +100001010001 +011101010001 +011101010001 +100001100010 +100001100001 +011101000001 +011101000001 +011101000001 +011000110000 +011000110001 +010100100000 +001000010000 +001000010000 +001101010100 +000101010110 +000101000110 +000001001000 +000101101010 +000101111010 +001010011100 +010010001001 +001000110010 +001000100010 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000110100 +001101010110 +001101000100 +000000100011 +000000100011 +000000010001 +000100010000 +001000010000 +001000010000 +001000010000 +011101010010 +100101100010 +011000110000 +010000100000 +010000110000 +011001000001 +011101000001 +010100110000 +010000110000 +010000110001 +010000110000 +010100110001 +010000110000 +011001010001 +100001100010 +011101100010 +100010000100 +100010000110 +100110011000 +100110000110 +100001110011 +011101010010 +011101010010 +011001000001 +010100110001 +010101000001 +010101000001 +011001000001 +011001010001 +011101010001 +011101010001 +100001100010 +100101100010 +100001100010 +100001010010 +100001010001 +011101010001 +011101000001 +011001000001 +010000110000 +001100100000 +001000000000 +001100010000 +001100110010 +000000110011 +000000100100 +000000110100 +000000110100 +000000110101 +000000110101 +000000110100 +000000100100 +000000110100 +000001000101 +000000110101 +000001000110 +000001000111 +000101111010 +000101010111 +001001000110 +001001000110 +000000010001 +001101000100 +001101000100 +001101000100 +011101110111 +011110001000 +011110001000 +011101111000 +011001111000 +011001110111 +011101110111 +011101111000 +011101110111 +011101100110 +011101110111 +011101111000 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011101110110 +011101110111 +011101110110 +011101110110 +011101100110 +011101100100 +011101010100 +011101010100 +011001010100 +011101100101 +011101100110 +011101110110 +011110001000 +011001100110 +011101110110 +011101100110 +011101110110 +011110001000 +011110001000 +010101100101 +100010001000 +100110011010 +011001100110 +010000110011 +001100110011 +011101110110 +100010001000 +011101110111 +011101100110 +011001100101 +011101100110 +011101110111 +011101110111 +100001110111 +011101110110 +010001000011 +010101000011 +011001000011 +011101100101 +010101000011 +010101000011 +010101010100 +011101110110 +100010000111 +011001010100 +011001000011 +011001000011 +011001010011 +011001000011 +010101000011 +010000110010 +000100010010 +001000100010 +000100010001 +001000010001 +000100010001 +010001000011 +010101000011 +010101000011 +011001000100 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +010001000011 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010000 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100010 +001100110010 +001100100001 +010100110000 +100101100010 +101110000011 +101110000010 +101001110010 +100001010010 +011000110001 +100001010001 +100101100010 +101001110010 +101001110010 +101001110010 +010100110001 +001000110100 +001101011000 +011001100110 +010101000010 +001100100000 +001000010000 +001100100000 +010101000010 +001100100010 +001000100001 +001000100001 +001000010000 +000000000000 +001100100000 +011001000001 +100001000001 +011101000001 +011000110001 +011000110000 +011000110000 +010100110000 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +010100110000 +011000110001 +010100110000 +010000100000 +011000110001 +011100110001 +011101000001 +011100110001 +011100110001 +011000110001 +010100110000 +010000100000 +001000010000 +000000010001 +000000010010 +000000010010 +000000100011 +000100110100 +000100110100 +000101000101 +000101000110 +001001000100 +000100010001 +000000000000 +000100100010 +001000100010 +001100110010 +001000100001 +001000100001 +001100110010 +010101000010 +011101000001 +011000110001 +001100110001 +001100110011 +010001000100 +010001000100 +010001000011 +010000110001 +010000110000 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101010010 +010000110001 +000100110010 +000100110011 +000100110011 +000100100001 +000100100001 +000000110100 +000101000101 +000100110100 +000000110011 +000100110100 +000101000110 +000101010110 +000101010110 +000001000101 +000101010110 +000101010110 +000101010110 +000101010111 +000101010111 +000101101000 +001001010110 +000100100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000110011 +000101000110 +000101000100 +000101010101 +001001010100 +010101010010 +100001100010 +011101010001 +011000110001 +010000100000 +001100100000 +011101000001 +011101010001 +100001010001 +100101010001 +100101100010 +100101100001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +011101010001 +011001000001 +011001000001 +011001000001 +011101010001 +011101000001 +011101000001 +100001010001 +100001100010 +011101010001 +011101010001 +011101000001 +100001010001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011001000001 +011000110000 +010100100000 +001100010000 +001000010000 +001101000011 +000101010110 +000101000110 +000101001000 +001001101010 +000101111010 +001010011100 +001110001001 +001000110010 +001000100001 +001000100001 +001000100001 +001000100010 +001000110010 +001100110011 +001000110010 +001000100010 +001000100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000000001 +001001000101 +000100110100 +000100110011 +000000110011 +000000110011 +000000100001 +000100010000 +001000010000 +001000010000 +001000000000 +011001000001 +100001010001 +011001000001 +010000100000 +010000110000 +010100110001 +010100110000 +010000110000 +010100110000 +010000110000 +010000110000 +010100110001 +010100110000 +011001000001 +011101010010 +011101100010 +100101110011 +100110000101 +100110011000 +100110011000 +100110000101 +100001100010 +011101010001 +011001010001 +010101000001 +010000110000 +010101000001 +011001000001 +011001010010 +011101010010 +011101010001 +100001010001 +100001100010 +100001100010 +100001010001 +100001010001 +011101010001 +011101000001 +010100110000 +010000100000 +001000010000 +000100000000 +010000110001 +001000110010 +000000100011 +000000100100 +000000110100 +000000110100 +000000110101 +000000110101 +000000100100 +000000100100 +000000110101 +000001000101 +000001000101 +000001000110 +000001000110 +000101101001 +000101000110 +001001010111 +000100100100 +000000010001 +001101000100 +001101000100 +001101000100 +011101111000 +011101111000 +011110001000 +011110001001 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011101110111 +011101110111 +011110001000 +011101110111 +011101110111 +011101110110 +011101100101 +011101010101 +011101100110 +011101100110 +011101110110 +011101110110 +100001110111 +011101111000 +011101100110 +011101100101 +011101100110 +011101100101 +011101100101 +100001110111 +100010000111 +100010001000 +100010001000 +100010001001 +011101111000 +011101100110 +100001110110 +100010000111 +100010000111 +100001110111 +100010000111 +010101010101 +010001000100 +011101110110 +011001100110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011001010100 +010101000011 +011001010100 +010101000100 +011101110110 +011101100110 +010000110010 +010101000011 +011101100101 +011001010100 +011001010100 +010101000100 +000100010010 +000100010001 +000100000000 +000100010000 +001100100001 +010101000011 +010101000011 +010000110010 +010101000011 +010101000011 +010000110011 +010000110011 +010101000011 +010000110011 +010000110010 +010000110010 +000100010001 +000000000000 +000100010001 +000100010001 +001000010001 +000100010000 +000000000000 +000000000000 +000100000000 +000100010000 +001000010001 +001000100010 +001100100010 +001100110010 +001100100010 +001000100001 +001100100001 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100001 +010000110001 +010101000010 +011001010010 +011101010010 +011001000001 +100001100010 +100101110010 +101001110010 +101001100001 +101101110010 +011101000001 +001000100010 +000101000110 +001101010111 +011001100110 +011101100100 +011101010011 +011101010010 +100001100011 +001000100000 +001000010001 +001000100001 +001000100001 +000100010000 +000100000000 +001100100000 +011000110001 +011101000001 +011100110001 +011000110000 +011000110000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010000010000 +010000100000 +010100110000 +010100110000 +010000100000 +010000100000 +011000110001 +011101000001 +011101000001 +011100110001 +011100110000 +011000110000 +010100100000 +001100100000 +000100010000 +000000010010 +000000010010 +000000010011 +000000100011 +000000100011 +000000100011 +000101000101 +001001010101 +000100100010 +000000000000 +000100010001 +001101000100 +010101010110 +001100110010 +001100100010 +001000100010 +010000110001 +011101000001 +011101000001 +010100110001 +001100100001 +001000100001 +001100110011 +010001000100 +010001000100 +010000110010 +010000110001 +010101000001 +011001000001 +010101000001 +011001000001 +011101010001 +010101000001 +000100100010 +000000110011 +000101000100 +000100110010 +000100100001 +000100100001 +000100100011 +000000110011 +000100110011 +000000100011 +000000100011 +000101000101 +000101000110 +000101000101 +000001000101 +000001010110 +000101000110 +000101010110 +000101010111 +000101010111 +000101101000 +001001010111 +000100100001 +001100110010 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100011 +000100110101 +000100110011 +000101000100 +000101000011 +010101010010 +100001100001 +011101010001 +011000110001 +010000100000 +010000100000 +011101000001 +100001010001 +100101010001 +100101010001 +100101100010 +101001100010 +100101100001 +100001010001 +100001010001 +100001010001 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011001000001 +011101000001 +011101000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +100001010001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010010 +100001010001 +011101000001 +011101000001 +011000110000 +010100100000 +001100010000 +001000010000 +010001000011 +000101010110 +000100110110 +000101000111 +001001101010 +001001111011 +001010011100 +001110001001 +001000110010 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100001 +000100100001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001001000101 +000100110100 +000100110011 +000000110011 +000000100010 +000100100001 +001100100000 +001100010000 +001000010000 +001000000000 +010100110001 +100001010001 +011101000001 +010000100000 +010000100000 +010000110000 +010000100000 +010000100000 +010000110000 +010100110001 +010000110000 +010100110001 +010100110001 +011001000001 +011101010001 +100001100010 +100001110010 +100110000011 +100110000110 +100010001000 +100110000101 +100001110010 +011101100001 +011101100010 +011001010001 +010101000001 +011001010010 +011101100011 +011101110100 +100001100010 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011101000001 +010100110001 +001100100000 +001000010000 +001000010000 +011001000010 +001100110001 +000000100010 +000000100100 +000000100100 +000000110100 +000000110101 +000000110101 +000000100011 +000000100100 +000000110101 +000000110101 +000001000101 +000001000110 +000001000110 +000001101000 +000101000110 +000101101000 +000000100011 +000100010010 +001101000100 +001101000100 +001101000100 +011110001000 +011101111000 +011110001000 +011110001001 +011110001000 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011110001000 +100010001000 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +100001110110 +100001110111 +011101111000 +011110001000 +100010001000 +011101110111 +011101110111 +011101110111 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011101100101 +011101100101 +011101110110 +011101110110 +100010001000 +100010001000 +100001110111 +011101110110 +011101110110 +011101110110 +011001010100 +011101100100 +011001100101 +010101010101 +011101100110 +011001010101 +011101100110 +011101100110 +011101110110 +011101110110 +011101100101 +010101000011 +011001010101 +011001100101 +011101100101 +011001010100 +011001010100 +010100110010 +010100110010 +011101010100 +011101100100 +011101100100 +010101000100 +000100010010 +000000000000 +000000000000 +001000100001 +010101000011 +010101000011 +010000110010 +010101000011 +010101000011 +010000110010 +010101000011 +001100100010 +010000110010 +001100100010 +001100100010 +001000010001 +000000000000 +000100010001 +000000000000 +000100010000 +000100010000 +000100000000 +000100010000 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000010001 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001100100010 +010000110010 +001100110010 +010000110011 +010101000011 +011101100100 +110010100111 +110010100111 +110010100110 +110010010101 +101110010100 +100101110011 +010001000001 +000100110011 +001001000110 +010001010111 +010101100101 +011001100101 +011001010100 +010101000010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010000 +001000010000 +001100100000 +011000110001 +011101000001 +011100110000 +011100110000 +011000110000 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +001100010000 +010000100000 +010100100000 +010000100000 +001100010000 +001100010000 +011000110001 +011100110001 +011100110001 +011100110000 +011000110000 +010100100000 +010000100000 +001000010000 +000100010001 +000000010010 +000000010011 +000000010011 +000000100011 +000000010010 +000100100011 +000100110100 +000100100010 +000100010001 +000000000000 +001100110100 +010001000100 +001100110010 +001100100010 +001000100010 +000100010000 +011001000001 +011101000001 +011101000001 +010100110001 +001100100001 +001100100010 +001100110011 +010001000100 +010001000100 +010000110010 +010100110001 +010000110000 +010000110000 +011001000001 +011001000001 +001000110001 +000100110011 +000101000100 +000101000100 +000100100010 +000100100010 +000000100010 +000100100011 +000000100010 +000000010001 +000100100011 +000000100011 +000100110101 +000101000110 +000101000101 +000000110101 +000001010110 +000101000110 +000001010110 +000101010111 +000101010111 +000101101000 +001001100111 +000100100010 +010000110010 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000110100 +000100110011 +000101000100 +000100110011 +010101010010 +100001100010 +011101010001 +011000110001 +001100100000 +010000100000 +011101000001 +100001010001 +100101010001 +100101010001 +100101010010 +101001100010 +100101100001 +100101010001 +100101010001 +100101100010 +100101010001 +100001010001 +100001010001 +100001010001 +011101010001 +011001000001 +010100110001 +011001000001 +011101010001 +100001010001 +100001010001 +011101000001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101010001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110000 +010100100000 +001100010000 +001000010000 +010001000011 +000101010110 +000000110101 +000101000111 +000101011010 +001010001011 +001010011100 +001110001001 +001000110010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001001000101 +000000100100 +000100110011 +000100110011 +000000010001 +001000100000 +001100100000 +001100010000 +001000010000 +001000000000 +001100100000 +011101010001 +011101000001 +010000100000 +010000100000 +010000100000 +010000110000 +010000110000 +010000110000 +010000110001 +010100110000 +010100110000 +010100110000 +010101000000 +011101010001 +100001100010 +100001110010 +100110000010 +100110000100 +100010000110 +100001110100 +100001110010 +100001100010 +100001100010 +011001010010 +011001010011 +011101100011 +100001110100 +100010000101 +100001100011 +011101010001 +011101000001 +011101010001 +100001010001 +011101010001 +011101000001 +011101000001 +011001000001 +010100110001 +001100100000 +000100000000 +001100100001 +011001010010 +010000110001 +001000100010 +000000100011 +000000110100 +000000110100 +000000110101 +000000110100 +000000100011 +000000100011 +000000110101 +000001000101 +000001000101 +000000110100 +000000110101 +000001011000 +000001000110 +001001111010 +000100110101 +000100100010 +001101000100 +001101000011 +001101000100 +011101110111 +011101110111 +011101111000 +011110001001 +100010001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011110000111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011110001001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001000 +011110001000 +011101110111 +011101111000 +100010001000 +011110001000 +011110001000 +011110001000 +100001110111 +011101110110 +011101100110 +011101100101 +011001010100 +011101010100 +011101100101 +011101100101 +011101100100 +011101100101 +011101100101 +011101100110 +011101100110 +100001110110 +100001110111 +011101110110 +011101100101 +011101100100 +011101010011 +011101100101 +011101100110 +011101100110 +011101100110 +011101100101 +011001010100 +100001110111 +100001110111 +100001110110 +011001010100 +010101010100 +011001100101 +100001110110 +011001010100 +010101000011 +011001010011 +010101000010 +010101000010 +011101010011 +011101100101 +010101000100 +000100010001 +000000000000 +000000000000 +000100010000 +010000110011 +011001010100 +011001010100 +011001010100 +011001010100 +010101000011 +010101000010 +010101000010 +010000110010 +001100100001 +001100100010 +000000000000 +000100010001 +000100010001 +000000000000 +000000000000 +001000010001 +001000100001 +001000010001 +001000100001 +010000110010 +010000110010 +001100100010 +010000110010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100001 +001100100010 +001100100010 +010000110011 +010000110011 +010000110011 +010101000011 +100001110101 +101110010110 +101110010111 +110010100111 +101110100110 +110010100111 +110010101000 +101110100111 +101010101000 +011110000111 +011101110111 +011001110110 +010101100101 +010001000100 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +000100010001 +001100100001 +010000100000 +010100110000 +011101000001 +011100110001 +011000110001 +011000110001 +010100100000 +010100100000 +010000100000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +001100010000 +011000110001 +011101000001 +011100110001 +011100110001 +011000110000 +010000100000 +001100100000 +001000010000 +000100010001 +000000010010 +000000010010 +000100100011 +000100100011 +000000010010 +000100010011 +000100010011 +000100100011 +000100100011 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +000100010000 +001100100000 +011101000010 +011001000001 +011101000001 +011101000001 +011001000001 +010100110001 +010100110010 +010101000010 +010100110001 +011000110000 +010000110000 +001100110001 +010101000010 +001100110001 +000100110010 +000100110011 +000100110100 +000100110011 +000100100011 +000100100011 +000100100010 +000000100010 +000100100010 +000000010001 +000100100011 +000000010010 +000100110100 +000101000110 +000101000101 +000000110100 +000001000110 +000101000110 +000001000110 +000101010110 +000101010111 +000101101000 +001001101000 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000110011 +000100100001 +000101000100 +000101000100 +010001000010 +011101010010 +100001010001 +011000110000 +001100100000 +001100100000 +011101000001 +100001010001 +100101010001 +100101010001 +100101010010 +101001100010 +100101100001 +100101100010 +100101010001 +100101010010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011001000001 +011001000001 +011001000001 +011101010001 +100001010001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110000 +010100100000 +001100010000 +001000010000 +010001000011 +000101010101 +000000110101 +000100110111 +001001011010 +001010001011 +001010011100 +001101111000 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001001000101 +000000100011 +000100100011 +000000100010 +000000100001 +001000100000 +001100100000 +001100010000 +001100010000 +001000000000 +001000010000 +011001000001 +011101000001 +010100110000 +010000110000 +001100100000 +010000110000 +010000110001 +001100100000 +001100100000 +010000110001 +011001010001 +011001000001 +010100110000 +011001000001 +100001100010 +100001100010 +100001110010 +100001110010 +100001110011 +100001110011 +100001100010 +100001100010 +011101100001 +011101100010 +011101100011 +100001110011 +100001110011 +100001110100 +100001110011 +011101010010 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101000001 +011000110001 +010000110001 +001000010000 +000100000000 +001100100000 +011001000001 +010000110001 +001100110001 +000000100011 +000000110100 +000000100100 +000000110100 +000000110100 +000000100011 +000000100011 +000000110100 +000000110101 +000000110100 +000000100011 +000000110101 +000101010111 +000001000111 +001110001011 +001001011000 +001000110011 +001101000100 +001101000100 +001101000100 +011101110110 +011101100110 +011101110111 +100010001000 +100010001000 +011101111000 +011101110111 +011101111000 +011110001000 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +011110001000 +011101110111 +011101110111 +100001110111 +011101100101 +011101100101 +011101110110 +011101100110 +011101100101 +011101100110 +100001110111 +100001110111 +011101100101 +011101100100 +011101100100 +011101100101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +100001110110 +011101100110 +011101100101 +100001110110 +011101100101 +010000110011 +100001110111 +100010001000 +100010000111 +010000110010 +010000110010 +011001010100 +010101000011 +011001010011 +011101100101 +010101010100 +000100010001 +000100010001 +001000010001 +001100100010 +010101000010 +011101010100 +011101100100 +011001010100 +011001010011 +011101100101 +011101100101 +011001010011 +011001000011 +011001000011 +001000010001 +000100000000 +001000010001 +000100000000 +000100010001 +000100000000 +001100100001 +010101000011 +010101010100 +001100110010 +001100110010 +001100100010 +001100110010 +001100100010 +010000110010 +010000110011 +010000110011 +010000110010 +001100100010 +001100100001 +001100100010 +010000110010 +010000110010 +010000110010 +010000110010 +001100100010 +010000110010 +010000110010 +010101000011 +100101110101 +101010000101 +101110010110 +101110010110 +101110010110 +110010100111 +101110100111 +101110100111 +110010111000 +101110100111 +110010111000 +110010111000 +101010010111 +100110000110 +011101100101 +010101000010 +001100110001 +001100110010 +001100110010 +010001000011 +010101010100 +010101010100 +001100100001 +010000110001 +001000100000 +010000100001 +011001000001 +010100110001 +010100110000 +010000100000 +010000100000 +010000100000 +001100100000 +001100100000 +010000100000 +010100100000 +010100100000 +010100100000 +010100100000 +011000110000 +011101000001 +011100110001 +011000110000 +010100110000 +010000100000 +001100010000 +000100010000 +000100010001 +000000010001 +000000010010 +000000010011 +000100100100 +000000100011 +000100010010 +000100010011 +000100100011 +001000110100 +001000100011 +000100010001 +000100100010 +000100010001 +001100110011 +001101000100 +001000100001 +001000010000 +011001000001 +011101010001 +011001000001 +011101000001 +011101000001 +011001000001 +011000110001 +011000110001 +011000110001 +011000110000 +010000110001 +001000110010 +000100110010 +000100110011 +000000110011 +000100110011 +000100110011 +000100110010 +000000100010 +000000100011 +000100110011 +000100100010 +000000100010 +000000100010 +000100100011 +000100010010 +000000100011 +000100110101 +000101000101 +000000110100 +000001000110 +000001000101 +000001000110 +000101010110 +000101000110 +000101101000 +001001101000 +001000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100001 +001000110011 +000100100001 +000101000100 +000101000100 +001101000010 +011001010010 +100001010001 +011001000001 +010000100000 +001100010000 +011101000001 +100001010001 +100101010001 +100101010001 +100101010001 +100101100001 +100101100001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101010001 +100001010001 +011101000001 +011001000001 +011101010001 +011101000001 +011101000001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +010100110000 +001100010000 +001000010000 +010101000011 +000101000101 +000000110100 +000101000111 +001001011010 +001001111011 +001010011100 +001101111000 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000110100 +000000100011 +000000100010 +000000110011 +000000100001 +001000010000 +001100100000 +001100100000 +001100010000 +001000000000 +001000000000 +011000110001 +011001000001 +010100110000 +010100110001 +001100100000 +010000100000 +010000110000 +001100100000 +001100010000 +010000100000 +011001000001 +011001000001 +010100110000 +011001000001 +011101100010 +100001100010 +011101010010 +011101100001 +011101100010 +011101100010 +011101010010 +011101010001 +011101010001 +011101100010 +100001110011 +100001110010 +100001100010 +100001100010 +100001100010 +011101010001 +100001010010 +100001010001 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +001100100000 +001000010000 +000100000000 +001000010000 +010101000010 +010101000010 +001100100001 +000000110011 +000000110100 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000100100 +000000110101 +000000100100 +000000100011 +000000110101 +000000110110 +000001010111 +001110001011 +001101111010 +001001000100 +001101000100 +001101000100 +001101000100 +011101100110 +011101100110 +011101110110 +011101110111 +100010001000 +011101111000 +011101110111 +011101111000 +011110001000 +011101111000 +011101110111 +011101110111 +011110001000 +100010001000 +100001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +011110001000 +011110001000 +100010001001 +100010001001 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +011110001000 +100010001001 +011101110111 +011101110110 +011101110111 +100001110111 +100001110111 +100001110111 +100010001000 +011101100110 +011101100101 +011101110110 +100010000111 +100010001000 +100001110110 +011101100101 +011101100101 +011101100101 +011101110110 +100001110110 +100001100101 +011101100100 +011101010011 +100001100100 +100001100100 +011101010100 +011101100101 +011001010101 +011101100101 +100001110110 +100001110111 +011101100110 +011101110110 +100001110110 +100001110110 +001100110010 +001100110010 +011101100101 +011101100101 +010101000011 +010101000011 +010101000011 +000100000001 +000000000000 +001000010001 +010000110010 +010000110010 +010101000010 +011001010100 +011001010100 +011001010100 +011101110111 +011101110111 +011001100101 +011001010011 +011101010011 +001000010000 +000100010001 +000100010001 +000000000000 +000100000000 +001100100001 +010000110010 +010101000011 +010101000011 +010000110010 +001100110010 +001000100001 +001000100001 +001000010001 +001100100010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010101000011 +010000110011 +010101000011 +010000110011 +011101010100 +101010010110 +101010010110 +101010000110 +101110010110 +101010000110 +101010000110 +101110100111 +101110100111 +110010101000 +110010100111 +110010100111 +101110100111 +110010100111 +101110010110 +101110100111 +101110010110 +101010000101 +100110000110 +100110000111 +011101110101 +011101110110 +011101110110 +010101010100 +001100110010 +001000100001 +001100100010 +001100110010 +001100100001 +001000100000 +001000010000 +001100010000 +001100100000 +001100100000 +010000100000 +010000100000 +010100100000 +010100100000 +011100110001 +011000110001 +010101000010 +011101000001 +011000110001 +011000110000 +010100100000 +001100100000 +001000010000 +000100010001 +000000010001 +000100010001 +000000010010 +000000010010 +000100100011 +000100010010 +000000010010 +000100010010 +000100100011 +000100110100 +000100100100 +001000100010 +001000100010 +001000100011 +010001010101 +001101000100 +001100110011 +000100010001 +001100100000 +011101010001 +011101000001 +011101000001 +011101000001 +011001000001 +010100110000 +011000110000 +011000110001 +011000110000 +010100110001 +001100110001 +001000110011 +000100110011 +000100110011 +001000110010 +010000110001 +000100100010 +000000100011 +000100110011 +000100110100 +000000100011 +000000100010 +000100110011 +000000100010 +000100010011 +000000010010 +000000100011 +000000110100 +000000110100 +000101000101 +000001000110 +000001010110 +000101010110 +000101000110 +000101101000 +001001101000 +001001000100 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +000100100001 +000100110010 +000100110011 +000100110010 +010101000010 +100001010001 +011101000001 +010000100000 +001100010000 +011101000001 +011101000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101000001 +011101010001 +011101010010 +011101010001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +010100110000 +001100010000 +001000010000 +010101000010 +000101000101 +000000110100 +000100110111 +001001101010 +001001111011 +001110011100 +001101101000 +001000100010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000110011 +000000010010 +000000100010 +000000100010 +000100100001 +001100100000 +010000100000 +001100100000 +001100010000 +001000000000 +000100000000 +011001000001 +011101000001 +011000110000 +010100110001 +001100100000 +001100100000 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000110000 +010100110001 +011001000001 +011101010001 +011001010001 +010101000001 +010101000001 +011001000001 +011001000001 +011001000001 +011101010001 +011101010001 +011101100010 +100001110010 +100001100010 +011101010001 +011101010001 +100001010010 +011101010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +001100100000 +001000010000 +000100000000 +001100100000 +010101000011 +010001010101 +001100110010 +000000100011 +000000100011 +000000100011 +000000100100 +000000100100 +000000100100 +000000100100 +000000100100 +000000110100 +000000100100 +000000100011 +000000110100 +000000110101 +000101101000 +001110011100 +001110001011 +001001000100 +001101000100 +001101000100 +001101000100 +011101111000 +011101110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101110111 +011101111000 +100010001000 +100010001000 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011110001000 +100010001001 +011110001001 +011110001000 +011110001001 +011110001000 +011101110111 +100001110110 +100001110111 +100010001000 +011101110110 +011101100110 +011101100101 +011101110110 +100010000111 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101100101 +011101110110 +100001110110 +011101100101 +100001110110 +011101100101 +011101010100 +011101100100 +011101010100 +011101100100 +011101100100 +011101100101 +100001110110 +100001110111 +100010000111 +100001110110 +011101100101 +011101100101 +011001010100 +011101100101 +011101100101 +011101100101 +010101000011 +000100000000 +001100100010 +001000010001 +000100010000 +010000110011 +010101010100 +010101000011 +010001000011 +011001100101 +011001010101 +011101110110 +011110001001 +011110001000 +011101111000 +011001100101 +011101010011 +001100100001 +000100010001 +000000000001 +000000000000 +000100010000 +010000110010 +010101000011 +011001010100 +011001010011 +011001000011 +010101000011 +010000110011 +010000110010 +010000110010 +010000110010 +001100100010 +001000100001 +001000010001 +001100100010 +001100100010 +001100100010 +001100100011 +001100100010 +010000110011 +010101000011 +010101000011 +011001000100 +011001010100 +101010000110 +101110010110 +101110010110 +101010000110 +101010000110 +101010000110 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +110010111000 +101110010111 +110010100111 +110010100111 +101110100110 +110010100111 +101110010110 +101110010110 +110010100111 +110010110111 +110010111000 +011101100101 +010000110100 +001100110011 +001000100011 +001000100010 +001000010001 +001000100010 +000100010001 +000100000000 +001000010001 +001000010000 +001000010000 +001100100000 +001100100000 +010000110000 +010100110001 +011101000001 +010000110010 +001100100010 +010100110001 +010100110000 +010100100000 +010000100000 +010000100000 +001100100001 +000100100001 +000100100001 +000100010001 +000100010010 +000100010011 +000000100011 +000100010010 +000000010010 +000100010010 +000100100011 +000100100100 +000100110101 +010001010110 +010101010110 +010101100110 +010001010101 +001101000101 +010001000100 +001100110011 +000100010000 +010100110001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110001 +010000110001 +010000110001 +010000110001 +010100110001 +010100110000 +001000100001 +000000100011 +000000110011 +000100110100 +000000100011 +000000100011 +000101000101 +000100100011 +000100100011 +000000010001 +000000010010 +000000100011 +000000100100 +000101000101 +000001000110 +000001010110 +000101000110 +000001000110 +000101101000 +001001101000 +001001000100 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110001 +000000010000 +000100110011 +000000110011 +001100110001 +011101010001 +011101000001 +010100110001 +001000010000 +011000110001 +011101000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101100010 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011101010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110000 +001100010000 +001000010000 +010001000010 +000101000100 +000000100011 +000000110111 +001001101010 +001010001011 +001110011100 +001101100111 +001000110010 +001100110011 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100011 +000000010001 +000000100010 +000000010001 +001000100001 +010100110000 +010000100000 +010000100000 +001100010000 +001000000000 +001000000000 +011000110001 +011101000001 +011001000001 +010000100000 +001100010000 +001000010000 +001100100000 +001100100000 +010000100000 +001100100000 +001100100000 +010100110001 +010100110001 +011001000001 +011001000001 +011001000001 +010000110000 +010000110000 +010100110001 +010100110000 +010100110000 +011001000001 +011001010001 +011001000001 +011101100010 +011101010001 +011001000001 +011101010001 +011101010001 +011101010001 +011101010001 +011101000001 +011101000001 +011101010001 +011101000001 +010100110001 +010000100000 +001100010000 +001000010000 +000100000000 +001000010000 +010001000011 +010001010110 +010001010101 +000100100100 +000000100011 +000000100011 +000000100100 +000000100100 +000000110100 +000000110100 +000000100100 +000000110100 +000000110100 +000000100100 +000000110101 +000000110110 +001001111010 +001110011100 +001110001010 +001001000100 +001101000100 +001101000100 +001101000100 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +100001110111 +100001110111 +011101110111 +011110001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +011101110111 +100001110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +011101110111 +011101110111 +100010001000 +100010001000 +100010001001 +100010011001 +100010001001 +011110001000 +011101100110 +011101100110 +100010001000 +011101110110 +011001010011 +011101100100 +100001110111 +100001110111 +011101110110 +011101100101 +011101100101 +100001110110 +100001110110 +100001110101 +100001110110 +100010000111 +100001110110 +100001110101 +100001100101 +100001100101 +100001100100 +011101010011 +011101010011 +011101010100 +011101010100 +011101100101 +100001110110 +100001110110 +100001110110 +011101100101 +011101100100 +100001110110 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011101100101 +001100100010 +000100010000 +011101100101 +011001100101 +011101100110 +011001100101 +011001100110 +011101110110 +011101110111 +011101111000 +010101010110 +011110001000 +100010001000 +011101100101 +010101010100 +000100010001 +000000000000 +000100010000 +001000100001 +010000110010 +010101000010 +011001010011 +011001010011 +010101000010 +010101000011 +011001010100 +011001010100 +011001010011 +010101000011 +010101000011 +010000110010 +001100100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000010001 +001100100010 +001000010001 +001100100010 +010000110011 +011101010100 +101110010111 +101110010111 +101110010111 +101110010110 +101110010110 +101010000101 +101110010110 +101010000101 +101110010111 +110010100111 +101110100111 +110010101000 +110110111000 +110010111000 +110010111000 +110010101000 +110010111000 +110010111000 +110010100111 +101110010110 +101110010110 +100110000101 +010101000011 +001100110011 +001000100011 +001000100011 +001000100010 +000100010001 +001000100010 +000100010010 +001000010010 +001000100010 +001000100010 +000100000000 +000100000000 +001000010000 +001100100001 +010101000010 +010000100001 +001100100010 +001000100010 +001000010001 +001100100000 +001100100000 +001100010000 +011000110001 +010100110001 +001100110001 +001000100001 +000100100001 +000100010001 +000100010011 +000100100100 +000100010011 +000100010100 +000100010010 +000100010010 +000100100100 +000100100100 +010001010110 +010101010110 +010001010101 +010001000100 +010001000100 +010001000100 +001100110011 +000100010001 +001100100000 +011001000001 +011101000001 +011000110000 +011000110001 +011101000001 +011001000001 +011001000001 +011000110000 +011000110000 +011000110000 +011000110000 +010100110000 +010100110000 +011000110000 +010100110000 +001100100001 +000100100010 +000000110011 +000000110100 +000000100011 +000000100011 +000100110100 +000000010010 +000100100011 +000000010010 +000000010010 +000000010010 +000000100011 +000100110101 +000001000101 +000001000110 +000101000101 +000001000110 +000101101000 +001001101000 +001101010101 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110001 +001100110010 +000100010000 +000100100010 +000000110011 +000100100001 +010000110001 +011001000001 +010100110001 +001000010000 +010100100000 +011101000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101100010 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011001000001 +011101000001 +011000110000 +011101000001 +011101000001 +011101000001 +011000110000 +011101000001 +011101000001 +100001010010 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011000110000 +001100010000 +001000010000 +010001000010 +000101000100 +000000100010 +000101000111 +001001101011 +001010001011 +001110011100 +001001010110 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100011 +000000010010 +000000100010 +000000100010 +001000100001 +010000110001 +010100110000 +010000100000 +001100010000 +000100000000 +001000000000 +011000110001 +011000110000 +011000110001 +010100110001 +001100100000 +001000010000 +001000010000 +001100100000 +010100110001 +010000100000 +010000110000 +010000100000 +010100110000 +011001000001 +011001000001 +011001000001 +010100110000 +010000100000 +010000110000 +010000110000 +010000110001 +011001000001 +011001000001 +011001000001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +010100110000 +001100100000 +001100010000 +001000010000 +001000000000 +000100010000 +001100110010 +010001000101 +010001010110 +000100100011 +000000010011 +000000100011 +000000100100 +000000100100 +000000110101 +000000110101 +000000110100 +000000110100 +000000110101 +000000110100 +000000110101 +000001000110 +001010001011 +001010011100 +001001111001 +001001000100 +001101000011 +001101000100 +001101000100 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +100010000111 +100001110111 +011101110111 +011110001000 +100010001000 +011101110111 +100010001000 +100010001000 +011101111000 +011110001000 +100010001001 +100010001000 +100001110111 +011101110111 +100001111000 +011101111000 +100010001000 +100010001001 +100010001000 +100001110111 +011101110111 +100001110111 +011101110111 +100010011001 +100010011001 +100010001001 +011110000111 +100001110110 +100001110111 +100010001001 +011101110111 +011101010100 +011101110110 +100010001000 +100010001000 +011110001000 +011110001000 +011101110110 +011101110110 +011101100100 +011101100100 +100001110110 +100001110111 +100001110110 +011101100100 +011101100101 +011101010011 +011101010011 +011001000011 +011101010011 +011101010011 +011101010011 +011101100100 +100001100101 +011101110101 +100001110101 +011101100101 +100010000111 +100001110110 +100001110110 +011101110110 +100001110110 +011101100101 +100001110101 +100001110101 +010101000011 +000000000000 +010000110010 +011101100110 +100001110111 +011001010101 +011001100110 +011101110111 +011001110111 +011110001000 +010101010101 +011001100110 +011001100110 +011101110110 +011001010101 +000100010001 +000000000000 +001000100001 +010000110010 +010000110010 +010101000011 +011001000011 +011001010100 +011001010101 +011001100110 +011101100110 +011001010100 +011001000011 +011001000011 +011101010100 +011101010100 +011001000011 +010101000011 +010000110010 +010000110010 +010000100001 +001000010000 +001000010001 +000100010000 +000100010000 +001000100001 +001100100001 +010100110010 +011101010100 +100001100100 +100101110101 +101010000110 +101010000110 +101110010110 +101110010110 +110010100111 +110010101000 +110010101000 +101110100111 +101110100111 +101110100111 +110010111000 +110110111000 +110110111000 +110010100111 +110010110111 +110010100110 +110010100111 +011101010100 +010001000011 +001000100010 +001000100010 +001000100011 +001000100010 +001000010010 +001000100010 +001100110011 +001000100010 +000100010001 +000100010001 +000100010000 +000100010000 +001100100001 +001100110010 +001000100010 +001000010010 +001000100010 +000100010001 +000100010001 +000100010000 +001000010000 +001100100000 +011101000001 +010100110000 +010000100001 +001000100001 +000000010000 +000100010000 +000100010001 +000100100100 +000100010100 +000100100100 +000100010011 +000100010011 +000100100011 +000100100011 +001101000110 +010001000100 +010001000011 +001101000011 +001101000011 +010001000011 +001100110010 +001000100001 +001000100000 +010101000001 +011101000001 +011101000001 +011000110000 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +011000110001 +010000110001 +000100100010 +000000100011 +000000110011 +000000100011 +000000100011 +000000100011 +000000010001 +000000100010 +000000100011 +000000010010 +000000010010 +000000100011 +000000100100 +000001000101 +000001000110 +000001000110 +000001000110 +000101101000 +001001101000 +001101010101 +001000100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110001 +001100100001 +000100010001 +000000010001 +000100110011 +000000100010 +000100100000 +010000110001 +010000110000 +001000010000 +001100100000 +011101000001 +011101000001 +100001010001 +100101010001 +100001010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +100001000001 +011101000001 +011101000001 +100001010001 +011101000001 +011001000001 +011101000001 +011001000001 +011000110000 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110000 +001100010000 +001000010000 +010001000010 +000100110011 +000000100010 +000001000110 +000101101010 +001001111011 +001110001100 +001001000101 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100011 +000000010010 +000000100010 +000000100010 +001100100001 +010100110000 +010100110000 +010000100000 +001100010000 +000100000000 +001100010000 +011000110001 +010100110000 +010100110000 +010100110001 +001100100000 +001100100000 +001100100001 +001100100000 +010100110001 +010100110000 +011000110001 +010100110000 +010000110000 +011000110001 +011001000001 +011001000001 +010100110000 +010000110000 +010000110000 +010000110000 +010000110001 +010100110001 +011001000001 +011001000001 +011101000001 +011001000001 +010100110000 +010100110000 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +010100110000 +010000110000 +010000100000 +001100100000 +001100010000 +001000000000 +000100010000 +001100110010 +010001000101 +001101000101 +000100010011 +000000010011 +000000100011 +000000100100 +000000100100 +000000110101 +000001000101 +000000110100 +000000110100 +000000110101 +000000110101 +000000110101 +000101010111 +001010001011 +001010001011 +001101111010 +001001000100 +001101000100 +001101000100 +001101000100 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001001 +100010001000 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001001 +100010001000 +100010001000 +100001111000 +011101110111 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001001 +100010001001 +100010000111 +011101100110 +011110001000 +100010001001 +100010001000 +100001110111 +011110001000 +100010001001 +100001110111 +011110001000 +011110001001 +100010011001 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +011101010100 +011101100100 +100001100101 +011101010100 +011101010010 +011001010011 +011001000010 +011001000010 +011101100100 +100001100101 +100001110101 +100001110110 +011101100100 +011101010100 +100001110110 +100001110111 +100001110110 +100001110110 +100001110110 +100001110111 +100001110110 +011101010100 +011001000011 +001000100001 +000000000000 +001100100010 +011001010101 +011101100110 +011101111000 +100010001000 +100010001000 +100010011001 +100010001001 +011110001000 +011001100110 +011001100110 +011001010101 +000100010000 +000000000000 +001100110010 +010000110010 +010101010100 +011001100101 +011101110111 +011101110111 +011001110111 +011001110111 +011101110110 +011001010011 +010100110001 +011001000010 +011101010011 +011101010011 +011001010011 +011101100100 +011001010011 +010101000010 +010101000011 +010100110010 +001100100001 +001100100001 +001000100001 +001100100001 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +010000110010 +010101000011 +011101100100 +100101110101 +100110000110 +101010010110 +101110100111 +110010110111 +101110100111 +101110010111 +110010100111 +101110100111 +101110010110 +101110010111 +110010100111 +110010100111 +101010010110 +011001000100 +001000100010 +000100010010 +000100010010 +001000100010 +001000100011 +001000100010 +000100010001 +000100010001 +000100000000 +000100010000 +001000010001 +001000010000 +001000010001 +001100110011 +001000100010 +001000100010 +001000100010 +001000100011 +001000010010 +000100010001 +000000010001 +000100010001 +001100100001 +010000110010 +001100100010 +001000100010 +001100110011 +001000110011 +000100010000 +000100010001 +000100010011 +000100100100 +000100100100 +000100010011 +000100100011 +000100100100 +000100100011 +001101000101 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100001 +001000100001 +001100100000 +011101000001 +100001000001 +011001000001 +010100110000 +011000110001 +011001000001 +011000110001 +011001000001 +011000110001 +010100110000 +010100110000 +011000110001 +011000110000 +011000110000 +010100110001 +001000110010 +000000110100 +000000110100 +000000110011 +000000110100 +000000110011 +000000010001 +000000010010 +000000100011 +000000010010 +000000010010 +000000100011 +000000100011 +000000110100 +000001000101 +000001000110 +000001000110 +000101010111 +000101101000 +001001010101 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100010 +001100100010 +001100110010 +001100100010 +001000100001 +001000100001 +000100010001 +000000000000 +000100110011 +000000100011 +000000100010 +001100110001 +001100100000 +001000010000 +001000010000 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100101010001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +100001010001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +011101000001 +011101000001 +011101000001 +100001000001 +100001010001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +010100100000 +001000010000 +001100010000 +010001000010 +000100110011 +000000010001 +000100110110 +000101101010 +001001111011 +001101111010 +000100110100 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001100110011 +001000100011 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +000000010001 +000000100011 +000000100001 +001100100000 +010000110000 +010100110000 +010000100000 +001100010000 +000100000000 +010000100000 +011001000001 +011000110001 +010100110000 +010000110000 +010000100000 +001100010000 +001100010000 +001100100000 +010000110000 +010100110000 +011001000001 +011001000001 +010100110000 +010100110000 +010100110000 +011000110001 +010100110000 +010000100000 +010000110000 +010100110001 +010000110000 +010000110000 +010100110000 +010100110001 +011001000001 +010101000001 +010100110000 +010100110000 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +010100110001 +010000110000 +010000100000 +001100100000 +001100010000 +001000000000 +000100010000 +001100110010 +010001010101 +001000110011 +000000010011 +000000010011 +000000100011 +000000100100 +000000100100 +000000110101 +000001000101 +000000110101 +000000110101 +000000110100 +000000110101 +000000110101 +000101101000 +001010001011 +001010001011 +001110001010 +001001000100 +001101000100 +001101000100 +001101000100 +011101110111 +011101110110 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +100001111000 +011110001000 +100010001000 +100010001000 +011101110111 +011101110111 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011110000111 +011110001001 +011110001001 +100010001000 +100010001000 +100010001000 +011001100100 +011110000111 +100010001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010000111 +100010000111 +011101100101 +011001000011 +011101100100 +011101010011 +011101100100 +100001110110 +011101010011 +011101010011 +100001110111 +100001110110 +011101110101 +100010000111 +011101100101 +011001000011 +011101100100 +100001110111 +100001110110 +100001110110 +100001110110 +100010000111 +011101100101 +011101100100 +011001000011 +011101100101 +010101010100 +010101010100 +011001010100 +011101110110 +011110001000 +011101110111 +011101110110 +100010001000 +100010001001 +100010001001 +100010011001 +100010001001 +011001010101 +000100000000 +010101010100 +011001010100 +010000110010 +001100100010 +010001000011 +011001100110 +011101111000 +011101110111 +011001110111 +011101111000 +011101110111 +011001010100 +011001000011 +011101010011 +011001000011 +011101010100 +100001110110 +011001010011 +011001000010 +010101000010 +011001010011 +011001010011 +010101000011 +010100110010 +010100110010 +010000100010 +010000110010 +010000110010 +001100100001 +001000100001 +001000010001 +001000010001 +001100100010 +001100100001 +010000110011 +011001010100 +011101010100 +100101110101 +101010000110 +101110010110 +101110100110 +101110100110 +110010100111 +110010100111 +110010100110 +110010100111 +100001100101 +010000110011 +001100100011 +001000100011 +000100010001 +001000100010 +001000100010 +000100010001 +000100000000 +000100010000 +001000010001 +001000010001 +001100100010 +001100100010 +001100100010 +001100100010 +000100010001 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +000100000000 +001000010001 +000100010001 +000100010000 +000000010001 +000100100011 +000100010100 +000100100100 +000100100100 +001000100101 +000000010011 +001000110011 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +010100110001 +011101010001 +011001000001 +010100110001 +010000110000 +011000110001 +011000110001 +011000110000 +011000110001 +011000110001 +011000110001 +010100110000 +010100110000 +010100110001 +010100110000 +001100110001 +000100110011 +000000110100 +000000110100 +000100110100 +000000110011 +000000010010 +000000100010 +000000100011 +000000010010 +000000010010 +000000010010 +000100100011 +000000010011 +000000110100 +000101000101 +000001000110 +000101010111 +000101010111 +001001010101 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000000000000 +000000010010 +000000100011 +000000100010 +001000100001 +010000110001 +000100010000 +000100000000 +011000110001 +100001000001 +100001000001 +100001010001 +100001010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +100001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +010100100000 +001000010000 +001100100000 +001000110010 +000100110011 +000000010001 +000100110110 +000101011001 +001001111100 +001101111001 +000100100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +000100010001 +000000010001 +000000100001 +001000100001 +010000100000 +010100110000 +010000100000 +001000010000 +001000000000 +010100110000 +011101000001 +011000110001 +011000110000 +010000100000 +010000110001 +010000100000 +001100100000 +010000110001 +010000110000 +010100110000 +011000110001 +011001000001 +011000110001 +010000110000 +010000100000 +010000110000 +010000110000 +010000110000 +010000100000 +010000100000 +010000110000 +010000110000 +010000100000 +010000100000 +010000100000 +010000110000 +010000110000 +010100110000 +010100110000 +011000110001 +011000110001 +011000110001 +010100110001 +010100110001 +010000110000 +010000100000 +010000110000 +010000100000 +001000010000 +001000000000 +000100010000 +001000100001 +001101000011 +001000110011 +000000010011 +000000010100 +000000100011 +000000100100 +000000100101 +000000110101 +000000110101 +000000110101 +000000110101 +000000110100 +000001000110 +000001000110 +000101111001 +001010001011 +000101111010 +001101111001 +001001000100 +001101000011 +001101000100 +001101000100 +011101110110 +011101100110 +011101110111 +011101111000 +011110001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +100001110111 +100001110111 +100001110111 +011101110111 +100010001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100001111000 +011110001000 +100010001001 +100010001000 +011101100110 +011101100101 +011101110110 +011101100101 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101100110 +011101100101 +011101100100 +011101010100 +100001110111 +011101010011 +011001000011 +100001110110 +100110001000 +011101100101 +011101110110 +100001110110 +011001010011 +011101010011 +011101100101 +011101100101 +100001110110 +100001110111 +100010000111 +011001010100 +011101100101 +011101010100 +011101100101 +011001010100 +011101110110 +100010000111 +100010000111 +011110000111 +011001010101 +011001000010 +011101110110 +100010000111 +011110001000 +100010011001 +100010001000 +010101010101 +000000000000 +011001100101 +011101100101 +011101100110 +010101000100 +010000110011 +011101111000 +011101110111 +011001100111 +011101110111 +011001100111 +011101110111 +011101110111 +100010001000 +100110001000 +011001000011 +011001000011 +100010001000 +011101111000 +011001000011 +011001000010 +010100110010 +010100110010 +011001000011 +010100110010 +011001010011 +010101000010 +010101000010 +011001010100 +011001010011 +011001010100 +010101000011 +010000110010 +001100100001 +010001000011 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +011001010100 +011001010100 +011101100100 +100110000101 +101010010110 +101110100111 +101010000101 +010101000100 +001000100011 +001100110100 +001000100011 +001000010010 +001000100010 +000100010001 +001000010001 +001100100010 +001100100010 +001100100001 +001000100001 +001000010010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000110011 +001100110011 +001000100010 +000000000000 +001000010001 +000100010000 +000100010001 +000100010001 +000100010001 +000000000000 +000100010010 +000100010011 +001000100100 +000100010011 +001000110101 +000100100011 +001000100010 +001100100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001100100001 +011001000001 +011000110001 +010100110010 +010001000010 +001100100000 +010100110001 +011001000001 +011001000001 +011101000001 +011000110001 +010100110000 +010100110000 +010100110001 +010100110000 +010000110001 +001000100010 +000000110011 +000000110100 +000100110100 +000000110011 +000000100010 +000000100011 +000000100011 +000000010010 +000000010010 +000000010010 +000000010010 +000000010010 +000000010010 +000100110101 +000001000110 +000101010111 +000101010111 +001001000101 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +000100010001 +000000000000 +000000100010 +000100100010 +001000010001 +001000100000 +001000010000 +000100000000 +010100110001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011101000001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +011101000001 +010100100000 +001000000000 +001100010000 +001000110010 +000000100011 +000000010001 +000100110110 +000101011001 +001001111100 +001001010111 +000100100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +001000100001 +000000010000 +000000100001 +001000100001 +010000110000 +010000100000 +001100100000 +001000010000 +001000000000 +010100110001 +011101000001 +011001000001 +011000110000 +010100110001 +010000100000 +010100110001 +001100100000 +010000100000 +010100110001 +010100110000 +010100110000 +011001000001 +011001000001 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000110000 +010000110000 +001100100000 +001100010000 +010000100000 +010000100000 +010000110000 +010100110000 +010100110000 +010100110000 +011000110001 +010100110001 +010000100000 +010000100000 +010000110000 +010000110000 +010000100000 +001000010000 +001000000000 +000100010000 +001000100010 +010001000100 +001000100011 +000000010011 +000000010100 +000000100100 +000000100100 +000000110101 +000000110101 +000001000101 +000000110101 +000000110101 +000000110101 +000001000110 +000001000110 +001010001010 +000101101001 +000101101001 +001001101000 +001001000100 +001101000011 +001101000100 +001101000100 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011101111000 +011101110111 +011101110111 +011101111000 +011110001000 +011101110111 +011101110110 +011101110111 +011101111000 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +100001110111 +011101110111 +011101110111 +100001111000 +100001111000 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010011001 +011101110110 +011101010011 +011101100100 +011101100101 +011101110110 +011101110111 +100001110111 +011101110110 +011101110110 +100010001000 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110110 +011101100101 +011101110110 +011101100101 +011101010011 +011101100101 +100010011001 +100010000111 +011101110111 +100010001000 +011101010100 +011000110010 +011001000011 +011101100101 +100001110110 +100001110110 +100010000111 +011101110110 +011101100101 +011101100101 +100010000111 +011001010011 +011101110101 +011101100110 +100001110110 +100010000111 +011101110110 +011001010011 +011101100100 +100010000111 +100010001001 +100010011001 +100010001000 +011101110111 +001000100010 +000100010001 +001100100001 +010101010100 +011001010101 +011101100101 +100010001000 +100010001001 +011110001000 +100010011001 +011110001000 +011101110111 +011001010101 +011101100110 +011101100101 +011001000011 +010100110010 +100001110111 +011110001000 +011101110111 +011101100100 +011001000011 +010100110010 +011101100101 +011001000011 +011101100100 +100001110110 +011101100101 +011101100101 +011001010011 +011001010011 +011001010100 +011001010100 +010101000011 +010101000011 +010000110010 +010101000010 +010101000011 +010000110011 +010101000011 +010101000100 +010101000011 +010000110010 +010000110011 +010100110011 +010101000011 +010101000011 +010000110100 +001000110100 +001000100011 +001100110011 +001000100010 +000100000000 +001000100001 +001100110010 +010000110011 +010000110011 +001100110011 +000100010010 +000100000001 +001000100010 +001000100010 +001000100010 +001000100010 +000100010001 +000000000000 +000000000000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +001000100001 +001000010001 +001000100001 +001100110010 +010001000100 +010001000100 +001100110011 +001100110010 +001000100011 +001000100100 +000100100100 +000100010011 +001000100100 +000100100100 +000100010001 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +010000110001 +010100110001 +001100100000 +010101000011 +001000100001 +001100100001 +010100110001 +011000110001 +011001000001 +011001000001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +001100110010 +000000110100 +000000110100 +000000110100 +000000110100 +000000100011 +000000100011 +000000100010 +000000010010 +000000100011 +000100100100 +000000010010 +000000010010 +000000010010 +000000010010 +000000110101 +000101010111 +000101010111 +000100110101 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100001 +000100010001 +000000010010 +000100100001 +001000100001 +001000010000 +001000100001 +000100000000 +001100100000 +100001010001 +100001010001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001000001 +100001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011001000001 +011101000001 +011000110001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011101000001 +010000110000 +000100000000 +001000100000 +001000110011 +000000100011 +000000010001 +000100110101 +000101011001 +001001111011 +001001000110 +000100010010 +001000010001 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +000100100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100001 +000100010000 +000000010001 +000000010001 +001100100001 +001100100000 +001100100000 +001000010000 +001100010000 +011001000001 +011101000001 +011001000001 +011000110000 +011001000001 +010000100000 +010100110000 +001100100000 +001100100000 +010000110000 +010000110000 +010100110000 +011001000001 +010100110000 +010000110000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +011000110001 +010100110000 +010000110000 +010000100000 +010000100000 +010000110000 +010100110001 +010000100000 +001000010000 +000100000000 +000100010001 +001000110011 +010001010101 +001000100010 +000000010010 +000000010100 +000000100100 +000000100101 +000000110101 +000000110110 +000000110101 +000000110110 +000000110101 +000001000110 +000001000110 +000001010111 +001010001011 +000001000111 +001001101001 +001001101000 +001001000100 +001101000100 +001101000011 +001101000100 +011101111000 +011101111000 +011101111000 +011110001000 +011101111000 +011110001000 +011101110111 +011101110111 +011101111000 +011110001000 +011101110111 +011101110111 +011101111000 +011101111000 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +100010001000 +100001110111 +011101110111 +011101110111 +100001111000 +100010001000 +011110001000 +011110001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +011110001001 +100010001001 +100010001000 +100001110111 +011101110111 +100010001001 +100001110111 +011101010100 +011101100100 +011101100100 +011101110110 +100010001000 +100010001000 +011101100101 +011101100100 +011101110111 +100001110111 +100001110111 +100001110111 +100001110110 +011101110110 +100010000111 +100010000111 +100010000111 +100001110111 +100001110101 +011001100101 +100010001000 +100010011001 +100110011001 +100010001000 +100001110110 +011000110010 +011101000010 +011101010011 +100001100101 +100001110110 +100010001000 +100010001000 +100001110110 +100001110110 +100110001000 +011001010100 +100001110110 +011101110101 +011001010011 +011001010100 +011101100101 +011101100101 +011001010100 +011101110110 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011001100110 +011001010101 +011001100101 +011001010100 +011001010101 +100010001000 +100010001001 +011110001000 +100010011001 +100010001000 +100010001001 +100010001000 +100110011001 +011101110110 +011101110110 +011101100101 +011101100101 +011001010101 +011101110111 +100010001000 +100001110110 +011101100101 +100001110110 +011101100101 +100001110110 +011101110110 +011101100101 +011101100101 +011001010100 +010101000011 +010101000010 +011001000011 +011001000011 +011001010100 +011001000011 +010101000011 +010101000011 +010101000011 +011001010100 +010101000011 +010100110010 +010101000011 +010000110010 +010000110010 +010000110011 +010001000100 +001100110100 +000100100011 +000100010010 +001000010010 +001000100010 +001000100010 +001100110010 +001100100001 +001100100001 +010000110100 +001000100011 +000100010001 +001000100010 +001000100010 +000100010001 +001000010001 +000100000000 +000000000000 +000000000000 +000100010001 +001000100010 +001000100001 +001000100001 +001100100010 +001100110010 +010000110011 +010000110010 +010000110010 +010000110011 +010001000011 +011001010100 +010101000100 +010001000011 +010101010100 +010101010101 +010101010101 +010001000101 +010001000101 +010001010101 +001100110010 +001100110001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +000100010001 +000100000000 +010000100001 +001100100000 +001100100010 +001100100010 +001000100001 +001000010000 +010000100000 +010100110000 +011000110000 +010100110000 +010000100000 +010000100000 +010100110000 +010000100000 +001000110010 +000000110011 +000000100011 +000000110100 +000000110100 +000000100011 +000000100100 +000000010001 +000000010001 +000100100100 +000100100101 +000100100011 +000000010011 +000000010010 +000000010010 +000000100100 +000101000111 +000101010111 +001001000110 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100100001 +000000010001 +000100100010 +001000100001 +000100010000 +001000100000 +000100010000 +001000010000 +011101000001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011001000001 +011000110001 +011101000001 +011101000001 +011101000001 +100001000001 +011101000001 +011101000001 +011000110000 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011101000001 +010000100000 +000100000000 +001100100001 +001101000011 +000000100011 +000000010010 +000100110100 +000101011001 +001001111010 +000100110100 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000010000 +000000010000 +000000010001 +000000010001 +000100010000 +001000010000 +001000010000 +010000100000 +011101000001 +100001000001 +011101000001 +011000110000 +011001000001 +010100110001 +010100110000 +010000100000 +001100010000 +010000100000 +010000100000 +010100110000 +011000110001 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100010000 +001100010000 +001000010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000110000 +010100110000 +010100110000 +011000110001 +011001000001 +010100110000 +010000110000 +010000110000 +010100110001 +010100110000 +010100110001 +010000100000 +001000010000 +000100000000 +000100010001 +001101000100 +010101100110 +001000100011 +000000010010 +000000010100 +000000100100 +000100110101 +000000110101 +000000110110 +000000110101 +000000110110 +000000110101 +000001000110 +000001000110 +000101111001 +001010001011 +000001000110 +001001111010 +001101101000 +001001000100 +001101000100 +001101000100 +001101000100 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011101111000 +011101110111 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011101111000 +011110001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101111000 +011101111000 +011101111000 +100010001000 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +011110001000 +011110001001 +100010001001 +100001110111 +011101100101 +011101100101 +011101110111 +100001110111 +011101100101 +011101100101 +011101100100 +011101100101 +100010001000 +100010011001 +011101100110 +011101010011 +011101110110 +100010001000 +100010000111 +011101100101 +011101010011 +011101100101 +100010000111 +100010001000 +100001110111 +011101100101 +011101010100 +011001010011 +011101110110 +100010001000 +100010000111 +100010001000 +100010001000 +011001000010 +011000110001 +011101010100 +100001110110 +100010001000 +100010001000 +100010011001 +100110011000 +100010001000 +100110011000 +100001110110 +011101100101 +100110001000 +100010000111 +100001110111 +100001110110 +100001110110 +011101100101 +100001110111 +011101110110 +100001110111 +100010001000 +100010011001 +100010001000 +011101100101 +011101100101 +100001110111 +011101010100 +011101100101 +100010001000 +100010001000 +011101100101 +011101100101 +011101100101 +011101010100 +011001000100 +011101110111 +100010001000 +100110011001 +100010011001 +100010001000 +100010001000 +100001110111 +100001110111 +100001110110 +011101100101 +011001010101 +011001100101 +100001110111 +100010001000 +011101100101 +011001010100 +011101110111 +011101100110 +010101000011 +010100110010 +010100110010 +011101100110 +100001110111 +011101100101 +100001110111 +011101110111 +011001010101 +010101000011 +010101000011 +010101000011 +001100110010 +010000110010 +010000110010 +001100110010 +001000100010 +000100010001 +000000000000 +000000000000 +001000100010 +010001000100 +010001000100 +010101000100 +010001000100 +001000100010 +000100010001 +000100010001 +001101000100 +001100110011 +001000010001 +000100000000 +000100010000 +001000010001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +011001010100 +011001010101 +011101100110 +100001110110 +101110100111 +110010110111 +101010010111 +100010000101 +011101100100 +010101000010 +010000110010 +001100110010 +001000100001 +001000100001 +001000100010 +000100010000 +000000000000 +000100010000 +001100100001 +001100100010 +001000100010 +000100010001 +000100010000 +000100000000 +001100100000 +010100110000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +001000110011 +000000110011 +000000100011 +000000110100 +000000110100 +000000110100 +000100100011 +000000010001 +000000010010 +000000100011 +000100100101 +000100100100 +000000100100 +000000010010 +000000010010 +000100100100 +000100110110 +000101000111 +001001000111 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100100010 +001000100001 +000100010000 +001000010000 +001000010000 +001000010000 +010100110001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011101000001 +011001000000 +001100100000 +000000000000 +001100110001 +001101000010 +000000100011 +000000100010 +000000100100 +000001011000 +001001101001 +000100100011 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100001 +000100010000 +000000010001 +000000010001 +000000000000 +000100010000 +001000010000 +010000100000 +011101000001 +100001010001 +100001010001 +011000110000 +011001000001 +011001000001 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +001100100000 +001100100000 +001100100000 +010000100000 +001100100000 +001000010000 +001100100000 +001000010000 +001000010000 +001100010000 +010000100000 +010000100000 +010000100000 +010100110000 +011000110001 +011001000001 +011001000001 +011001000001 +010101000001 +010101000001 +011001010001 +011001010001 +011001000001 +010100110001 +010000100000 +001000010000 +001000000000 +000100000000 +001101000100 +010101100110 +000100100010 +000000010011 +000000100100 +000000100100 +000100110101 +000000100101 +000000110110 +000000110101 +000000110101 +000000110101 +000001000110 +000001010111 +001010001011 +001001101000 +000001000111 +001110001011 +001001100111 +001101000100 +001101000100 +001101000100 +001101000100 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011101111000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +011101100110 +011101110111 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100001110111 +011101100101 +011101100101 +011101110110 +100010000111 +011101100101 +011101010100 +011101010100 +011101100101 +100010001000 +100010011001 +011101100101 +011001000011 +011001000011 +011101010100 +011101010100 +011001010011 +011101010011 +011101010100 +011101100101 +100001110111 +100010000111 +011101100100 +011101010011 +011101000011 +011001010011 +011101100101 +100001110110 +100010001000 +100010001000 +011101110110 +011101100100 +011101100100 +100001110110 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100110001000 +011101100100 +100001110110 +100110011001 +100110001000 +100110000111 +100010000111 +100010001000 +011101110110 +011101100101 +011101100110 +011001010100 +011101100110 +011101100101 +011101010100 +011101010011 +011101100101 +011101010011 +011101010100 +100001110111 +011101100101 +011001010011 +011101100101 +011101100101 +011101000011 +011001010100 +011101100101 +011001100101 +100010001000 +100010011001 +100010001000 +100010011001 +100110011010 +100110011001 +100110011001 +100010001000 +100010000111 +011101110110 +011001010101 +011101100110 +011101100101 +011101110110 +100010000111 +011001100110 +011101100101 +011001010100 +011001100101 +011101110111 +100010001000 +011101110111 +100010001000 +100010001001 +011110001000 +011001100110 +011001010101 +010101010101 +011001010101 +011001010101 +011001010101 +001100110011 +001000100011 +000100010001 +000000000000 +000100000000 +001100100010 +010001000011 +010000110010 +010001000011 +001100110011 +000000000000 +000100010000 +001000100011 +001101000101 +001000110011 +000100010001 +001000010001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101000011 +010000110010 +010101000011 +010101000100 +010101000100 +010101010100 +011001010101 +011101100101 +110010100111 +110110111000 +110111001001 +111011001001 +110010111000 +101010010110 +101010010101 +101010010110 +100001110100 +010101010011 +001100110010 +001000100010 +001000100001 +000100010001 +001000010010 +001000100010 +001000100010 +000100010000 +000100010000 +000100010001 +000100000000 +001100100000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +001000100010 +000100110100 +000000110011 +000000110100 +000000110100 +000000110100 +000000100011 +000000100010 +000000010010 +000000010010 +000100100101 +000100100101 +000100100100 +000000010011 +000000010010 +000000100011 +000100110101 +000101000111 +001001000111 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010000 +000000010000 +000100010001 +000100010001 +001000100010 +000100010000 +001000010000 +001100100001 +001000010000 +001100100000 +011101000001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110000 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011000110001 +011100110001 +011100110001 +011000110001 +011000110000 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011000110001 +011000110000 +001100100000 +000000000000 +001100110001 +001101000010 +000000110011 +000100110100 +000000100100 +000101011000 +001001101000 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010000 +000000000000 +000000010001 +000000000000 +001000100001 +001000010000 +010000100000 +011001000001 +011101000001 +100001010001 +011001000000 +011000110001 +011000110000 +011001000001 +011000110000 +010100110000 +010100110001 +010100110001 +010100110000 +010100110000 +010100110000 +010000110000 +001100100000 +001100100000 +001100100000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +011001000001 +011001000001 +011001000001 +011101010001 +011101100010 +011101100010 +011101010001 +011001000001 +010000100000 +001000010000 +000100000000 +000100000000 +001000110011 +010001010101 +000000010010 +000000010011 +000100100100 +000000100100 +000100110101 +000000100101 +000001000110 +000001000110 +000000110110 +000001000110 +000001000110 +000001011000 +001001111010 +000001000110 +000101101001 +001110011011 +001001010110 +001101000011 +001101000100 +001101000100 +001101000100 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001001 +100010011001 +100010001000 +011001010100 +011001010100 +011101111000 +100010001001 +100010001000 +011101110111 +100010001000 +100010011001 +100010011001 +011101110111 +011101100100 +011101100101 +011101110110 +100010001000 +011101110110 +011101100100 +011101100100 +011101100100 +100001110111 +100010011010 +011101110111 +011101010100 +011001000010 +011000110010 +010100110001 +011001000010 +011101010011 +011001000010 +011001000011 +011101100100 +100001110111 +011101100100 +011101010100 +011101010011 +100001100100 +011101010100 +011101100101 +100010000111 +011101100110 +011101100101 +100001110110 +011101100101 +100010000111 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +100110011001 +100001110111 +011101010011 +100001100101 +100110011001 +100010001000 +100010001000 +100010001000 +100110001000 +100110001000 +100010001000 +100010001000 +011101110110 +011001010011 +011001000011 +011001000011 +011001000010 +011101010011 +011001000011 +100001110110 +011101100100 +011101010011 +011101100100 +011101100101 +100001110110 +011001010011 +011101100110 +100010001000 +011101110110 +100001110110 +100010000111 +100010001000 +011101110110 +011101110111 +100010000111 +100110001000 +100010011001 +100110001000 +100010001000 +100010001000 +011001100101 +011001100101 +011101110110 +011101100101 +011001010100 +011101100101 +011101110110 +011101110110 +011101110111 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011101110111 +011101111000 +100010001000 +100001110111 +011101100110 +011101100110 +001100110011 +001000100011 +001000100010 +001000100001 +001000100001 +001100110010 +010000110010 +010101000010 +010101010100 +000100010001 +000000000000 +001100110011 +001100110100 +001000110011 +000100010001 +001000100001 +001100100010 +010001000011 +010001000011 +010101010100 +010000110010 +001100110010 +010000110011 +010000110011 +010101000011 +010101010100 +011001010100 +011001010101 +011001010101 +011001100101 +011001100101 +011001010100 +010101000100 +010101000100 +011001010101 +010101000100 +011001010100 +011001010100 +011101100100 +101110100110 +101110100110 +110110111000 +110010100111 +101110010110 +110111001000 +110010111000 +111011001010 +110110111000 +011001010011 +001100110011 +001100110011 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100000000 +001000010001 +001000010010 +000100010001 +001000010000 +001100100000 +001100100000 +001100100000 +001100100000 +001100010000 +001000100001 +001000110010 +001000110010 +000100110011 +000100110011 +000000110011 +000000100011 +000100100011 +000100100001 +000100010010 +000000100100 +000100100101 +000100100101 +000100100100 +000000010010 +000000010011 +000100110101 +000101000111 +001001000111 +000100010001 +000100010000 +000100010001 +001000010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100010 +001100110001 +001100100001 +001100100000 +001000100000 +001000010000 +011001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011100110001 +011101000001 +011101000001 +011000110001 +011000110001 +011000110000 +011000110000 +011001000001 +011101000001 +011101000001 +011100110001 +011100110001 +011000110001 +011000110000 +011000110001 +011100110000 +011100110000 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +010100110000 +001100100000 +000100000000 +001100100000 +001100110010 +000100010010 +000100100011 +000100100100 +000101011000 +001001010111 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100000000 +000100010001 +000000010000 +001000010000 +000100000000 +010000100000 +011001000001 +011101000001 +011101000001 +011000110000 +010100110000 +010100110000 +011101000001 +011101000001 +011000110000 +011001000001 +010100110001 +011000110001 +010100110001 +011001000001 +010100110001 +010000100000 +001100100000 +001100100000 +001100010000 +001100100001 +001100100000 +001100100000 +001100010000 +001100010000 +001100100000 +001100100000 +010000100000 +010000110000 +010000100000 +010100110000 +010100110000 +011001000001 +011001000001 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +010000100000 +001000010000 +000100000000 +000100000000 +000100100010 +001101000100 +000000010010 +000000010011 +000100100100 +000000100100 +000100110110 +000000100101 +000001000110 +000001000111 +000001000110 +000001000110 +000001000110 +000001011000 +000001101001 +000001000110 +001001111010 +001110011011 +001001010110 +001101000011 +001101000100 +001101000011 +001101000100 +011101111000 +011101111000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +011101100111 +010101000010 +011101010100 +011101010101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +100010001001 +011101100110 +011001010010 +011101010011 +011101110110 +100010001000 +100010001000 +011101110111 +100010001000 +100001110110 +011101110110 +100010001001 +100010000111 +011101100101 +011101010100 +011101010100 +011001000010 +011001000010 +011101010011 +011001000010 +011101000011 +011101010011 +100001110110 +011101100100 +011101100100 +011101010011 +011101010011 +011101010100 +011101100101 +100001110110 +011101010100 +011001010011 +011101010100 +100001110110 +100001110111 +100010001000 +100010011000 +100010001000 +100001110111 +011101010100 +100001110110 +011101100101 +011101100100 +011101100100 +100110011001 +100010011001 +100010001000 +100010011000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100001100101 +011101100110 +011001000010 +011001000011 +011101100100 +011101100101 +100001100101 +100001110110 +011101010100 +011001010100 +100001110110 +011101100101 +011001100101 +011101110111 +100001110110 +011101100100 +011001010011 +100001110111 +100001110111 +011101010100 +100001110111 +100001100101 +100010001000 +011101100110 +011001010100 +100001110110 +100010000111 +100110011000 +100010001000 +011101100110 +011101100101 +011001010100 +011101100101 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011101111000 +011110001000 +011101111000 +011110001000 +011101111000 +011101110111 +011101110111 +010001000100 +001000100011 +001000100010 +001100110011 +010101000100 +010101010100 +011001010101 +011001010101 +011001100101 +001100110011 +000100010001 +001100110011 +001000110011 +000100010001 +001000100001 +010000110010 +010000110010 +010000110010 +010000110010 +010101000011 +010101010100 +010101010100 +010000110011 +001100100010 +010000110010 +010001000011 +010000110010 +010001000011 +010101000100 +011001100101 +011001010101 +011001010100 +011001010101 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +100001100100 +101110010110 +110110111000 +110010100111 +101110100110 +101110010110 +110010100111 +110010111000 +110111001001 +110110111000 +010101000011 +001000100010 +001000100010 +001000100011 +001000100010 +000100010001 +000100010001 +000100100010 +000100010010 +001000100010 +001000100010 +000100010001 +001000010000 +001100100000 +001100010000 +001100010000 +001100100000 +001000010000 +001100100000 +010000100000 +010000110001 +001000110010 +000000100011 +000000110100 +000100110011 +001000110011 +001100100001 +001000010001 +000000010011 +000100110101 +000100100100 +000100110110 +000000100011 +000000010011 +000000100100 +000101000111 +001001000111 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +010000110001 +010000110001 +001000010000 +000100000000 +010100110001 +100001010001 +100101010001 +100001010001 +100001000001 +011000110000 +011001000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110001 +011001000001 +011001000001 +011000110001 +011001000001 +011001000001 +011101000001 +011101000001 +011100110001 +011100110001 +011100110001 +011000110001 +011100110001 +011100110001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110000 +010100110000 +001000010000 +000100000000 +010000110001 +001100100001 +000100010010 +000100010011 +000100010100 +000101000111 +001001010110 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010001 +000100010000 +000000010001 +000100010000 +000100000000 +010100110001 +011000110001 +011001000001 +011101000001 +011000110000 +010100110000 +010100110000 +011101000001 +011101000001 +011001000001 +011000110000 +010100110000 +011001000001 +010100110000 +010101000001 +010100110001 +010000100000 +010100110001 +010000100000 +001100100000 +001100100000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110000 +011001000001 +011001000001 +011101010001 +011101010001 +011101010001 +011001000001 +010100110001 +001100100000 +001000010000 +000100000000 +000100000000 +000100100010 +001101000100 +001000110011 +000000010011 +000100100100 +000000100100 +000100110110 +000000100101 +000001000110 +000001010111 +000001000110 +000001000110 +000001000111 +000101101001 +000001011000 +000001010111 +001010001011 +001010001011 +001001010110 +001101000011 +001101000100 +001101000011 +001101000100 +011101110111 +011101110111 +011001111000 +011001111000 +011110001001 +011110001001 +011101111000 +011101111000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +100010001000 +011110001000 +011110001000 +011110001001 +100010001001 +011101111000 +011001010101 +011101100110 +011001100101 +011101010101 +011101100101 +100001110110 +100001110110 +011101100101 +011101100110 +100001110111 +011101010100 +011101010011 +011101010100 +011101110110 +100010011001 +100010011001 +100010001001 +100001110111 +011101010100 +011101010100 +011101100101 +011101100101 +011101110111 +100010000111 +011101100101 +011101010100 +011001000010 +011000110010 +011001000010 +011101010011 +011101100101 +100001110101 +100001100101 +011101010100 +011101010011 +011101010100 +011101010011 +011001000010 +011000110010 +011001000010 +011001010011 +011101100101 +100001110110 +100010000111 +100010001000 +100010001000 +100001110111 +100001110110 +100001110110 +011101100101 +100010000111 +011101100101 +100010000111 +100010011001 +100010001000 +100010011001 +100110011001 +100110011001 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100001110110 +011101100101 +100001110110 +011101100110 +100001110111 +100010000111 +011101100101 +011001000011 +011001010011 +011001010100 +011101110110 +100001110110 +100001110111 +011001010100 +011001000010 +100001110110 +100001110110 +011101010011 +011101100101 +011001010011 +011101100101 +011101100101 +011101010011 +011101100101 +100001110110 +100010001000 +100010011001 +100110011001 +100001110111 +010101000100 +011101100110 +100110001001 +100110011010 +100010011001 +011110001000 +011001100110 +011101110111 +011101111000 +011110001000 +011101111000 +011101110111 +100010001000 +100010001000 +011110001000 +010101010110 +001000100010 +001100110011 +010101010101 +010101010101 +010101010101 +011001100110 +011001010101 +011001100110 +011001100101 +010101000100 +001000100011 +000100010001 +000100010000 +010000110011 +010101000011 +011001010100 +011001010011 +010101000011 +011001010100 +010101000011 +010101000010 +001100100001 +001100100001 +001100100001 +010000110010 +001100110010 +010101000011 +010001000011 +010101000011 +010101000011 +010000110010 +010101000011 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100101110101 +110010111000 +110111001001 +110010100111 +110010100111 +110111001000 +110010100111 +100110000100 +110010100110 +110010100111 +011001010100 +001000100010 +000100010001 +001000100011 +001000100010 +000100010001 +001000100010 +001100110100 +001000110011 +000100010001 +000100010000 +000100010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +000100000000 +001000010000 +010000100000 +010000100000 +001100110001 +000100100010 +000100100010 +001000100010 +010000110001 +010100110001 +001000010000 +000000010010 +000100100101 +000100100100 +000100100110 +000100100101 +000100100011 +000000100011 +000101000111 +001101010111 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +001100110001 +010101000010 +001000100000 +001000010000 +010100110001 +100101010001 +100101010010 +100101010001 +100101010001 +011101000001 +010100110000 +011001000001 +011101000001 +011000110001 +011000110001 +011000110000 +011000110000 +011000110001 +011001000001 +011101000001 +011101000001 +011101000001 +011100110001 +011100110001 +011100110001 +011100110001 +011101000001 +011100110001 +011100110001 +011101000001 +011101000001 +011101000001 +011101000001 +011100110001 +011101000001 +011101000001 +011000110000 +010000100000 +001000010000 +000100000000 +001100110001 +001000100001 +000000010010 +000000010010 +000100010011 +000100110110 +001000110101 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000000000 +000100000000 +011000110001 +011001000001 +011001000001 +011000110001 +010100110000 +010100110000 +010100110000 +011000110001 +011001000001 +011000110001 +010100110000 +011000110001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010100110000 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +010101000001 +010000110000 +001100010000 +001000010000 +001000000000 +000100000000 +000100100011 +001101000100 +001101000101 +000000010011 +000100100100 +000000100100 +000100110110 +000000110101 +000001000110 +000001010111 +000001000110 +000000110110 +000001011000 +000101101010 +000001011000 +000101101001 +001010011100 +001010001011 +001001000101 +001101000011 +001101000100 +001101000011 +001101000100 +011001110111 +011001111000 +011001111000 +011101111000 +011110001001 +011110001000 +011001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +011110001000 +100010001001 +011110001001 +100010001001 +011110001001 +011101110111 +011101110111 +011110001000 +011101110111 +011101100101 +011001000011 +011101010011 +011101010100 +011101100101 +100001110101 +011101010100 +011101100100 +011101100101 +011001010100 +100001110111 +100010001001 +100010001000 +011101100101 +011101010011 +011101010011 +011101010011 +011101100100 +011101110110 +011101100101 +011101010100 +011101010100 +011101000011 +011001000010 +011001000010 +011101010011 +011101010100 +100001110110 +100001110111 +100001110110 +100001100101 +011101010100 +011001000010 +011000110010 +011000110010 +011001000010 +011101000011 +100001100101 +011101100101 +011101100100 +100001110110 +100010001000 +100010000111 +100001110111 +100010000111 +100001110110 +100010001001 +100010000111 +100001110111 +100110011001 +100010011001 +100110011000 +100010011001 +100110011001 +100010001001 +100010001000 +100010000111 +100010000111 +100001110111 +100010000111 +100001110110 +100010000111 +100001110111 +100001110110 +100001110111 +100010000111 +100001110110 +011101100100 +010100110010 +010101000011 +100001110110 +100010000111 +100010000111 +011001010100 +011001000010 +100001100101 +011101100100 +011101010011 +011101010011 +011101100100 +011101100101 +011101100101 +011001010011 +011001010011 +011001010100 +100010001000 +100010011001 +100110011001 +100001110111 +011101100101 +100001110110 +100001110111 +100010011001 +100010011010 +100010001001 +100010011001 +100010011001 +100110011010 +100010011010 +100010001000 +011101110111 +011101110111 +011101110111 +011001110111 +010101100110 +000100010001 +010001000100 +011001100111 +011001100110 +010101010101 +010101010101 +010101100110 +010101010101 +011001100101 +010001000100 +001000100010 +000000010000 +001000100001 +010001000101 +010101010101 +011001010101 +011001100101 +011001100101 +011101100100 +011101100101 +011101100100 +011001010011 +010101000011 +001100110001 +001100110010 +010000110010 +010000110010 +001100100010 +010001000011 +010101010100 +001100100010 +001100110010 +010000110010 +010000110011 +001100110010 +010101000011 +100001100101 +101010010111 +111011011010 +110111001001 +110111001001 +110010100111 +110110111000 +101110100110 +100101110100 +100101110100 +011001010011 +001100100010 +001000100010 +001000100010 +000100010001 +001000010001 +001000100010 +000100010001 +000100010001 +000100010000 +000100000000 +000100010000 +000100010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010001 +001000010000 +001000010000 +001000010000 +001100100000 +001100100000 +001000100001 +001000100000 +010000110000 +010000100000 +001100100000 +000100010000 +000000010001 +000000010011 +000100100101 +000100100101 +000100100101 +000100100100 +000000010011 +000101000110 +001101010111 +000100100001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +010000110010 +001100100001 +001000010000 +010100110001 +100101010010 +100101010001 +100101010001 +100101010010 +100001000001 +010100100000 +010100110000 +011100110001 +011000110001 +011000110001 +011000110000 +011000110000 +011000110000 +011101000001 +011101000001 +011001000001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110001 +011100110001 +011100110000 +011100110001 +011100110001 +011000110001 +011001000001 +011101000001 +100001000001 +011101000001 +011000110001 +011000110000 +010000100000 +000100000000 +000100000000 +010000110001 +001000100001 +000000010010 +000000010010 +000100010010 +000100110101 +000100100011 +000100010001 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000000000000 +001000010000 +011000110001 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110001 +011000110001 +011000110001 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100010000 +001100100000 +001100100000 +010000100000 +010100110000 +011101000001 +011101000001 +011001000001 +011001000001 +010100110001 +010100110000 +010000110000 +001000010000 +001000010000 +001000000000 +000100000000 +000100110011 +001000110011 +001100110100 +000000010011 +000000100100 +000000100100 +000100110110 +000000110110 +000001000110 +000001000110 +000001000110 +000001000111 +000001011001 +000101111010 +000001010111 +001001111010 +001010011100 +001010001010 +001001000101 +001101000100 +001101000100 +001101000011 +001101000100 +011001110111 +011001111000 +011001111000 +011101111000 +011010001000 +011110001000 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011001111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +100010001000 +011110001000 +011110001001 +100010001001 +011110001001 +011110001001 +011101111000 +011101111000 +011110001000 +100010001001 +011101100110 +011001010011 +011101010100 +011101100110 +011101110110 +011101100100 +011101010100 +011101100100 +011101100101 +011101010011 +011001010011 +011001010100 +011101100101 +011101100101 +011001000011 +011101010011 +011101010011 +100001110110 +100010000111 +011101100100 +011101010011 +011001000010 +011101000011 +011101010100 +100001100101 +011101100100 +011101010011 +011101100101 +100001110111 +100001110110 +011101100101 +011101100100 +011101010011 +011001000010 +011001000010 +011001000010 +011101010011 +011101100100 +011101100100 +011101010011 +011101010100 +100001110110 +011101100101 +011101100101 +011101100101 +011101100101 +011110001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110111 +100001110110 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011001010100 +011001010101 +100010001000 +011110001000 +100010000111 +011101100101 +010101000010 +011001010011 +011001010011 +100001100101 +011001010011 +011101010100 +011101100101 +011101100101 +100001100101 +011101010100 +011101100101 +100010000111 +100010001001 +100010001000 +100001110110 +011101010011 +011101100100 +011101100101 +100010001000 +100110011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010001001 +100010001000 +011101110111 +000100010001 +001000100010 +010001010101 +010101010101 +011001100111 +010101010110 +010101010110 +011001100110 +011001100101 +010001000100 +001000100010 +000000000000 +001100110010 +010101010101 +010101010101 +011001100110 +011001110111 +011101110111 +011001010100 +011101010100 +011101110111 +011101110101 +011101010011 +011001000011 +010101000011 +010000110001 +010000110010 +010101000011 +010101000011 +010101000011 +010000110010 +001100100010 +001100100010 +001100100010 +010001000100 +011001010101 +010101000011 +011001010100 +100101110101 +101010000101 +101110100110 +101110010110 +110111001000 +110010111000 +110110111000 +011101100100 +010000110011 +001000100010 +001100110100 +001000100011 +001000010001 +001100110011 +000100010001 +000000000000 +000100010000 +000100010000 +001000010000 +000100010000 +001000010000 +001000010000 +001000010001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100000 +000100010000 +000100010001 +000000010001 +000000000001 +000100100100 +000100010100 +000100100101 +000100100100 +000000010010 +000100110110 +001101101000 +000100010001 +000100010000 +000100010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001000100001 +001100100001 +000100010000 +001100100000 +100101010010 +100101010001 +100101010001 +100101010001 +100001000001 +011100110001 +010000100000 +011000110001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110000 +011101000001 +011000110001 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110001 +011100110001 +011000110001 +011000110001 +011000110001 +011000110000 +011101000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +010000100000 +000100000000 +000100000000 +010000110001 +001000100010 +000000000010 +000000010010 +000000010010 +000100100101 +000100100010 +000100010001 +000100100001 +000100010010 +000100010010 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000010000 +011000110001 +011000110001 +011000110000 +010100110000 +011000110000 +011001000001 +010100110000 +010100110000 +010100110000 +011001000001 +010100110000 +010100110000 +011000110001 +010100110000 +010100100000 +010000110000 +010100110001 +010100110000 +010100110001 +010000110000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100010000 +001000010000 +001100100000 +001100100000 +010000100000 +011001000001 +011101000001 +011000110001 +010100110000 +010000110000 +010100110000 +010000100000 +001100010000 +001000010000 +001000000000 +000100000000 +000100010001 +000100100010 +001100110011 +000000010011 +000100100100 +000000010100 +000100110110 +000000110110 +000001000110 +000001000110 +000001000110 +000001010111 +000001101001 +000101101001 +000001011000 +001010001011 +001010001011 +001110001010 +001001000101 +001101000011 +001101000100 +001101000011 +001101000100 +011001100110 +011001110111 +011001111000 +011001111000 +011010001000 +011010001001 +011101111000 +011001111000 +011101111000 +011110001000 +011110001000 +011101111000 +011110001000 +011101111000 +011110001000 +011101110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +011110001000 +011101111000 +011101110111 +011110001000 +011101111000 +011001010100 +011001010100 +100010000111 +100010001001 +100010001000 +011101100110 +011001010011 +011001010011 +100001100101 +011101010100 +011001000011 +011000110010 +011001000011 +011101100101 +011001000011 +011001000010 +011001000011 +011101010100 +100010001000 +011101100101 +011001010011 +011001000010 +011000110010 +011101010011 +100001110110 +011101110110 +011001000011 +011101010100 +100001110110 +100001110110 +100001100110 +100001100101 +011101100100 +011001000011 +011101000011 +100001100100 +011101100100 +100001100101 +100001100101 +011101010100 +011101010100 +011101010011 +011001000010 +011101010011 +011101010011 +011101010100 +100001110111 +100001110111 +011101110110 +100010000111 +100110000111 +100010001000 +100110011001 +100010001000 +100010001000 +100001110111 +100001110111 +011101100110 +011101110110 +100001110111 +100001110101 +010101000010 +100001100101 +100001110110 +100001110110 +011101110110 +011101110110 +100001110111 +011101110110 +011001100110 +011101110111 +011101110111 +011110001000 +011101110110 +011101100100 +011001010011 +011001010100 +100001110110 +100001110110 +011101100101 +011101100101 +011001010011 +100001110110 +100001100101 +011101100101 +100001110111 +100110011001 +100001110111 +011101100100 +011101010100 +011101100100 +100001110110 +100110001000 +011101110111 +100010001000 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +010001010101 +000000010001 +001100110100 +010101100110 +010001010101 +010001000101 +001101000100 +010101010101 +011001100110 +001100110011 +001000100010 +010000110011 +010101010101 +010101100101 +011001100110 +011001100110 +011101110111 +011101110111 +011101100110 +011101100110 +011101111000 +011101110111 +011101100100 +011001000010 +011001010011 +011001000011 +001100100001 +010100110010 +011001010011 +010000110010 +010101000011 +010101000011 +010101000011 +010000110010 +010101000011 +010001000011 +010001000011 +001100110010 +010101000011 +010001000011 +011001010011 +011101100100 +100001110101 +100110000101 +101010010101 +010101010011 +001100100011 +001000100010 +010001000100 +001000100010 +001000010001 +000100010001 +000100010000 +000100010000 +001000100001 +001000100001 +001000100001 +001000010000 +001000010001 +001000010000 +001000010000 +001000010000 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +000100010010 +001000100100 +000100010011 +000100100101 +000100100100 +000000010010 +000100110101 +001101010111 +000100010001 +000100010000 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001100100000 +000100010000 +001000010000 +100001010001 +100101010001 +100101010001 +100001010001 +100001000001 +011101000001 +010000100000 +010100100000 +011101000001 +011000110001 +011000110001 +011000110000 +011000110001 +011000110001 +011000110000 +011000110000 +011000110001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +100001010001 +100101010001 +100101010001 +100101010001 +100101010010 +011101000001 +001100100000 +000000000000 +000100010000 +010000110001 +001000100010 +000000000001 +000000010010 +000100010010 +000100100100 +000100010010 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100001 +000100010001 +000100010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100100001 +001000100001 +001000100010 +001000100010 +000100100010 +000100010001 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +010000100001 +011101000001 +011000110001 +010100110000 +011000110001 +011000110000 +011001000001 +011001000001 +010100110000 +010100110000 +011000110001 +011000110000 +010100100000 +010100110000 +010100110000 +010100110000 +010000110000 +010000100001 +010000110000 +010100110000 +010100110000 +010100110000 +010100110001 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +001100100000 +001000010000 +001100100000 +001100100000 +001100100000 +010100110000 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100100000 +001000010000 +001000000000 +000100000000 +000100010001 +000100100010 +000100100010 +000000010011 +000100100100 +000000100100 +000100110110 +000000110110 +000001000110 +000001000110 +000001000110 +000001010111 +000001011000 +000001011000 +000101101001 +001010001011 +001010001011 +001110001010 +001001000100 +001101000011 +001101000100 +001101000011 +001101000011 +011001010101 +011001110111 +011001111000 +011001111000 +011001111000 +011001111001 +011001111000 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +100010001001 +100010001001 +100010001001 +011110001000 +011101111000 +011101110111 +011110001000 +100001111000 +011101100110 +011101100110 +100010001000 +100010001001 +011101110111 +011101100101 +011001010011 +011001000011 +011101010011 +011001000011 +011001000010 +011001000010 +011001000011 +011101100100 +011101010100 +011001000010 +011000110010 +011001000011 +100001110110 +011101110110 +010101000011 +010100110001 +011000110010 +011001000010 +011101010100 +100010001000 +100001110110 +011101010100 +100001110111 +100001110110 +011101010100 +011101010100 +011101010100 +011001000010 +011101010011 +011101010100 +011101010011 +011101010100 +011101010100 +011101010011 +011101010100 +011101010100 +011001000010 +011001000010 +011101010011 +011101010011 +011001010011 +011101010100 +011101010100 +100001110110 +100001110111 +100010000111 +100010001000 +100001110110 +100010000111 +100010000111 +100001110111 +100001110110 +100001110110 +100001110111 +011101110101 +011001010011 +011101100101 +100001110110 +100010000111 +100001110111 +100001110110 +100010000111 +100001110110 +011001100101 +010101010101 +011101110111 +100010001000 +011101110111 +011101110110 +100001110110 +100001110111 +100010000111 +011101110110 +100110000111 +011101100101 +010101000011 +011101100101 +011101100100 +011101100101 +100110001000 +100010001000 +100001110110 +011101010011 +011001000010 +011101010011 +100001100101 +100001110110 +011101110111 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001001 +011110001000 +011001110111 +011110001000 +011001110111 +011001100111 +011001110111 +010101100110 +010101100110 +011101110111 +001000100010 +001000010001 +011001010101 +010101010101 +011001100101 +010101100101 +011001100110 +011101100110 +011101110111 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +011101100101 +011001010100 +011001000011 +010101000011 +010101000011 +011001010100 +011001000011 +010100110010 +011001010011 +011001010100 +011001000010 +010100110010 +010000110011 +010000110010 +010100110010 +010000110011 +010000110010 +001100110010 +010101000011 +010101010100 +010101000011 +010101000011 +010000110011 +001000100010 +000100010001 +001000100010 +001000100010 +000100010001 +001000010000 +001000010000 +001000010000 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000010001 +001000100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +010001000100 +010001000100 +001100110100 +001101000101 +001101000101 +001000110100 +001000110101 +001101010111 +000100010010 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100000000 +000000000000 +001000100001 +001000010000 +000100000000 +011001000001 +100101010010 +100101010010 +100101010001 +100001010001 +100001000001 +010100110000 +001100010000 +010100110001 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110001 +011000110000 +011000110001 +011000110001 +011000110000 +011000110001 +011000110000 +011000110000 +010100100000 +011000110001 +100001010001 +100101010001 +100101010001 +100101010001 +100001000001 +011101000001 +001000010000 +000000000000 +001000010000 +010000110001 +001000100010 +000000000001 +000000000010 +000100010010 +000100010011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010000 +000000010000 +000000010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100010010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100100001 +100101100010 +100001010001 +011101000001 +010100110000 +010100110000 +010100110000 +011000110001 +010100110000 +010100110000 +010000110000 +010100110000 +011000110001 +010000100000 +010000100000 +010000100000 +010000110001 +010000100000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100010000 +001100100000 +010000100000 +010100110000 +010100110000 +011000110001 +010100110000 +010100110000 +010100110000 +010000110000 +010000110000 +001100010000 +001000000000 +000100000000 +000100000000 +000000100010 +000000010010 +000000010011 +000100100100 +000000100100 +000100110110 +000000110110 +000001000111 +000001000110 +000001000111 +000001011000 +000101101001 +000001000111 +000101111010 +000101111010 +001010001011 +001110001010 +001001000100 +001101000011 +001101000100 +001101000011 +001101000011 +010000110010 +011001010101 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100001111000 +011101110111 +011101110111 +011101111000 +100010001000 +100010001000 +100010001001 +100010001001 +011101110110 +011101100100 +011101010100 +011101010011 +011001010011 +011101010011 +011001000010 +011101010100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010011 +011001000010 +011101010101 +100010001000 +011101100110 +011001000010 +011001000010 +011001000010 +011000110010 +011001010100 +011101100101 +011101010100 +100001110110 +100001100110 +011101010011 +011101010011 +011101010100 +011101000011 +011101010011 +011001000011 +011101000011 +011001000011 +011101010011 +011101010100 +011101100100 +100001100100 +011101010011 +011001000011 +011101010011 +011101010011 +011101010011 +011001000010 +011101100100 +011001010100 +011101100101 +100010001000 +100010001000 +100010000111 +100001110110 +100010000111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +011101100101 +011101100101 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +010101010100 +010101010101 +011101110110 +100001110111 +100010000111 +100001110110 +100001110110 +100001110111 +100001110110 +011001010100 +011101100100 +011001010100 +011101100101 +100001110110 +011001010011 +010101000010 +100001110111 +100010000111 +100001110111 +011101100101 +011001010011 +011001010011 +011001010011 +011101010100 +100001110111 +100010001001 +100010011010 +100010011010 +011101111000 +100010011001 +100010011001 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +100010001001 +011101111000 +011101111000 +011101111000 +011001110111 +011001110111 +011101110111 +001100110011 +000100010000 +010101010101 +011001100110 +011001100110 +010101010101 +010101010101 +010101010101 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001010101 +011001010100 +011101100101 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001000011 +011001000010 +011001010011 +010000110001 +010100110010 +010101000011 +011001010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +001000100010 +000100010001 +000100010001 +000100010001 +001000100001 +001100110011 +010001000100 +010101000100 +010000110100 +001100100010 +001000100001 +001100100010 +001100100001 +001100100001 +001000100001 +001100100010 +001100100001 +001100100001 +001100100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +001100100010 +010000110010 +010000110011 +010001000100 +010001000100 +010101000100 +011001010110 +010101100110 +010101010101 +010101010100 +011001010100 +010101000010 +001100110001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000000000000 +000100010001 +000100010000 +000100000000 +010000100001 +100001010001 +100001010001 +100001000001 +011001000010 +011001000001 +010100110000 +001100010000 +010000100000 +010100110000 +010100110000 +011000110000 +011000110001 +010100110000 +010100100000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +010100100000 +001100010000 +011000110001 +100001000001 +100101010001 +100101010001 +100101010001 +100001000001 +011000110001 +001000000000 +000100000000 +001000010000 +010000110001 +001000100010 +000000000001 +000000000010 +000100010010 +000100010010 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +100001100010 +101001100010 +100101010001 +011101000001 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110001 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100010000 +000100000000 +000100000000 +000000100010 +000100100011 +000000010011 +000100100101 +000000100100 +000000110101 +000000110110 +000001000111 +000001000101 +000001000111 +000001011001 +000101101001 +000001000111 +001001111010 +000101111001 +001010011100 +001110001010 +001001000100 +001101000100 +001101000011 +001101000011 +001101000011 +010000110010 +010001000011 +011001100110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011010001000 +011110001000 +011110001000 +011110001000 +011010001001 +011110001001 +011110001000 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011110000111 +011110001000 +100010001000 +011101110111 +100001111000 +100001110111 +011101110111 +011101110111 +011101110111 +011110001000 +100010001000 +100010001001 +100010011001 +011101110110 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +011001010011 +011101100100 +011101010100 +011001000011 +011101010100 +011101100100 +011101010011 +011101100101 +011101110111 +100010001001 +100010001000 +011101100100 +011001000010 +011001000010 +011000110010 +011101000011 +011101100101 +100001100101 +011101100101 +100001110110 +011101100100 +011101100100 +100001100101 +011101100100 +011101010100 +011101010011 +011101010100 +011001000010 +011101010011 +011101100100 +011101010100 +011001000011 +100001010100 +011101010100 +011101010011 +011001000011 +011101000010 +011101000011 +011101100100 +011001010011 +100001110110 +100010001000 +100010001000 +100001110111 +100001110111 +100001110110 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100110001000 +100010000111 +100110000111 +100010000111 +100001110111 +100001110110 +100010000111 +100010001000 +100001110111 +100010000111 +100010000111 +100001110111 +100010000111 +100010000111 +011101110110 +100001110111 +100001110111 +011101100101 +011001010100 +100001110111 +100010001000 +100010001000 +100110001000 +100001110111 +011101100101 +011101010100 +011001000011 +011101100101 +100010001001 +100010011001 +100010011010 +011101110111 +011101110110 +011101110110 +011101110111 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011001110111 +011001100110 +001000100010 +001100110100 +011001110111 +011101111000 +011001110111 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +011001100101 +011001100110 +011101100110 +011001100110 +011101110111 +011101110111 +011001100101 +011001010101 +011001010101 +010001000011 +011001010101 +011101010100 +011101010100 +011001000010 +011101010011 +011101010011 +010100110010 +010100110010 +011001010011 +011101010100 +011001010011 +011001010011 +011001010011 +010100110010 +010000110011 +000100010010 +000100010001 +000000000000 +000000000000 +000100010000 +001100100010 +001100110010 +010001000100 +010101010101 +011001100110 +011001010101 +010101010100 +010101000100 +010000110011 +001100110011 +001100110010 +001100100010 +001100110010 +010000110010 +001000100001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010001000011 +010001000100 +010101010101 +011001010101 +011001010100 +011101100101 +101010000110 +101110100111 +101010010110 +100101110110 +011101100100 +011001010011 +010000110010 +001100100001 +000100010000 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100000000 +000100000000 +011000110001 +010100110000 +010000100001 +010001000011 +010000110010 +010100110001 +001000010000 +001000010000 +010000100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100110000 +010100110000 +010100100000 +010100100000 +010000100000 +001100010000 +011000110001 +100001000001 +100101010001 +100101010001 +100101010001 +100001010001 +010100110001 +000100000000 +000100000000 +001000010000 +010000110001 +001000100010 +000000000001 +000000000001 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010000 +101110000010 +100101010001 +100101100010 +100001010001 +011000110001 +010100110000 +010100100000 +010100100000 +010100110000 +010100110000 +010000100000 +010000100000 +010100110001 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100110000 +010100110000 +010100110000 +011001000001 +011001000001 +011000110001 +010100110001 +010100110000 +010100110000 +010100110001 +010100110000 +010000100000 +010000100001 +001000010000 +000100000000 +000000010001 +000000010010 +000000010011 +000100100101 +000100100101 +000000110101 +000001000111 +000001000111 +000001000110 +000001000111 +000001101001 +000001011000 +000001010111 +000101111001 +000101111001 +001010011100 +001110001001 +001101000100 +001101000011 +001101000100 +001101000100 +001101000011 +011001010101 +011001100110 +011001110111 +011001110111 +011001111000 +011001110111 +011001111000 +011001110111 +011001110111 +011101111000 +011001111000 +011001111000 +011110001000 +011010001000 +011010001000 +011110001001 +011110001001 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011110001000 +100010001000 +100010001000 +011101111000 +011101110110 +011101110110 +011101110111 +011110001000 +100010001000 +100010001000 +100010001001 +011101110111 +011101010100 +011101110110 +100001110111 +011101100101 +011101010100 +011001010100 +011101100100 +011101010100 +011001010011 +011101100100 +011101100100 +011101010011 +011101110111 +100110011001 +100010001000 +100010001000 +100010001000 +011101010100 +011001000010 +010100110001 +011101010011 +011101100101 +011101100101 +011101100110 +100001110111 +011101100101 +011101010100 +100001110110 +100001100101 +011101100100 +011101010011 +011101100100 +011101010011 +011101010100 +011101100101 +100001100101 +011101010100 +100001100101 +011101100100 +011101010100 +011101010100 +011101010011 +010101000010 +011101100101 +011101010100 +011001000011 +100001100101 +011101010100 +011101110110 +100010000111 +100001110110 +011101100110 +011101100101 +100001100101 +100001110101 +100001110110 +100001110110 +100001110110 +100010000110 +100001110111 +100010000111 +100110000111 +100010000111 +100010000111 +100010000111 +100001110111 +100001110111 +100010000111 +100010001000 +100010000111 +100010000111 +100001110111 +100010000111 +100010000111 +100010000111 +100110000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010000111 +011101110111 +100001110111 +100010001000 +100110001000 +100010001000 +011101010101 +011001010101 +100010001000 +100010001001 +100010011001 +100010001001 +100010001000 +100001110111 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010001001 +100010001000 +100010001001 +011110001000 +100010001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001000 +011101111000 +011110001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +011101110111 +011001100110 +011001100110 +011101110110 +011101100110 +011101100101 +011101100110 +011001100110 +011001100101 +011101110110 +100001110111 +011101100100 +011001010011 +011101010100 +011000110010 +010000110001 +011001010011 +011101100100 +010101000011 +011001010100 +011001010100 +010000110010 +001100100010 +000100010010 +000100010001 +000100000000 +000000000000 +001000010001 +001100100001 +001100100001 +001100100001 +010000110010 +010101000011 +011001010100 +010001000011 +010001000011 +010101010101 +011101100110 +010101000100 +010101010100 +010001000100 +010000110011 +001100110010 +001100100010 +001100100010 +001100100010 +010000110011 +010000110011 +010000110011 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110011 +010001000100 +010101010101 +011001100110 +011101100110 +100001110110 +101110010111 +110010101000 +110010100111 +101110010110 +101110010110 +101010010110 +101010000110 +100101110101 +100001110101 +011101100100 +010101010011 +010000110010 +001000100001 +001000100001 +000100100001 +000100100001 +000100010001 +000100010001 +001000010000 +001000100001 +000100010001 +000100010001 +001000100010 +010000110011 +001100110011 +001100100010 +001100100011 +001100100011 +001100100010 +001000010000 +001000010000 +001000010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100010000 +001100010000 +011001000001 +100001000001 +100001000001 +100001000001 +100001010001 +011101000001 +010000100001 +000000000000 +000100000000 +001000010000 +001100110001 +000100100010 +000000000001 +000000000001 +000000010010 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +011001000010 +101001110010 +100101010001 +100101010001 +100001010001 +011101000001 +011000110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100100000 +010000100000 +010100110000 +010100110000 +010100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100110000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011001000001 +011001000001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010000110000 +010000100000 +001100100000 +001100010000 +000100000000 +000000010001 +000000010001 +000000010010 +000100100101 +000100100101 +000000110101 +000001010111 +000000110110 +000001000110 +000001000111 +000001101001 +000001010111 +000001010111 +000001011000 +000101111010 +000110001011 +001110001001 +001101000011 +001101000011 +001101000100 +001101000100 +001101000011 +011001100110 +011001111000 +011001110111 +011001100110 +011001110111 +011001110111 +011101111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011101111000 +011101111000 +011001111000 +011010001001 +011110001001 +011101111000 +011101111000 +011101110111 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +100010001000 +011110001000 +011101110111 +011101110110 +011101111000 +011101110111 +011101110110 +100001110110 +100001110111 +100010001000 +100001110111 +011101110111 +100010001000 +011101100101 +011101110110 +011101100110 +100010001000 +100010001000 +011101100110 +100001110110 +011101100110 +011101100101 +011101100101 +100010001000 +100010011001 +100010011001 +100010001000 +011101100101 +011101110110 +011101110110 +100001110111 +011101100101 +100001110110 +011101110110 +100001110111 +100001110110 +011101100101 +100001100101 +011101100100 +011101010100 +011101010100 +100001100101 +011101100101 +011101100101 +100001100110 +011101100110 +100001110110 +011101100101 +100001100100 +011101010100 +011101010011 +011101010011 +011101010100 +011101100101 +011101100101 +011001000010 +011001000010 +011101000011 +011001000010 +011101010100 +100001110110 +100001110111 +011101010100 +011101010100 +100001110110 +100010000111 +100001110111 +100001110111 +100001110110 +100001110111 +100001110110 +100001110110 +100001110110 +100010000110 +100001110110 +100010000111 +100010000111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100110001000 +100010000111 +100110001000 +100010001000 +100010000111 +100010000111 +100001110111 +011101100110 +100010000111 +100010001000 +100010000111 +011101100110 +011101110110 +100001110111 +100010001001 +011110001000 +011101110111 +100010001000 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +100010001001 +100010001000 +011101110111 +100010001001 +100010001001 +100010001001 +100010011010 +100010011010 +011110001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +100010011001 +011101110111 +011001100101 +010101010100 +011001010100 +010001000011 +011001010101 +011101110110 +011101100101 +011101100101 +011001010100 +011101010100 +011101100100 +010101000011 +011001010100 +011001010100 +011001000011 +011001010011 +011001000011 +010101000011 +001100110010 +001000100011 +001000100010 +001000010001 +001000010000 +001100100001 +010000110010 +010000110010 +010100110010 +010100110010 +010000110010 +010101000010 +010000110010 +001100100001 +001100100001 +001100100001 +001100100001 +010000110011 +010001000100 +010001000011 +010101010100 +011001100110 +010101010101 +010101000100 +010000110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100100010 +010000110011 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010101000101 +011001010110 +011101100110 +011001010101 +100101110101 +101110010110 +100101110101 +100101110101 +101010000110 +101010010110 +101010000110 +101110010110 +101010000110 +101110100111 +101010000110 +101010010111 +101010000110 +100010000110 +011101100100 +010101000011 +001100110010 +001100100001 +001000010000 +001100100001 +001100110011 +010001000100 +010001000100 +001100110100 +001100110100 +001100100010 +001100100011 +001100110100 +001000010001 +000100000000 +001000000000 +001000010000 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000000000 +010000100001 +011000110001 +011101000000 +011101000001 +100001000001 +100001000001 +011101000001 +001100010000 +000000000000 +000100000000 +001100100000 +001100110001 +000100010010 +000000010001 +000000000001 +000000010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +101001110010 +100101100001 +100001010001 +100001010001 +100001000001 +011101000001 +011000110000 +010100110000 +010000100000 +010000100000 +010100100000 +010100110000 +010100100000 +010100100000 +010100110000 +010100110000 +010000100000 +010000100000 +010100110000 +010000100000 +010000100000 +010000100000 +010100110000 +010000100000 +010100100000 +010100100000 +010100110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110001 +011001000001 +011001000001 +010100110000 +010100110000 +010000110000 +010000100000 +001100100000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000010001 +000000010010 +000100100101 +000100100101 +000000110110 +000001000111 +000000110110 +000001000110 +000001011000 +000001011001 +000001000111 +000001011000 +000001011000 +000101101001 +000101111010 +001101111000 +001101000011 +001101000011 +001101000100 +001101000100 +001101000011 +011001100110 +011001110111 +011001100110 +011001010101 +011001110110 +011001110111 +011001111000 +011101110111 +011001100101 +011001010100 +011001100101 +011101100110 +011001100101 +011001010101 +011001100110 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110110 +011101110111 +011101111000 +011101110111 +011101110110 +011101100110 +011101110111 +011101110111 +011101100101 +011101110110 +011101111000 +011101110111 +011101110111 +011101100101 +011101100101 +100001110111 +100001110111 +100010001000 +100010001001 +100010001000 +100010001001 +011101110111 +100010011001 +100010011001 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +011101110111 +100010011001 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010001000 +100010000111 +100001110111 +100001110111 +100001110110 +011101100101 +100001110110 +100001110110 +100001100101 +011101100100 +011101100101 +100001110110 +100001110110 +011101100110 +100001100110 +100001110110 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +100001100100 +011101010011 +011101000011 +011001000010 +011001000010 +011101100101 +100001110110 +011101100110 +011001010011 +011101100100 +100001110111 +100001110111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +100001110110 +100001110111 +100010001000 +100110001000 +100010000111 +100010001000 +100010001000 +100010001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +011101100101 +011101100110 +011101110110 +100001110110 +011101100100 +011101100101 +011101100101 +100010001000 +011110001000 +011101111000 +100010001001 +100010001001 +100010011010 +100010011010 +100010001001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010001001 +100010001000 +100010011001 +100010011001 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010001000 +011101110111 +011101100110 +011001100101 +011001100101 +011001100101 +010101000011 +010001000010 +010101000011 +011101100101 +011001010100 +010000110010 +011001010100 +011001010100 +011001010011 +011001000011 +010000110010 +010101000011 +001000010001 +000100100010 +001000100010 +001000010000 +001100100001 +010100110010 +010101000011 +011001100100 +011101100101 +011001010100 +011001010100 +011101100101 +010101000011 +010000110010 +010100110010 +010000110010 +001100100001 +001100100010 +001100100010 +001100110011 +001100100010 +010001010100 +010101010100 +010001000100 +010001000100 +010000110011 +001100110011 +001100110011 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010101000011 +010001000011 +010000110011 +010001000100 +011001010101 +011001100101 +011101100110 +011101100101 +101110010110 +101110100111 +101010010110 +101010010110 +101110100111 +101110101000 +101010010111 +101110010111 +100110000101 +100110000101 +101010000110 +101110010110 +101110100111 +101010000101 +101010000110 +101110010110 +100001110101 +001100100001 +010000110011 +010001000100 +010001000101 +001100110100 +001100110100 +001100110100 +001100110100 +001100110011 +001100110100 +001100110011 +001100110011 +001100100010 +001100100001 +001000010000 +001000010000 +001000010000 +001000010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000010000 +001000010000 +001000000000 +001000000000 +001000010001 +001100110010 +010000110010 +010000100001 +010100100000 +011000110000 +011000110001 +011101000001 +011001000001 +001000010000 +000000000000 +000100000000 +001000010000 +001000100010 +000000010010 +000000010010 +000000000000 +000000000001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010100110001 +101001110010 +100001010001 +011101000001 +011101000001 +011101010001 +011101000001 +011000110001 +010100110000 +010000100000 +001100100000 +010000100000 +010100100000 +010100110000 +010100100000 +010100100000 +010100100000 +010100110000 +010000100000 +010100110000 +010000110000 +001100100000 +001100100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +011001000001 +011000110001 +011000110000 +011001000001 +011001000001 +010100100000 +010000100000 +010000100000 +001100100000 +001100100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000010001 +000000010011 +000100100101 +000100100101 +000001000110 +000001000110 +000001000110 +000001000111 +000001101001 +000001000111 +000001010111 +000001011001 +000001011001 +000001101000 +000101111001 +001101100111 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +011001100110 +011001100101 +011001100101 +011001100101 +011001100110 +011001110111 +011001100111 +011001010101 +011001100101 +011001100100 +011101100101 +011101110110 +011001010100 +010000110001 +010100110011 +011101100101 +011001010101 +011001100101 +011101110110 +011101100110 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101100101 +011101100110 +011110001000 +011101110111 +011101110111 +100001110111 +011101110110 +100001110110 +011101100101 +011101110110 +011110000111 +100010001000 +100010001001 +100010001001 +100010011001 +100010001001 +100010001000 +011101110111 +100010001000 +100010001001 +100010011001 +100010001000 +100010001001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100001110111 +100001110110 +011101100110 +100001110111 +100001110110 +011101100101 +011101100110 +100001110110 +100001110110 +011101100100 +011101010100 +100001100101 +100001110111 +100001110110 +011101100101 +011101100101 +100001110110 +011101100101 +100001100101 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000010 +011001000010 +011001000010 +011101010100 +100001110110 +100001110110 +011101110101 +011101100101 +100001110110 +100001110110 +100010000111 +100110001000 +100001110110 +100001110111 +100001110111 +100001110110 +100001110101 +011101100100 +100001110110 +100010000111 +100110001000 +100010001000 +100010001000 +100110001000 +100110011000 +100110001000 +100110001000 +100010000111 +100010001000 +100010001000 +100010001000 +100110001000 +100110000111 +100001110110 +100010000111 +100001110111 +011101100101 +100001110110 +011101110110 +100110011001 +100010001001 +011110001001 +100010011001 +100010001001 +100010011010 +100010011010 +100010001001 +100010011001 +100010001001 +100010011001 +100010001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001000 +011101100101 +010000110010 +001100110010 +010000110011 +010000110010 +010101000011 +011001010100 +011101100101 +011101100101 +011001010011 +010101000011 +001100110010 +001000100010 +001000100001 +010000110010 +010000110010 +010101010100 +010101000011 +011101100101 +011101100110 +011101110110 +011101100101 +100001110110 +011101100101 +010101000011 +010101000011 +010100110010 +010000110010 +010101010100 +011001010100 +010101000011 +010001000011 +010101000011 +001100100001 +001000100001 +001100100010 +001100110010 +001100100010 +001100100010 +010001000100 +010101000101 +010001000100 +001100100010 +001000100010 +010000110011 +010000110011 +010000110011 +010000110011 +010101000100 +010101000101 +010101010100 +011001010100 +011101010100 +100101110100 +101010010110 +101010010110 +101110010111 +110010101000 +110010111000 +110010111001 +110010111001 +101110101000 +100110000101 +101110010110 +101110100111 +101010010110 +101110010110 +101010000101 +101110010110 +101110010101 +010000110010 +010000110011 +001100110100 +010001000101 +001100110100 +001000110100 +010001000101 +010001000100 +001100110100 +001100110011 +001100110011 +001100110100 +001100110100 +001100110011 +001100100001 +001000010000 +001000010000 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000010000 +010000100010 +001100100010 +001100100011 +001100110011 +001100110011 +001100100011 +001000010001 +001100100001 +010100110000 +011000110001 +010100110001 +000000000000 +000000000000 +000100010000 +001000010000 +001000100010 +000100010010 +000100010010 +000000000000 +000000010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +100001100010 +100001010001 +100001010001 +011101000001 +011101010001 +011101100010 +011101010010 +011000110001 +010100110000 +001100100000 +001100100000 +001000010000 +010000100000 +010100110001 +010100110000 +010100100000 +010100110000 +010100110000 +010100110000 +010000110000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100100000 +010100100000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +011001000001 +011001000001 +011001000001 +011000110001 +011001000001 +010100110000 +010100110000 +010000110000 +010000100000 +001100100000 +001100010000 +001000010000 +000100000000 +000100000000 +000000000001 +000000010011 +000100100101 +000100110110 +000001000111 +000001000110 +000001000110 +000001011000 +000001011000 +000001000111 +000001011000 +000001011000 +000001101001 +000101101001 +000101101001 +001001010110 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +011001100110 +011001100101 +011001100110 +011001100110 +010101100111 +011001110111 +010101010101 +010101000100 +011101110110 +011001100101 +011001010100 +011101100110 +011001010101 +011001000100 +011001000011 +011001010011 +010100110010 +001100100001 +010101000011 +011001010100 +010101000011 +011001100101 +011101110110 +011101110110 +011101110111 +100001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011101100110 +011001010100 +011101100110 +011101110110 +100001110110 +100001100110 +100001110110 +011101110110 +011101110111 +100010001000 +011110001000 +100010001001 +100010011001 +100010001000 +100001111000 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010001001 +100001110110 +100001110110 +100001110111 +100010001000 +100010001000 +100001110110 +100001110110 +100001110110 +100001100110 +100001100101 +011101100100 +100001100101 +100001100110 +100001100110 +011101100101 +100001110110 +011101110110 +011101100101 +100001100101 +011101010100 +011101100100 +011101010100 +011101010100 +011101100100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +011101100101 +011101100101 +011101100101 +011101110110 +011101100101 +100010000111 +100010000111 +100010001000 +100001110111 +100010000111 +100001110110 +100001110110 +100001110110 +100001100101 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100110001000 +100010001000 +100010001000 +100010000111 +100110000111 +100110001000 +100010000111 +100010001000 +100010001000 +100110001000 +100110001000 +100010000111 +100110011001 +100010001000 +100001110111 +011101110110 +100010011001 +100010011001 +100010001001 +100010011001 +100010011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100010001001 +100010011001 +100010001001 +100010001000 +100010001001 +100010011001 +100010011010 +100010011001 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100110011010 +100010011001 +100010011001 +100110011010 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +011101110110 +011101110110 +011101110110 +011101110111 +011001110111 +011110001000 +100010001000 +011101110110 +001000100010 +000100010000 +001000100001 +011001010100 +011001100101 +011001010100 +011001010100 +010101000011 +011001010100 +011001010100 +001100110010 +001000100001 +010101000011 +010101000011 +011001010101 +011101100110 +011001010100 +010101000010 +011001010100 +011101110110 +011001010100 +011001100101 +011101100101 +011101100100 +011001010011 +010101000011 +011001000011 +011001010100 +011101100101 +011001010100 +011001000011 +010101000011 +011001010011 +010101000011 +011001010011 +010101000011 +001100100001 +001000100001 +001100100001 +001000010001 +001100100010 +001100100010 +001100100010 +001100100010 +010000110011 +010101010101 +010101000100 +010001000100 +010000110011 +010101000011 +011101010101 +100001110110 +101110010111 +101110010110 +101110010111 +101010010111 +100101110101 +101010010110 +110010111000 +110110111001 +110110111001 +110010111000 +110010100111 +101110100110 +101110010110 +101110100111 +101010000101 +101010000101 +101010010101 +010101000011 +001100110010 +001100110100 +010001010110 +001100110100 +001000100011 +010001000101 +001100110100 +001100110011 +001000010001 +000100010001 +001000010001 +001000100010 +001100110011 +001100110011 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100100010 +010001000100 +001000100011 +001000100011 +001100110011 +001000100011 +001000100011 +000100010010 +001000100010 +001100100000 +010100110001 +001100010000 +000000000000 +000000000000 +001000010000 +001000010000 +001000100001 +001000100010 +000100010010 +000000000000 +000100010001 +000000010000 +000000010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100010001 +101001110010 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101010001 +010100110001 +010000100000 +001100100000 +001000100000 +000100000000 +001000010000 +001100100000 +010100110000 +010100110000 +011000110001 +010100110000 +010000100000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100100000 +010100110001 +011001000001 +011001000001 +011001000001 +011001000001 +011000110001 +010100110000 +010100110000 +010000110000 +001100100000 +001100010000 +001000010000 +000000000000 +000100000000 +000000000001 +000000010011 +000100100101 +000100110110 +000001010111 +000001000110 +000001000111 +000001011000 +000001000111 +000001000110 +000001011000 +000001011000 +000001111010 +000101111010 +000101011000 +001101010101 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001000100010 +010000110011 +010101010100 +010101100110 +011001100111 +011001100111 +011001100101 +011001010101 +011101100110 +011001010100 +010100110010 +011001010101 +011101110111 +011101100110 +011001010100 +011101100100 +011001010011 +010000100001 +001100010001 +001100010001 +001000010000 +010000110010 +011001010011 +011001000011 +011001010100 +011101100110 +011101110110 +011101110111 +011101111000 +011110001000 +011110001000 +011101110111 +011001010100 +011001010101 +100010001000 +100001110111 +100001110110 +011101100110 +011101110110 +011101110111 +011101110111 +011101100110 +011101110111 +011110001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011001 +100010011001 +100010000111 +100010001000 +100010001000 +100010011001 +100010001001 +011101110110 +011101100110 +100001110111 +100001110110 +011101100100 +011101010100 +011101100101 +100001100101 +100001100101 +100001100110 +100001110111 +100001110110 +100001110110 +100001110110 +011001010011 +011101010100 +011101010100 +011101010100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101010100 +011001000011 +011101100101 +100001110111 +011101100110 +011101100101 +011101100101 +100001110110 +100001110111 +100010001000 +100010000111 +100110001000 +100010000111 +100010000111 +100010000111 +100001110111 +100001110111 +100010000111 +100001110111 +100010000111 +100001110111 +100110001000 +100010000111 +100010000111 +100110000111 +100110001000 +100010000111 +100001110111 +100010001000 +100110001000 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100001110111 +100010000111 +100010001000 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100110101010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010011010 +100010011001 +100010001000 +100010001000 +100001110111 +100010001001 +100010011001 +100010011001 +100010001001 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010001001 +100010011010 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010001001 +100001110111 +100001110111 +100010000111 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +010101010101 +010101000100 +100001110110 +100010001000 +100010001000 +100010000111 +001100110010 +010101010100 +011101100101 +001000010001 +000000000000 +010000110010 +010000110010 +010001000011 +011001100110 +011001100110 +010101010100 +011101100110 +011101110111 +011101110111 +011101110110 +011101110110 +011001010100 +010101000011 +011101100101 +011101100110 +011001100101 +011101100110 +011001010101 +011001010101 +011101100110 +011001010101 +010000110010 +010101000010 +011001010011 +010101000011 +011001010011 +010000110010 +010000110010 +001100100001 +010000110010 +001100100001 +001100100010 +001100100010 +010101000100 +010101010101 +011001100101 +010101010101 +010101000100 +010101010100 +011101100101 +101110010111 +110010111000 +110010111000 +110010100111 +110010111000 +101110100111 +110010100111 +110010101000 +110110111001 +101110010111 +110010100111 +110010101000 +101110100111 +101110100111 +101010010110 +101010000101 +101110010110 +010101000011 +001000010001 +001000110011 +010001000110 +001100110100 +001000100011 +001100110100 +010001000100 +001000100010 +000000000000 +000000000000 +000100000000 +000100010000 +001000100010 +001000100010 +000100010001 +001000010000 +001100010001 +001100010000 +001100010000 +001000010000 +001100010001 +010000110011 +001100110100 +001000100010 +000100010010 +001100110011 +001000100011 +001000100010 +000100010001 +001000100010 +001100110100 +001000100001 +001100010001 +000000000000 +000000000000 +000000000000 +001000010000 +001000010001 +001000100001 +001000100011 +000000010010 +000000010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000000010001 +000000010001 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +011001000010 +101001100001 +100001010001 +100001010001 +100001010010 +100001010010 +011101010001 +011001000001 +010100110000 +010000100000 +010000100000 +001100100001 +000100010000 +001000010000 +001100100000 +010100110000 +010100100000 +011000110000 +010100110000 +010000100000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110001 +011001000001 +011001000001 +011000110000 +011000110001 +010100110000 +010100110000 +010100110000 +010000100000 +001100100000 +001000010000 +001000010000 +000000000000 +000000000000 +000000000001 +000000010011 +000100100110 +000101000111 +000001000111 +000001000110 +000001011000 +000001011000 +000001000110 +000001000111 +000001011000 +000001011000 +000001111010 +000101111010 +000101000110 +001101010101 +001101000011 +001101000100 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000100010001 +001000110011 +010001000100 +010101010100 +011001100101 +011001100101 +010101000011 +010100110001 +010101000010 +011101100110 +011101110111 +011001100101 +011101100101 +011001010100 +010100110010 +010000100001 +010000100001 +010000100001 +010100110010 +011001000010 +010100110001 +010000100001 +010101000010 +010101000011 +011001010100 +011101100110 +011101110111 +011110001000 +011110001001 +011101111000 +011101110110 +011110001000 +011110001000 +011101110111 +011101110111 +011101111000 +011101110111 +011101110110 +011101100101 +011101100110 +100010000111 +011110001000 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010001000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100010001000 +100001110110 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101010011 +011101010100 +100001100101 +011101100100 +011101010100 +011101010100 +011001010011 +011101010100 +011101010100 +011101100100 +011001010011 +011001000010 +100001110110 +100001110111 +011101100110 +011001010100 +011101100101 +011101010101 +010101000011 +011001000011 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100001110111 +100001110111 +100010000111 +100110001000 +100010001000 +100010000111 +100001110111 +100001110110 +100010000111 +100110001000 +100001110111 +100001110110 +100010001000 +100110001000 +100010001000 +100110000111 +100001110111 +100001110111 +100010000111 +100010001000 +100110001000 +100010000111 +100010001001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011001 +100010011010 +100010011010 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011010 +100110011010 +100110011010 +100010011010 +100010011010 +100110011010 +100010001000 +100010011001 +100010011001 +100110011010 +100110011010 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001001 +100010001000 +100001110111 +100001110110 +011101110111 +100010001000 +100010001000 +100010001000 +010000110011 +010101000100 +100001110111 +010001000011 +000000000000 +010001000011 +011001100101 +010101000100 +011001010101 +011001100101 +011001100101 +011101100110 +011101110111 +011101110111 +011101111000 +011101110111 +011101100110 +011101100101 +011101100110 +011001100110 +010101010101 +010101010101 +011001100110 +010101010101 +010101010100 +011101100101 +011001100101 +011001100101 +011101100101 +011001010100 +010100110010 +011001010011 +010101000011 +010100110010 +010101000010 +010000110010 +010000110010 +010000100001 +001100100001 +001100100001 +001100100010 +010000110011 +010101000100 +001100110010 +010101000100 +011001010100 +011101100100 +100001110101 +100110000110 +101010000110 +101110010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010111 +110010100111 +110010111000 +101110010110 +110010100111 +101110010110 +011101100100 +001100100010 +001100110011 +010001000101 +001000100011 +001000100011 +001100100011 +001100100011 +000100010001 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000100010001 +000000000000 +000100000000 +001000010000 +000100000000 +001000010001 +001100110011 +001101000100 +001000100011 +000000000000 +001000100010 +001100110011 +000100010010 +000100010001 +000100010001 +001100110100 +010001000101 +001000100011 +001000100010 +000100100010 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +001000100011 +000100100011 +000100010010 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000000010001 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +100101100010 +100001010001 +100001000001 +011101000001 +100001010001 +011101010001 +011101000001 +011000110001 +010100100000 +010000100000 +010101000001 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110001 +001100010000 +001100100000 +010000100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000110000 +010100110001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000110000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000000000 +000000000000 +000000010011 +000100110111 +000101001000 +000001000110 +000001000111 +000001011000 +000001000111 +000001000110 +000001010111 +000001000111 +000001011000 +000001111010 +001001101001 +000100110100 +001101000100 +001101000011 +001101000100 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +001000100001 +010000110010 +010101010011 +011001010011 +011001000011 +011001100101 +011101110111 +011101100110 +011101110111 +011101100110 +011001010100 +010100110010 +010100110010 +010100110010 +011001000010 +011101000011 +011001000010 +010000100001 +001100010001 +000100000000 +010100110010 +011101100101 +011001100110 +011001100110 +011101110111 +011101110111 +100010000111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011101110111 +011101100101 +011101100100 +011101100110 +100010001000 +011110001000 +100010000111 +100010001000 +100010011001 +100010001000 +011110001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001001 +100010001000 +100001110111 +011101100101 +011101010011 +011101100100 +011101100100 +011101100100 +011101010100 +011001000011 +011001000010 +011101000010 +011101010100 +011101010100 +011000110010 +011001000011 +011101100101 +011101100101 +011101100101 +011001010100 +011101100101 +011101110110 +011001010011 +011101010100 +100110000111 +100001110111 +100010001000 +100010001001 +100010000111 +100001110110 +100010000111 +100010001000 +100010001000 +100010000111 +100010000111 +100001100101 +100001110110 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100110001000 +100010000111 +100010000111 +100001110111 +100010001000 +100010000111 +100010001000 +100010011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010001000 +011101110110 +011101110111 +011110001000 +011110001001 +100010001000 +100010000111 +100010000111 +100010001000 +100001110110 +100001100101 +011101100101 +011101100101 +011101110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110110 +011001010101 +100001110110 +100001110111 +011001010100 +011101100101 +100010001000 +011101110111 +011001100101 +011101100110 +011101110111 +011101110111 +011001100101 +011101100110 +011101110110 +100001110110 +011101110111 +011001110111 +011001100110 +011001100110 +011001100101 +010000110010 +011001010100 +010101010100 +011001100101 +011001100110 +011101100101 +011101100101 +100001100101 +010101000011 +010101000011 +010100110010 +010101000010 +010101000010 +011001000010 +010100110010 +010000110010 +010001000011 +010000110010 +010000110010 +001100100001 +001100100001 +010101000011 +010000110010 +001100110010 +001100100010 +010000110010 +010101000011 +010101000011 +011001010011 +100001110101 +101110010111 +101010010110 +101110010110 +101110010110 +101110010101 +101110010110 +110010010110 +101110010101 +011101010011 +001100100001 +001100110011 +001100110100 +000100010010 +001000100011 +001100110011 +001000100010 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100000000 +000100000000 +000000000000 +000100000000 +000100000000 +000100000000 +010000110100 +001101000100 +001000100011 +000100010001 +000000000000 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +001000010001 +001000100010 +001000100010 +001100110011 +001000110011 +001000100011 +001100110100 +001100110100 +001100100010 +000100010000 +001000100011 +000100100011 +000100010010 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010000110001 +101001100010 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +010100110000 +010000110000 +011001000001 +011001000001 +001100100000 +010000100000 +011001000001 +010000100000 +001100010000 +001100100000 +010000100000 +010100110000 +010000100000 +001100010000 +001100100000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110001 +010100110000 +010100110000 +011000110001 +011000110001 +010100110000 +010100100000 +010100110000 +010100110000 +010000100000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000000000 +000000000000 +000000100100 +000001001000 +000001000111 +000000110101 +000001000111 +000001000111 +000000110110 +000001000110 +000001010111 +000000110110 +000001101001 +000001111010 +001001101000 +000100100011 +001101000100 +001101000100 +001101000100 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100110010 +010001000011 +011001100110 +011001110111 +011101110111 +011101111000 +011101110111 +011101100101 +011001010011 +011101010100 +011101010100 +011001000011 +011001000010 +011000110010 +010100110010 +001100010001 +000100000000 +010000100001 +010100110011 +010101000100 +011001010101 +011001010100 +010001000011 +010101010100 +011001010101 +011101110111 +011110001000 +100010001001 +011110001001 +011110001000 +011101110111 +011101110111 +011101110110 +011101100101 +100010000111 +100010001001 +100010001000 +100010000111 +100010001000 +100010000111 +011110000111 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001000 +100001110111 +100001110110 +011101110110 +011101100101 +011101100101 +100001100101 +100001100101 +011101100101 +011001000011 +011001000010 +011001000010 +011001000010 +011101000011 +011001000011 +011101100101 +011101100101 +011001010100 +011001100101 +100010001000 +100010001000 +011101010101 +100001110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100110011001 +100010001000 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100001110111 +100010000111 +100001110110 +100010001000 +100010001000 +100001110111 +100001110111 +100010001000 +100010011001 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +100110011010 +100110011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011001 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100010011010 +100010011010 +100010011001 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010000111 +011101100101 +100001110110 +011110001001 +100010001001 +100001110110 +100001110110 +100001110110 +100010001000 +100001110110 +011101100100 +011101100100 +011101100101 +011101100110 +011101110110 +100010000111 +100001110111 +100001110110 +100001110110 +100001110111 +100001110110 +011101100101 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100110011001 +100010001000 +100010001000 +011001100110 +010101010101 +010101010100 +011001100101 +011101110111 +011001100110 +011001100110 +011101110111 +011001110110 +010101000011 +010000110010 +010101000100 +010101010100 +011001100101 +100010000111 +100001110111 +011001010100 +011001010011 +011001000011 +010101000010 +011001000011 +010101000010 +010100110010 +010100110010 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100100001 +001100100010 +001100100010 +001000100001 +001000010001 +001000010001 +001100100010 +010000110011 +011001010100 +010101000011 +011001010011 +100001100100 +100101110101 +101010000101 +101010000101 +101110010110 +100001100100 +001100100001 +001100110011 +001101000100 +000100100010 +001100110100 +001100110100 +001000100011 +000100000000 +000100010000 +001000010000 +001000010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +001000100011 +001100110100 +000000010001 +000000000000 +001000100010 +001000100010 +000100010001 +000100010000 +000100000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000010001 +001000100010 +001000100011 +001100110011 +001000100010 +001000100001 +000100010000 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +011001000010 +100101010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110000 +010100110001 +011001000010 +011101010010 +011101010001 +010000110000 +011000110000 +100101010010 +011000110001 +001000010000 +001000010000 +001100100000 +010000110000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110001 +010100110000 +010100110001 +010100110000 +010100110000 +010100110000 +010100110000 +010000110000 +010000100000 +001100010000 +001000010000 +000100000000 +000000000000 +000000000000 +000000100101 +000001000111 +000000110110 +000000110110 +000001010111 +000000110110 +000000110110 +000001000111 +000001000111 +000000110110 +000001101001 +000101111010 +000101000110 +000100100010 +001101000100 +001101000100 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100010 +001100110100 +001101000100 +010001010101 +010001010110 +010101100110 +011001110111 +011101100110 +011001100101 +011101100101 +011101100101 +011001010011 +011001000010 +010100100001 +010100100001 +010000100001 +001100010000 +001100010000 +010000100001 +001100100001 +011101010100 +011001000011 +000100000000 +001000010000 +010000100010 +010101000011 +010101000011 +011001100110 +011110001000 +011110001001 +011110001000 +011110001000 +011101110111 +100010000111 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010011001 +100010011001 +100001110111 +011101100101 +100001110101 +100001110111 +100010001000 +011101100101 +011101010011 +011101010100 +011001000010 +011000110010 +011001000010 +011001000010 +011001010011 +011001010100 +011101110110 +011101110111 +011101110110 +100001110110 +100001110110 +100010001000 +100001110111 +100010001000 +100010001001 +100010001000 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010011001 +100010001000 +100010001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +011101110111 +100010001000 +100010001000 +100010011001 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100110011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011000 +100010001000 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100001110111 +100010011001 +100010011010 +100010011001 +100010011001 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010001000 +100001110111 +011101110110 +100010001001 +100010001000 +100001110111 +100001110110 +100001110110 +100010001000 +100010001000 +100001110110 +100001100101 +011101100101 +011101110110 +011101110110 +100010001000 +100001110110 +011101100101 +011101110101 +100001110110 +011101100101 +011101100101 +011101100101 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010011001 +100010011001 +011101110110 +011101100110 +100001110111 +100010000111 +010101010101 +001100100010 +010101000100 +010101000100 +010101010101 +011001100101 +010101000100 +010101000011 +010001000011 +011001100101 +011101110110 +100010000111 +100010001000 +100001110110 +011101100101 +100001110101 +011001010100 +010101000011 +010000110010 +010100110010 +010101000011 +010000110011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000010 +010000110010 +010000110010 +010101000011 +010101000011 +001100100010 +001000100001 +001000010001 +001000100010 +010000110011 +001100100010 +001000010001 +001100100010 +001100100010 +010101000100 +011001010101 +011101100110 +100001110101 +011001010011 +001000010001 +001000100010 +001101000100 +000100100010 +001100110100 +001100110100 +001100110011 +001000010001 +000100010001 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010000 +001000010000 +000100000000 +000100000000 +000000000000 +000100010001 +000100010001 +000000000000 +000100010001 +001000100010 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +001000010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +100101100011 +100001000000 +011101000001 +011101000001 +011001000001 +011001000001 +010100110000 +010000110000 +010101000001 +100001010001 +100101100010 +101110000001 +011101010001 +011101000001 +101001100010 +100001010001 +001100100000 +001000010000 +001100100000 +010100110000 +010100110000 +010000100000 +001100100000 +001100100000 +010000100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000000001 +000000110101 +000000110110 +000000110101 +000000110110 +000001000110 +000000110101 +000001000110 +000001000111 +000000110101 +000001000110 +000001101010 +000101101001 +000000110100 +001000110011 +001101000100 +001101000100 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100010 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001000101 +010101010100 +010101010100 +011001100101 +011001010100 +011001000011 +010100110001 +010000100001 +010000100001 +010000100001 +010000100001 +010000100001 +010100100001 +010000100010 +010100110010 +010100110010 +001000010000 +001100100001 +001100100001 +001000010000 +001000010001 +001000010001 +010001000011 +011001010101 +011101110111 +011101100101 +011101100101 +100001110110 +100001110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010011001 +011110001000 +100010001001 +100010001000 +011101110110 +011101110111 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100001110111 +011101100101 +011101110110 +100010001000 +011001010011 +011001000011 +100001110110 +011101010100 +011001000010 +011001000010 +011001000010 +011001000010 +011001010011 +011101110110 +100001110111 +011101100110 +011101110110 +100010000111 +100010000111 +100001110111 +100010001000 +100010001001 +100010011001 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100110011001 +100010011001 +100010001000 +100010000111 +100001110111 +100010000111 +100010000111 +011101100110 +100001110111 +100010011001 +100110011001 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100110011010 +100010011001 +100010011010 +100010001001 +100010001001 +100010011001 +100010011001 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100001110111 +100010000111 +100010001000 +100001110111 +100001110111 +100010000111 +100001110101 +100001110110 +011101100101 +011101100101 +011101100110 +100010001000 +011101110110 +100001100101 +100001110101 +100001110110 +100001110111 +100001100101 +011101100100 +100010000111 +100001110111 +100001110110 +100010001000 +100010001000 +100010011001 +100010001000 +100001110111 +011101100101 +011101100101 +100001110111 +011101100110 +001100100010 +001100110010 +001000100001 +011101100110 +100001110111 +011101100101 +010000110010 +010001000011 +010101010100 +011001100101 +011101110110 +100010000111 +011101110111 +011001010101 +011001100101 +100001110110 +011101100101 +010000110010 +010000110010 +010101000011 +010000110010 +010101000011 +010100110010 +010000100001 +011001000011 +011001010011 +011001000011 +011001000011 +011101010100 +100001110110 +011101100110 +010101010100 +010000110011 +001100110010 +010000110011 +001100100001 +001100100001 +001100100001 +001000100001 +001100110011 +001100110011 +010001000101 +010101010101 +010101000100 +010000110011 +001000100010 +001100110011 +000100010010 +000100010001 +000100010001 +001000100010 +000100010001 +000100000000 +001000010001 +001000010001 +001000100001 +001100100010 +010000110010 +010000110010 +001100100001 +001100100001 +001000100001 +000100010001 +000000000000 +000000000000 +000100010001 +001100110011 +001000100010 +000100010001 +001000010001 +000100010000 +000100010000 +000100010001 +001000010001 +000100010000 +001000010001 +001000010001 +001000010001 +001100100010 +001100110010 +001100100001 +001000010001 +001100100001 +001000010001 +001000010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010000100001 +100101100010 +011101000000 +011101000001 +011001000001 +011001000000 +011001000001 +010100110001 +010100110001 +011101000001 +101101110001 +110110100001 +111010110001 +101110000001 +100001000001 +101001100010 +100101100010 +010000110000 +001000100000 +010000110000 +011001000001 +011101000001 +010100100000 +001100100000 +001100010000 +001000010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100100000 +001000010000 +000000000000 +000000010001 +000000010011 +000000100011 +000000100100 +000000110110 +000000110101 +000000110101 +000001000111 +000001000110 +000000100100 +000001000111 +000001101001 +000101101001 +000000010010 +001000110011 +001101000011 +001101000100 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010100 +010101000011 +010000110001 +010000100001 +010000100001 +010000100001 +010000100001 +010000100001 +010000100001 +010100100010 +010100100001 +010100110010 +001100100001 +010000100001 +010000100001 +010000100001 +010000100001 +001100010001 +011101010101 +011101110111 +100010001000 +011001010100 +010000100001 +010100110010 +011101100100 +100001110101 +011101110110 +100010001000 +100010001001 +100010001001 +011110001001 +011110001001 +011110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010000111 +011110001000 +011101110111 +011101110111 +100010001001 +100010011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010001000 +100010001001 +100010011001 +100001110111 +011001010100 +011101100110 +100001110111 +011101100100 +011001010011 +011101010100 +011001000010 +011001000011 +011101110110 +011101100110 +011101010100 +011101100101 +011101110111 +100001110111 +100001110111 +100001110111 +100010001000 +100010011001 +100010001000 +100010001000 +100110011001 +100010001001 +100010000111 +100010000111 +100001110111 +100001110110 +100001110110 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010011000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100001110111 +100010000111 +100010001000 +011101100110 +100001110111 +100010000111 +100010011001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100110011010 +100110011010 +100010011010 +100110101011 +100010011010 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010001000 +100010001001 +100010011001 +100010000111 +100010000111 +100010001000 +100010000111 +100001110110 +100010000111 +100010000111 +100001100110 +011101100110 +011001100101 +011101100110 +100010001000 +100001110111 +100001110110 +100001110101 +100010000111 +100010001000 +100001110110 +011101100101 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +100010001000 +100001110111 +100001110111 +011101100110 +011101110110 +011101100101 +011101100101 +011101110110 +011101110111 +010101010101 +011110001000 +100010001001 +100010001000 +011001010101 +011101100110 +100010000111 +011001010101 +011101100110 +100001110111 +011001100101 +001100100010 +001000010001 +011001010101 +011101100110 +011101100101 +010101000011 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010000100001 +010100110010 +011001010011 +011001010011 +011101010100 +011101100101 +100010001000 +011101110111 +011101100110 +011001010101 +011001010100 +010101000011 +010000110010 +010101000011 +010000110010 +010000110011 +001000100001 +001000010001 +001100110011 +010001000100 +010000110011 +001100100010 +001000110011 +000100100010 +000000000000 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +000100010001 +001000010000 +001100100001 +010000100010 +010000110010 +010000110010 +001100100001 +001100100001 +001100100001 +000100000000 +000000000000 +001000100010 +001000100011 +001000100011 +000100010000 +000100010000 +001000010001 +001000010001 +001100100010 +001100100010 +001000100001 +001100100001 +001000010000 +001000100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000010001 +001100100010 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +001000100010 +001000100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +011101000010 +100001010001 +011001000001 +011001000001 +011001000001 +011101000001 +011001000001 +011001000001 +011101000001 +101001100010 +110110010001 +111111000000 +111110110001 +111010100001 +100001010001 +101001100010 +101001110010 +010101000001 +001100100001 +001100100000 +011001000001 +100001000001 +011001000001 +010000100000 +001000010000 +001000000000 +001000010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100110000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +001100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000100100 +000000110101 +000000100100 +000000110110 +000001000111 +000000110101 +000000010100 +000001010111 +000001101001 +000101011000 +000000010001 +001000110100 +001101000011 +001101000100 +001101000100 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001100110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010100 +010001000100 +010000110010 +010000110010 +010000110001 +010000100000 +010000100001 +010000100001 +010000100001 +010000100001 +010000100001 +010100110001 +010101000010 +010100110010 +011000110010 +010000100001 +011001010100 +100010000111 +100001110111 +011001000011 +001000000000 +001000010000 +011001000011 +011001000011 +010001000010 +011001110110 +011110001000 +100010001000 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +100010001001 +011110001001 +011110001001 +100010011001 +100010001001 +011110001000 +100010001000 +100010001001 +100010011010 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100010001000 +011101100101 +100001110111 +011101100101 +011001010011 +100001110111 +100001110111 +011001010011 +011101100101 +100010000111 +100001110111 +100001110110 +011101110110 +100010001000 +100010011001 +100110011001 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010000111 +100001110110 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011001 +100010011001 +100010001001 +100110011001 +100010001000 +100010001000 +100010000111 +100001110111 +011101110110 +100010000111 +100010001000 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100110101011 +100010011010 +100010011001 +100010011010 +100010011001 +100010011010 +100010011001 +100110011010 +100110011011 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100010000111 +100001110110 +011101100101 +011001100101 +011001100101 +100010001000 +100010000111 +100001110111 +100001110110 +100001110110 +100010000111 +100001110110 +100001110110 +100001110101 +100001110110 +100001110110 +011101110110 +100001110110 +100010000111 +100010000111 +100010000111 +011101110111 +011101110111 +011101110110 +011101100100 +011101100110 +100001110111 +100001110110 +100001110111 +100010001000 +011101110110 +011101100110 +011101110111 +011110001000 +011101110111 +100010000111 +100010001000 +100010001000 +011101110111 +011001010101 +011101100101 +011001010100 +011101100101 +011001010100 +011001010100 +010101000011 +010000110010 +011001010100 +011101100110 +010101000100 +010101000011 +011001010100 +011101100100 +011001000011 +010101000010 +011101110111 +011101110111 +011101110111 +011001100110 +011001100101 +011001100101 +010101010100 +010101000011 +010000110010 +010000110011 +010000110010 +010000110010 +001100100001 +001100100001 +001100110010 +001100100001 +001000100010 +001000110011 +000000000001 +000000000000 +000000000000 +001100110011 +010101010110 +010101010101 +010001000100 +010001000100 +001100100010 +001000010001 +001000010000 +001000010000 +001000100001 +001100100001 +001100100001 +001000010000 +000100010001 +001000100010 +001000100010 +000100010001 +000100010000 +000100010000 +000100010000 +001000010000 +001000100001 +001000010001 +001000010001 +001000010001 +001000010000 +001000100001 +001100100010 +001100100010 +001100100001 +001000010001 +001000010001 +001100100010 +010000110011 +010101000011 +011101010101 +011001010101 +011001010100 +010101000100 +011001010101 +011001010101 +010101010100 +010101000100 +010000110011 +001100100010 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +100001010010 +100001000001 +011101010001 +011101010010 +011001000001 +011101000001 +011000110000 +011000110000 +100101010001 +111010010010 +110110000001 +111010110000 +111110110001 +111010110001 +100101100001 +100101100001 +101101110010 +011001000001 +001100110001 +010000110001 +010000100000 +011101000001 +100001010001 +011001000001 +001100010000 +001100010000 +001100010000 +001000000000 +001000010000 +001100100000 +001100100000 +010000100000 +010000100000 +010000110000 +010100110000 +010000110000 +010000100000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +000100000000 +000000000000 +000000000000 +000000000000 +000000100011 +000000110100 +000000100011 +000000110110 +000000110110 +000000100011 +000000100100 +000001000111 +000101101001 +000101000110 +000100010001 +001001000100 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001100110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010110 +010101100110 +010101100110 +010101010101 +010101000100 +010000110010 +010000100001 +001100100000 +010000100000 +010100100001 +010000100001 +010000100001 +011001000011 +010100110010 +010000100001 +010000100001 +010100110001 +011101010100 +011101010011 +011000110010 +010000100001 +010000100001 +011001000010 +010101000010 +010100110010 +010101010100 +011001010100 +010101000011 +011001100101 +011101110110 +100010000111 +100010011001 +100010001001 +100010011001 +011110011010 +011110001001 +011110011001 +011110011001 +011110001001 +011110001000 +011110001000 +100010011001 +011110011001 +100010011001 +100010001001 +100010001001 +011110001001 +011110011001 +011110001001 +100010001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +011110001000 +011101110111 +011110000111 +011101110111 +011101100101 +011101110111 +100010001000 +011101110111 +100010001000 +100010001000 +100010000111 +100001110111 +011101110111 +100010001000 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +011001100101 +011101110110 +011001000011 +011001000010 +011101100110 +100010001000 +100010001001 +100010011001 +100010001001 +100010001000 +100010011001 +100010001001 +100010001000 +100010001001 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010001001 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100110011010 +100110101011 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011001 +100010001001 +100010001001 +100110011001 +100110001000 +100001110110 +100001110110 +100010000111 +100001110110 +011101100110 +011001100101 +011101100101 +100001110110 +100001110110 +100001110111 +100001110110 +100001100101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001110110 +011101110110 +011101110110 +011101110111 +100001110111 +011101110111 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110110 +011101100101 +100001110111 +011101110111 +011101110111 +011101100110 +011101100101 +011101110111 +100010001000 +100010001000 +011001100110 +001000100010 +001000100001 +011001100110 +010101000100 +001100110010 +001100100001 +001100100001 +010101000100 +011101100110 +011101110110 +011101100101 +011001010100 +011101100101 +010000110010 +010001000011 +011101110110 +011101110111 +011101110111 +011001010101 +011101100101 +011101100101 +011101100101 +011001010100 +010100110010 +010100110010 +010000110001 +010000100001 +001100100001 +001000100001 +001100100001 +001100110010 +001000100010 +001000100010 +000100100010 +000000000000 +000000000000 +000100010000 +001100110011 +010000110011 +001100100010 +001100110010 +010000110011 +010101000011 +010000110011 +010001000100 +010001000100 +010001000011 +001100110010 +001000010001 +001000100010 +001100110100 +000100010001 +000100010000 +001000100001 +001000010001 +001000010001 +001000010001 +000100010000 +001100100010 +001100100010 +001000010001 +001100100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100001 +001100100010 +001100100010 +010000110010 +010000110011 +010101000011 +010101000100 +010101000100 +011001010101 +011101100101 +011101100101 +011001010100 +011101100101 +100110000110 +100101110101 +011101100101 +011001010100 +010101000100 +010000110011 +001000100001 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100100001 +100101100010 +011101000001 +011101100100 +100001100101 +011001000001 +011000110000 +010100110000 +011101000001 +110110010001 +111110110000 +111010010001 +111010100001 +111010100001 +111010100001 +101010000001 +100001010001 +101001110010 +011101010010 +010000110001 +011001000010 +010100110001 +010100110001 +100001000001 +100001010001 +010100110000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000110000 +010000100000 +010000100000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001000010000 +000000000000 +000000000000 +000000000001 +000000010011 +000000010010 +000000100011 +000000110110 +000000110101 +000000010011 +000000100100 +000001000110 +000101011000 +000100100011 +000100100010 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010101 +010001000011 +010000110010 +010000100001 +010000100001 +010000100001 +010100110001 +010000100001 +010000100001 +010000100001 +010000100001 +010100110001 +011001000001 +011001000001 +010100110001 +010100110001 +011000110001 +010100110001 +010100110001 +011101010011 +011001000010 +001000010000 +010101000011 +011001000011 +010101010100 +011110001000 +100010001000 +100010001001 +100010011001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100001110111 +100001110111 +100001110111 +100001110111 +100010001000 +011101100110 +011101010100 +011101010011 +011001000011 +011101100110 +100010001000 +100010001001 +100010011001 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100110011010 +100110011010 +100010011010 +100010101011 +100010011010 +100110101010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001000 +100010001001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100110001000 +100010000111 +100010000111 +100001110110 +011101100110 +011001100101 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001110101 +100010000110 +100010000111 +100001110101 +100001110110 +100001110110 +100001110110 +100001100101 +100110000111 +100001110110 +011101100101 +011101100101 +011101100110 +011101110110 +100001110110 +011101110110 +011101110110 +100001110110 +011101100101 +011101100101 +100001110101 +011101100101 +010101010100 +011101100101 +011001010100 +011001000011 +011101100101 +100010000111 +100010001000 +011101110111 +011001100110 +011001100101 +011101110111 +100010001000 +100010001000 +100001110111 +010101000100 +001000010001 +010000110011 +010001000011 +011001010100 +011001010100 +010101010100 +011001010100 +011001100101 +011101110101 +011101110110 +011101110110 +011101010100 +011001010011 +011001010100 +011001000011 +011001010011 +011001000010 +011001000010 +011001000010 +010100110010 +010101000011 +010001000011 +001100100001 +001000010000 +001000100010 +000100100010 +001000100011 +001000010001 +001000010000 +001100100001 +010000100001 +010000100001 +010000110010 +001100100001 +001100100001 +001100100001 +010000110010 +001100110010 +001100100010 +010000110011 +010001000011 +010101010101 +010001000100 +001000100010 +000100010000 +000100010001 +001000010001 +000100010000 +000100010000 +001000010001 +000100000000 +001000010001 +001100100001 +001000010001 +001000010000 +001100100001 +010000110010 +010000110010 +001100100010 +001100100010 +010101000011 +010101000100 +010000110011 +010000110011 +010101000011 +010000110011 +010101000100 +010101010100 +011001010101 +011101100101 +011101010101 +011101100110 +100110000110 +101010000111 +101010000110 +100101110101 +100001110101 +100001100101 +011101100101 +011101100101 +011001010100 +010101000100 +001100110010 +001000100001 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010100110001 +011101000001 +010100110010 +100001111000 +011101100110 +010000100001 +010000100000 +011000110001 +100001010010 +111010100010 +111010110001 +111010110000 +111010100001 +110010010010 +101110010010 +100001100010 +010101000001 +100001100001 +100001100010 +010100110010 +011101010001 +011101010001 +010000100001 +010100110001 +100001010001 +011101000001 +010100100000 +001100010000 +001100010000 +001100100000 +001100100000 +001100010000 +001100010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100010000 +001100100000 +010000100000 +010100110000 +010100100000 +010000100000 +010000100000 +010000100000 +010000100000 +001000010000 +000000000000 +000000000000 +000000000001 +000100010100 +000000000010 +000000010010 +000000100100 +000000100100 +000000010011 +000000100101 +000100110110 +000101010111 +000000010001 +001000110011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010001010101 +010001000100 +001100110011 +001100100010 +010000110010 +010000100001 +001100100001 +010000110001 +010000100001 +010000100001 +010100110001 +011000110001 +011000110001 +010100100001 +010100110001 +010100110001 +011000110001 +010100110001 +010100110001 +010100110010 +010100110001 +011101010100 +010000110001 +010100110011 +100010001000 +100010001000 +011101110111 +100001110111 +011101110111 +011101110111 +011110001000 +100010011010 +100010001001 +011110001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100001111000 +100001110111 +100010001000 +100010001000 +100001110111 +100001110111 +100010001000 +100010001000 +011101100110 +011101100101 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100010000111 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100110011011 +100010011010 +100110011010 +100110101010 +100110011010 +100110011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010001000 +100001110110 +100010000111 +100010000111 +100001110111 +011101110110 +011101110111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110101 +100001110110 +100001110101 +100001110110 +100001110101 +100001100101 +011101100101 +100101110111 +100001110111 +100001110110 +100010000110 +100010000111 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +011001010100 +010101000011 +011101100101 +011101100101 +100001100101 +011001010011 +011001010100 +011001010100 +011101100101 +011101010100 +011001000010 +011101100100 +100010000111 +100010001000 +011101100110 +011101100101 +011101100110 +010101000100 +011101010100 +100001110110 +100001110111 +011101100110 +010000110011 +011001100110 +100001110111 +100001110111 +011101110110 +010001000011 +010000110010 +010101010100 +010001000010 +010101000011 +011001100101 +100001110110 +011101100110 +011101100110 +011001010100 +010101000010 +010101000010 +011001000010 +010100110010 +010100110010 +010101000011 +011101100101 +011001010100 +010100110010 +001100100010 +001000100011 +001000110100 +001000100010 +001100100001 +001100100001 +010000110010 +010100110010 +010100110010 +010101000011 +010001000011 +010000110010 +010000110010 +001100100001 +001100100010 +001000100001 +001000010001 +010000110011 +001100110011 +000000000000 +000100010001 +001100110010 +010001000100 +010001000100 +001100110011 +001000100010 +001100100001 +001100100010 +010000110011 +010000110010 +010000110010 +001100100010 +010000110011 +010100110011 +010000110010 +010000110010 +010101000011 +011001010101 +010000110011 +010000110011 +011001000100 +011001010101 +011101100101 +011101100110 +100001110111 +100001110110 +011101100110 +011001010101 +011101100101 +101010000110 +101010000110 +101010000110 +100101110101 +100101110110 +100001110101 +100101110110 +100001110101 +100101110110 +101010010111 +100101110110 +011101100101 +011001010100 +011001010100 +010000110011 +001000100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100110010 +010001000011 +011001010101 +010001000011 +000100010001 +011101010011 +011001000011 +011101100110 +011101111000 +010000110010 +001100100001 +010000110000 +011001000001 +010000110001 +100001100001 +110010010010 +110110010001 +110110010001 +101001110001 +011001010001 +001100110001 +001000100001 +011101100010 +011101010001 +010101000001 +100001010001 +100101100010 +011101000001 +010000100000 +011101000001 +100001010001 +011000110001 +010000100000 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001000010000 +000100000000 +001100010000 +010000100000 +010000100000 +010100100000 +010100100000 +010100110000 +010100110000 +010000100000 +001000010000 +000000000000 +000000000000 +000000000001 +000000100100 +000000000010 +000000010010 +000000010011 +000100100101 +000000000011 +000100110101 +000100110111 +000000110101 +000000010001 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010001010110 +001101000100 +001100110011 +001000110011 +001000110011 +001000100010 +001100110010 +010000110011 +010000110010 +010000110001 +010100110001 +011001000001 +011000110001 +010000100000 +010000100001 +010000100000 +010100110001 +010100110001 +010100100001 +011000110010 +011000110010 +011101010011 +010100110010 +011001000011 +100010001000 +011101110111 +001100100010 +010000110011 +100001110110 +100001110110 +011101100110 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110001001 +100010001001 +011110001000 +100010001001 +100010011010 +100010011001 +100010011001 +100010001001 +100010001001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010001001 +100010011001 +100010001000 +100010001000 +100010001001 +100010001000 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +100001110111 +100010001000 +100001110111 +100001110111 +100010001000 +100010011001 +100010001000 +100001110111 +100001110111 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +011110001000 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +011110001000 +100010000111 +100001110111 +100010000111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001110110 +100001110110 +100101110110 +100101110111 +100010000111 +100010001000 +100010001000 +100010001000 +100001110111 +011101110110 +100001110110 +100010000111 +011101100101 +010101000011 +011101110110 +100001110110 +010101000010 +001100100001 +010101000011 +010101010100 +011001010100 +011001010011 +010100110010 +010100110010 +011001010100 +100001110110 +011101100101 +011001010100 +011101100101 +011101100101 +010101000011 +010000110010 +011001010100 +011101110110 +100010001000 +100010000111 +100001110111 +100001110111 +100010001000 +011101110111 +100001110111 +100010001000 +011001100110 +010000110011 +010001000011 +011001100101 +011001100110 +011101100110 +011101100101 +011101100100 +011001000011 +001100100001 +010000100001 +010000100000 +010000100001 +011101100101 +011101100110 +011001010100 +010101000100 +001100110011 +001000100011 +001000100010 +010001000011 +001100110001 +010000110010 +011001000011 +010101000011 +011001010100 +011001010100 +011101010100 +011101100100 +011001010011 +010101000010 +010000110010 +001100100001 +001100100010 +000100010001 +000000000000 +000100010000 +000100010000 +001100100010 +010101000100 +010101010101 +010001000100 +010101010101 +010001000101 +010101010101 +011001010101 +011001010101 +010101000011 +010000110010 +010101000011 +011001010100 +010001000011 +010000110010 +010000110011 +010101000011 +010000110011 +010101000100 +011001010101 +100001110110 +011101100110 +011001010101 +011101100101 +011101100110 +011001010100 +011101100101 +100001110101 +101010000110 +100101110101 +100001100101 +100101110101 +100110000110 +100101110101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100101 +100101110101 +100101110110 +100001110101 +100001110101 +011101100101 +011101100100 +010101000011 +001100110010 +001000010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010101000011 +100110000110 +011101010101 +010101000100 +011001010101 +010101000011 +011101100110 +100110000111 +100001110111 +010101010101 +010101000101 +010001000100 +010101000100 +010100110001 +010000110000 +001000010001 +001100110010 +011101100011 +011101100010 +011101100001 +011001010001 +001000100001 +000000010001 +000000010001 +010001000010 +011101100011 +011001010010 +100101100010 +100101100010 +100101010001 +011001000001 +010000100000 +011001000001 +011000110000 +011101000001 +010000100000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100100000 +001100100000 +001100100000 +001100100000 +001000010000 +000100000000 +000100000000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110000 +011000110000 +011000110001 +010000100000 +001100010000 +000000000000 +000000000000 +000000000001 +000000010100 +000000000010 +000000010010 +000000010100 +000100010100 +000000000010 +000100110110 +000101000111 +000000010011 +000100100010 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010001010110 +001101000101 +001100110100 +001100110011 +001000110011 +001000110011 +001000110100 +010001010101 +010101100110 +010101010101 +010101010100 +010101000011 +011001000010 +010100110001 +001100100001 +001100010000 +010000100001 +010100100001 +010100110001 +010100110001 +011000110010 +010100110001 +011101000011 +011101010011 +100001110110 +100010001000 +001100100010 +001100100001 +100001100101 +100001110110 +011001010100 +100010000111 +011101110110 +010101010100 +011101100110 +011101110110 +011101110110 +011110001000 +100010001001 +100010001001 +011110001001 +100010011001 +100010001001 +011110001001 +100010011001 +100010011001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001111000 +100010001000 +100010000111 +100001110111 +100010001000 +100010001001 +100010011001 +100010011001 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001001 +100010001000 +100001110111 +100010000111 +100010001000 +100010000111 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +011110001000 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010011001 +100010001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100110011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100110000111 +100001110110 +100010000111 +100001110111 +100010001000 +100010000111 +011101100100 +011101100101 +100001110110 +100010001000 +100010001000 +100010001000 +100110001001 +011101110111 +011101110110 +100010001000 +100010001000 +011101110111 +100010001000 +100010000111 +011001010100 +011001010011 +011101100101 +011101100101 +011001010100 +011001000011 +011101010100 +011101010100 +011001010100 +011001010100 +011101100101 +011101010100 +011101100101 +011001010100 +011101010100 +011101010100 +011001000011 +010101000011 +011001010100 +011001000011 +011001010100 +100001110110 +011101110110 +011001100101 +011101100101 +011110000111 +100001110111 +011101100101 +100010001000 +100010001000 +011101110111 +100001110111 +011001100101 +011001010100 +011001010100 +010101000011 +010000100001 +010000110001 +010000100001 +011001100101 +011101100110 +011101100110 +100001110111 +010001000100 +000100010001 +010000110011 +010101000011 +010000110010 +010000110010 +010100110010 +010000110001 +010000110010 +011101100101 +011101010100 +011101010100 +011101010011 +011101010011 +011101100100 +011001000011 +010100110010 +001000010001 +000100000000 +001100110010 +001100100010 +001000010000 +001100100010 +010000110010 +010000110010 +001100110010 +001000100001 +001100110011 +010001000011 +010000110011 +010000110011 +010101000011 +011001010100 +011001010101 +011001010101 +010101000100 +010000110011 +010000110011 +010101000011 +010101000100 +011101100101 +011101010101 +011001010101 +010101000100 +011101100101 +011101100101 +100001110110 +011101100101 +011001010100 +100001110101 +100101110101 +100001110101 +100101110101 +100110000110 +101110011000 +101010011000 +101010000111 +100101110110 +100101110101 +100101110101 +100101110101 +100101110101 +100001100101 +100101110101 +100001100100 +100001100101 +100101110101 +100001100100 +100001100101 +011101100100 +011001010100 +010101000011 +001100110010 +001000010001 +000100010000 +011101100101 +100110000110 +100001110101 +011001010101 +011101100110 +011101110110 +100110000111 +100001110110 +011101100110 +010101010101 +001100110100 +001100110100 +010001000101 +001100110011 +001000010000 +000100010000 +000100010001 +001100110011 +001101000011 +001101000011 +001000100001 +000100100001 +000000010001 +000000010001 +000000010001 +000100100001 +010001000011 +010001000011 +011101010010 +100101100010 +100101100010 +100001010001 +010101000001 +010000100000 +010100110001 +100101100010 +011101010001 +010100110000 +010000100000 +001100010000 +001000010000 +001000010000 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000000000 +000100000000 +000100000000 +001100100000 +010000100000 +010100100000 +010100110000 +010100110000 +011000110000 +010100110000 +010000100000 +001100010000 +000100000000 +000000000000 +000000000000 +000000100011 +000000000010 +000000010010 +000100100100 +000100010011 +000000010010 +000100110110 +000101000110 +000000010010 +001000100010 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001000110011 +001101000100 +001100110011 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010101100110 +010001010110 +001101000101 +001100110100 +001000110011 +001000110011 +001000110011 +001000110011 +010001010101 +010001100110 +010101100110 +010101100110 +010101100110 +010101100101 +010101010100 +010000110011 +001100100001 +010000100001 +001100100001 +010000100001 +010000100001 +010100110010 +010100110001 +011101000010 +011101100011 +100001110110 +100010001000 +011001010100 +011001000010 +100001100101 +100001110110 +100001110110 +100110001000 +010101000100 +001000010001 +011101100110 +100010000111 +011101100101 +011101100101 +011110001000 +100010001000 +100010001001 +100010001001 +100010001001 +011110001001 +100010001001 +100010011001 +011110001001 +100010011001 +011110001001 +011110001000 +011110001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100010001000 +100010001001 +100010001001 +100010001001 +100010011001 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010011001 +100010000111 +100001110110 +100010001000 +100010001000 +011110001000 +100010011001 +100010001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001001 +100010011001 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100001110111 +100010001000 +100110001000 +100010011001 +100110011000 +100110000111 +100001110111 +100001110111 +100110001000 +100010001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100010001000 +100010011001 +100010001000 +011101100101 +011001000011 +011101100101 +011101100101 +011001010100 +011001010100 +011101100101 +100101110111 +100001110111 +100001110110 +011101100101 +011001000011 +010101000010 +011001010100 +011101010100 +011101010100 +011001000011 +010100110010 +010100110010 +011001000010 +011001000011 +011001010011 +011001010100 +011001010100 +011101110110 +100001110111 +011101100101 +011001010100 +011110001000 +100010001001 +011001110111 +100010001000 +100010001001 +100010001000 +100110001000 +100001110111 +010101010100 +010000110010 +001100100001 +011001010100 +011001100110 +011001100101 +011101100101 +010101000100 +000000000000 +001000100010 +010101010100 +010000110011 +010100110011 +010101000011 +011001000011 +010101010100 +011001100101 +011001010101 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001000011 +001100100001 +000000000000 +010101010100 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000100010 +001100100010 +001100100001 +001000010001 +000100000000 +000100010000 +001000010001 +001000010001 +001100100010 +001100110011 +001100110010 +010000110011 +010101000100 +010101010100 +011001010101 +010000110011 +010101000100 +010000110011 +010101000100 +011101100110 +100001110110 +011101100110 +011101100110 +011001000100 +100001100101 +101010000111 +101010000111 +100101110101 +100110000110 +101010010111 +101110101000 +101110101000 +101010000110 +100101110110 +100101110101 +100101110101 +100001100101 +100101110101 +100101110110 +100001100101 +100001100100 +100001100100 +100001100101 +100101110101 +100101110101 +100001100101 +100101110101 +100101110110 +100001110110 +011101100100 +100101110101 +100001110110 +001100110100 +001000110011 +010001000100 +010101010101 +010001000101 +001100110011 +001100110100 +001100110100 +001000100011 +000100010010 +000100010010 +001000100010 +000000000001 +000000000000 +000000000000 +001000110010 +001101000100 +001001000011 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000000100010 +001000110011 +001101000100 +010001000010 +011101000001 +100001100001 +100101100010 +100001010001 +010100110001 +010100110001 +100001100010 +100001100010 +011001000010 +011000110001 +011000110001 +010100110001 +001100010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000000000 +001000000000 +001000000000 +001000000000 +001100100000 +010000100000 +010000100000 +010100100000 +010100110000 +010100110000 +010100100000 +010000100000 +001100100000 +000100000000 +000000000000 +000000000000 +000000010011 +000000000001 +000000010010 +000100100101 +000000010010 +000100010011 +000100110111 +000100110110 +000100010001 +000100110011 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001000110011 +001100110100 +001000110100 +001000110100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001010110 +001101010101 +001001000100 +001000110011 +001000110011 +001000110011 +001000110100 +001101010101 +010001100110 +010101100110 +010001100110 +010101100110 +010101100111 +010101100111 +010101100110 +010101010101 +010001000100 +001100110011 +001100100001 +001100010000 +010000100001 +011000110001 +011101000010 +011101010011 +100001100100 +011101100101 +011001010100 +011001010011 +100001110101 +100001110110 +100001110110 +100001110110 +010101000011 +010000110010 +100001110110 +100001110111 +011101100100 +011101010100 +011101110110 +011101110110 +010101000100 +011001010101 +011110001000 +011110001001 +011110001001 +100010001001 +011110001001 +011110001001 +100010001000 +011101111000 +011110001000 +011110001001 +100010001001 +100010001001 +100010011001 +100010001001 +011110001001 +100010001001 +100010001001 +011110001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010011001 +100010001001 +100010001001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100001110111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010000111 +100010000111 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010001001 +100010000111 +100010001000 +100010001000 +100001110110 +100001110110 +100001110110 +100010000111 +100010001000 +100010001000 +100010011001 +100010000111 +100010000111 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100110001000 +100110001000 +100010000111 +100110011000 +100110011001 +100010011001 +100110011000 +100110011000 +100010001000 +100110011001 +100110011001 +100010000111 +100010000111 +100010001001 +100010001000 +100010000111 +100010001000 +100010001000 +100001110111 +011101110110 +100001110110 +100001110110 +100001110110 +011101100101 +011101100100 +011101100101 +011101100101 +011101010100 +100001110110 +011101110110 +011101100100 +010100110010 +010101000010 +011001000011 +011101010011 +011101010011 +011001000011 +010000110010 +011001000011 +011001010011 +011001010011 +011001000010 +010100110010 +010101000011 +011101100101 +100010000111 +011101110110 +011101100101 +011101110111 +011110001000 +100010001000 +011110001000 +100010001000 +011110000111 +011101110111 +100010001000 +011101110111 +011101110110 +011001100101 +100010000111 +100010000111 +011101100110 +011001100101 +010101000100 +000100010001 +000000000000 +001100110011 +010101010101 +011001100101 +010101000011 +010101000011 +011001010100 +010101000100 +011001100110 +011101110111 +011101100110 +011001010100 +011101110110 +011101100101 +011101100101 +010101000011 +001000010001 +010000110010 +011001000011 +011001000010 +010101000011 +010101000011 +010100110010 +010101000011 +010000110010 +010000110010 +001100100001 +001100100010 +001000010001 +001000100001 +001000010001 +000100000000 +000100000000 +000100010001 +001000100001 +001100100010 +001100100010 +010000110011 +001100100010 +010000110011 +011001010101 +011001010101 +010101000100 +011001000100 +011001010100 +011001000100 +011001010100 +011001010101 +100001110110 +101010000111 +101110010111 +101010000110 +100101110110 +100110000110 +100101110110 +100001100101 +100001100100 +100001010100 +011101010100 +100001100100 +100101110110 +100101110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100100 +100001100100 +101110010111 +011001010101 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000000010001 +001000100011 +001000110011 +001000110011 +000100100010 +000000000000 +000000000000 +000100010001 +001101000100 +001100110011 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +001000110011 +001101000100 +010001010100 +010001000010 +011101000001 +100001100001 +101001100010 +011101010001 +011001000001 +100001010010 +011101010010 +010001010011 +010100110001 +011101000001 +011101000001 +011100110001 +010100100000 +010000100000 +010000010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000010000 +001000010000 +001000010000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100110000 +001100100000 +001000000000 +000000000000 +000000000001 +000000010010 +000000000000 +000100010010 +000100010100 +000000000000 +000100100100 +000101000111 +000100110101 +000100100010 +000100110011 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +010000110010 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001000110011 +001100110100 +001000110100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001010101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110100 +001101000101 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101010110 +001100110011 +001100110010 +010000110010 +010100110010 +011001000010 +011101000010 +010100110001 +010100100001 +010000100001 +011101100100 +100001110110 +011101100101 +100001100101 +011001000010 +010100110010 +100001100101 +100010000111 +100001110101 +100001110110 +010101000011 +010000110010 +010000110001 +010101000010 +100010000111 +100010001001 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +011110001000 +100010001000 +011101111000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010001001 +011110001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110110 +100001110110 +100001110111 +100010001000 +100010001000 +100010000111 +100010000111 +100001110111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100001110111 +100010000111 +100001110110 +100001110110 +100010000111 +100010001000 +100010011001 +100010011001 +100010001000 +100001110111 +100001110111 +100001110111 +100001110111 +100010001000 +100010000111 +100001110111 +100010001000 +100010001001 +100001110111 +100001110110 +100001110111 +100001110111 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010000111 +100010000111 +100001110111 +100010001000 +100010001000 +100010000111 +100010011001 +100110001000 +100010001000 +100010001000 +100010011000 +100110011001 +100110011010 +100110011010 +100110011001 +100110011001 +100110011010 +100110011010 +100110011001 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010000111 +100001110110 +011101010100 +100001110110 +100001110111 +011101100110 +100001110110 +100001110110 +011101010100 +010101000011 +010000110010 +010101000010 +010100110010 +010101000010 +011101010100 +011101010011 +010100110010 +011001010011 +010000110001 +010100110010 +011001000011 +011001010100 +011001000011 +010100110010 +011001010100 +010101000011 +011001010100 +010101000011 +010101000011 +011001010101 +011001100110 +011001100110 +011110001000 +100010001001 +011101110111 +011101100101 +011101110110 +011101110110 +100001110111 +100001110110 +100010001000 +100010001000 +011110001000 +100010001000 +011101110111 +010101010101 +000000000000 +001000100010 +010101010101 +011001100101 +010101010101 +010101000100 +010100110010 +010100110010 +011101110110 +011110001000 +011110001000 +011001100110 +011001100110 +011001100110 +011001100110 +011101110110 +011101100100 +011001000010 +010100110010 +011001000010 +011101100101 +011001100101 +011101010100 +011001000011 +011001010100 +011101010100 +011001010011 +011001000011 +010000110010 +010000110011 +010000110010 +001100100001 +001100100001 +001000010001 +000100010000 +001000010001 +001000010000 +001000010001 +001000010001 +001100110011 +010001000011 +001100110010 +001100110011 +001100110011 +001100110011 +010000110011 +010101000100 +011001010101 +100001110110 +101010000110 +101010000110 +101010000111 +101010000110 +100101110101 +100101110101 +100101110101 +100001100100 +100001100100 +100001100100 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100101110101 +100001100101 +100001100100 +100001100100 +100101110110 +100110000110 +010101000011 +000100010001 +000100100010 +000100100011 +001000100011 +001000100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000110011 +001000110100 +001000110011 +001000100010 +000100010010 +000100010000 +000000000000 +000100010001 +001101000011 +001000110011 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +001000110011 +001101000100 +001101010100 +010001010100 +010101000010 +011101010001 +100101100001 +100101100010 +011101000001 +100001010001 +011101000001 +010101100100 +001000110010 +010100100001 +100001000001 +100001000001 +011100110001 +010100110000 +010100100000 +010100100000 +010000100000 +010000100000 +001100010000 +001100010000 +001100010000 +001100010000 +010000100000 +010000100000 +010000100000 +001100100000 +001100100000 +010000100000 +010100110000 +010100110000 +001100100000 +001000010000 +000000000000 +000000010001 +000000000001 +000000000000 +000100010011 +000000010001 +000000000000 +000100100101 +000101000110 +000100100011 +000100100010 +000100110011 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +011101100100 +010101000011 +010000110010 +001100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001101000101 +010001010110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101010100 +010101000011 +010101000011 +010100110010 +010000100001 +010100110001 +011001010011 +011101100100 +011101010011 +100001100101 +011101100100 +011101100101 +100001110110 +100010001000 +100001110110 +100001110110 +011001010100 +001100100001 +011101010100 +100001100101 +100010001000 +100010011001 +100010011001 +011101110111 +100001110111 +011101110110 +011101110111 +100010001000 +011110001000 +011110001001 +011110001000 +100010001000 +100010000111 +100010000111 +100010000111 +100001110111 +011101100110 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001000 +011110001000 +011110001001 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110000111 +100010001000 +100010001001 +100010001001 +100010001001 +100010011001 +100010001001 +100010011001 +100010011010 +100010011001 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100001110111 +100001110110 +100010000111 +100010000111 +100010000111 +100010000111 +100001110111 +100001110110 +011101110110 +100010000111 +100001110111 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100001110110 +100001110110 +011101110110 +100001110111 +100001110111 +100001110111 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110110 +100010000111 +100010001000 +100010001000 +100001110110 +100010000111 +100110001000 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110011001 +100110011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100001110110 +100010000110 +100010000111 +011101110111 +100010001000 +100010000111 +011101110110 +011101110110 +011101100101 +010101000011 +011001010100 +011101010101 +100001110101 +011101010100 +011001000010 +010100110010 +011001010011 +010101000011 +001100010000 +001100100001 +001100010001 +010000100001 +010000110001 +010101000011 +001100100001 +010101000011 +001100100001 +001000010000 +010000110010 +010101000100 +011001100101 +010101010101 +011101110110 +100010000111 +011101100101 +011101100101 +011101100101 +100001110111 +011101110110 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +010101010110 +010101010101 +011001100110 +011101110111 +011101110111 +011001100110 +011001010101 +010101000100 +011101100101 +011101110110 +011101110111 +011001100110 +011001100110 +011101110110 +011001100110 +011101110111 +100001110111 +011001000011 +010101000011 +011001100101 +011101110110 +011101100101 +011101010100 +011101100100 +011101100100 +011101010100 +011001010011 +011001000011 +010101000010 +010101000011 +011001010011 +011001010011 +011001000011 +010100110011 +010101000011 +010000110011 +010000110010 +001100110010 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +000100010000 +000100000001 +001000100010 +001100100011 +010000110011 +010000110011 +011101010101 +100001110110 +100001110110 +100001110110 +100101110101 +100101110101 +100101110101 +100001100100 +100001100100 +100101110101 +100101110101 +100001100100 +100001100100 +011101100100 +100001100100 +100001100100 +100101110101 +100101110101 +100001100100 +100001100101 +100001100100 +101010000110 +100001110110 +010001000100 +000100010010 +000100010001 +000100010010 +000100100011 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001000110100 +001100110101 +001000110100 +000100100010 +001000100010 +011001010011 +010000110010 +010001000011 +010101000100 +001100110010 +000100100001 +000000010000 +000000000001 +000000010001 +000000010001 +000100100010 +001000110011 +001101000100 +001101010100 +001101010100 +010001010100 +010000110001 +010101000001 +011101010001 +011101010001 +100001010001 +011000110000 +011001010011 +001000110011 +001000010001 +010100110001 +100101010010 +100001010001 +011101000001 +010100110000 +010100100000 +010100100000 +011000110001 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +001100100000 +001100100000 +001100010000 +001100010000 +010000100000 +010100110000 +010100100000 +001100010000 +000100000000 +000000000000 +000000010001 +000000000001 +000000010010 +000000010010 +000000000000 +000000000001 +000000110101 +000100110101 +000100100010 +000100100010 +000100110011 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +010101000011 +010101000011 +011001000011 +011001010100 +011001010100 +010101000011 +001100110001 +001000010000 +000000000000 +000000000000 +000000000000 +000000010001 +001000100011 +001100110100 +001101000100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101010101 +001000110100 +001000110011 +001000110100 +001000110100 +001000110100 +001101000100 +010001010101 +010001010110 +010001100110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010101 +010101010100 +011001010100 +011001010100 +011101110110 +011101100101 +011101110101 +100001110110 +011101100101 +011101110110 +010101010100 +011001010100 +100001110101 +011101100101 +010100110010 +100001110110 +100001110110 +100010001000 +011110001001 +100010000111 +010101000011 +100001110110 +010101000100 +011001010101 +011101110110 +011101100101 +011110001000 +011110001000 +011110001000 +100001110110 +011001010100 +100001100101 +011101110101 +011101100100 +011101100100 +011101100100 +011101100101 +011101110110 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +011110001000 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +011110000111 +011110001000 +100010001000 +100010001000 +011110001001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010001001 +100010001000 +100010001000 +100001110111 +100010000111 +100010000111 +011101110111 +100010000111 +011101110111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100010000111 +100001110111 +100001110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010000111 +011101110110 +011101110110 +100001110110 +100001110110 +011101110111 +100010001000 +100010000111 +100001110110 +100001110111 +100001110111 +100010000111 +100010000111 +100010001000 +100010000111 +100001110110 +100001110110 +100010000111 +100010000111 +100001110110 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +011101100101 +100001110111 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100110011010 +100110011010 +100110101010 +100110101010 +100110101010 +100110011010 +100110011010 +100010011000 +100110011000 +100010001000 +100010000111 +100010001000 +100010001000 +100001110110 +011101110110 +011101110111 +100010011001 +100010011001 +100010011001 +100010001000 +100010000111 +100001110111 +011001010100 +011001010011 +011101100101 +100001110110 +011101100101 +010101000010 +010000110001 +010101000010 +010000110010 +001100010000 +010100110010 +001100010000 +010000100001 +010100110010 +001100100001 +010100110010 +010100110010 +010100110010 +010100110010 +010000110001 +010101000011 +011101100110 +011101100110 +011001010101 +011101100101 +011101100101 +011101110110 +100001110110 +011101110110 +011001010100 +011001010100 +100010001000 +011101110110 +100001110110 +100010001000 +100010011010 +011110011001 +011110001000 +011101110111 +011110001000 +011110001001 +011110001000 +011110001000 +100010001000 +011101110111 +011101110110 +011001110111 +011001100110 +011001100101 +011001100110 +011101100110 +011101110111 +011001110110 +011101110110 +011001100110 +011001100111 +011001100110 +011101100101 +011001010100 +011101100101 +100001110110 +100001100101 +011101100100 +011101010100 +011001000011 +011001000011 +011001010011 +011001010011 +011101010100 +011001000011 +011001010100 +010101000100 +010101000100 +010000110011 +010000110011 +011001010100 +010101000011 +010000110001 +001100110001 +000100010000 +000000000000 +000100010001 +001000010001 +001000100010 +001100100010 +001100100010 +001100100010 +010000110011 +010101000100 +011001010100 +011101100101 +100001100101 +100001110101 +100101110101 +100101110101 +100101110101 +100001100101 +100001100100 +100001100101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100101 +100001100100 +101010000110 +011101100100 +000100010010 +000100100011 +000100010001 +000100010001 +001000110100 +001100110100 +001000100011 +000100100010 +000100100010 +000100100010 +000100100011 +001000110100 +000100100011 +000100100010 +000100010001 +000100010000 +001000100001 +010101000010 +011001010010 +011001000011 +100101110101 +100001100011 +011001010011 +010001000010 +001100100010 +000100010001 +000000010001 +000100100010 +001000110011 +001101000011 +001101010100 +001101010100 +010001010100 +010001000011 +001100110001 +010100110001 +011101000001 +100101010010 +011000110001 +011101000010 +010001000011 +000100100001 +000100010000 +010100110001 +011101000001 +100101010010 +100001000001 +011000110001 +011000110000 +011101000001 +011000110000 +011100110001 +011000110001 +010000100001 +010101000011 +010000100001 +001100010000 +001000010000 +001100010000 +010000100000 +010100100000 +010000100000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010010 +000100010011 +000000010010 +000000000001 +000000010001 +000100110101 +000100110100 +000100100010 +000100100010 +001000110010 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +010101000011 +010101000011 +011001010100 +011101100100 +011101010100 +011001010100 +011001010011 +011001010100 +010101000010 +001100100001 +000100010000 +000100010000 +001000100010 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110100 +001101000100 +001101000100 +001101000101 +001101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101010101 +001101000101 +001000110100 +001000110101 +001000110101 +001000110100 +001101000100 +001101010101 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010101 +010001010101 +010101010101 +011101110110 +011001100101 +011001000011 +100001110110 +001100100001 +010000100001 +011101100101 +011101100100 +011101010100 +100001110110 +100001110111 +100010000111 +100010001000 +100010001000 +011101110110 +100110000111 +011001000011 +001100010001 +010000100001 +010000100001 +011101100101 +100010000110 +100010000111 +011101100101 +010000100001 +010100110001 +011101100101 +100001110101 +011001000010 +010100110001 +010100110010 +011101010011 +100001100100 +011101010100 +011101100100 +100001110111 +100010001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +100010001001 +100010001001 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010001001 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100001110111 +100010001000 +100001110111 +100001110110 +100001110111 +100001110111 +100001110110 +011101100101 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +100001110110 +011101110110 +100001110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110111 +100010000111 +100001110111 +100001110110 +100001110111 +100010000111 +100001110111 +100010000111 +100010001000 +100010000111 +100010000111 +100001110111 +100001110111 +100001110110 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +011101100101 +100001110110 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100110011010 +100110011010 +100110101010 +100110011010 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +100110011010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +011101100101 +100001100101 +011101110111 +100010011001 +100010001001 +100010001000 +100010011001 +100010011001 +100010001000 +100110000111 +100001110110 +011101100110 +100001110110 +100010000111 +011101010100 +010100110001 +010100110010 +011001010100 +010000110010 +100001100101 +010101000010 +001100100001 +011001000011 +010101000010 +010100110010 +011101010100 +010101000010 +010000110010 +010000110010 +011101100101 +011110001000 +011101110111 +011101100101 +011101010100 +011001000011 +011101100101 +100001110110 +100001110110 +011101100101 +011101100101 +100010001000 +100001110111 +011101100101 +100010001000 +011101110111 +011101110111 +100010001001 +011110001000 +100010011001 +100010011001 +011101110111 +011101110111 +100010001000 +100010001000 +100010011001 +100110011001 +100010001001 +011101110111 +100010001000 +011101110111 +011001100110 +011001100101 +011001010101 +011001100110 +010101010101 +011001100110 +011101110110 +011001100101 +011101100110 +011101110111 +011101100110 +011001010100 +011001010011 +011101100100 +011001010100 +011001010100 +011001010100 +010101000011 +010101000011 +011001010100 +010101000011 +011001010100 +011101110110 +011001010100 +011101100101 +011101100110 +011001010101 +011001010100 +010000110010 +000100010000 +000100010001 +001100110010 +001100100001 +001000010001 +000100010000 +000100000000 +001000010001 +001000100001 +010000110010 +010101000011 +010101000100 +010101000011 +010101000011 +011001000100 +011101010101 +100001100101 +100001100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +101110010111 +011001010101 +001000100010 +000000000001 +000000000001 +000000000000 +001000100011 +001100110100 +001000100011 +001000110011 +001000100011 +000100100010 +000100010010 +001000110011 +001100110100 +000100010001 +000000000000 +000000000000 +000100010000 +001000010001 +001100100001 +010000110010 +010000110001 +011001000010 +100101110011 +100101110011 +100101110011 +100001100011 +011101010011 +011001010011 +011001010011 +010101010011 +010101010100 +010001010100 +010001010100 +001101010100 +001101000100 +001101000011 +001100100001 +011001000001 +100001010001 +011000110001 +011101010001 +011101100100 +000100100010 +000100100001 +000100010000 +001000010000 +011101000001 +100101010010 +011101000001 +011000110000 +011101000001 +011001000001 +011101000001 +010000100001 +001100110100 +001100110100 +001100100010 +001100010000 +001000010000 +001100010000 +010000100000 +010000100000 +001000010000 +000000000000 +000000000000 +000100000000 +000000000000 +000000010010 +000100010011 +000000010010 +000000010010 +000000010010 +001000110101 +000100100010 +000100100010 +000100100010 +000100110010 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +011001000011 +011001010100 +011101100100 +011001010100 +011001010100 +011001010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +010101010100 +010001000011 +001101000011 +001100110011 +001000110100 +001100110100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000100 +001101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001001000101 +001000110101 +001000110101 +001000110100 +001001000100 +001101000101 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010101 +001000110011 +001000100001 +001100110011 +010001010100 +011001010100 +011101110110 +011001000011 +011000110010 +011001000011 +011101000010 +100001100011 +011101100100 +011101100101 +100001110101 +011101110110 +100010001000 +100010001000 +100010000111 +100001100101 +010100110001 +010100110001 +011001000010 +100001100101 +011101010011 +100001100100 +100110001000 +011101100110 +001100010001 +010101000011 +011101100101 +010100110010 +001000000000 +001100010000 +011000110010 +011101000010 +010000100000 +010100110001 +100001100101 +100001110110 +100001110111 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +100010011001 +100010001000 +011110001000 +100010001001 +100010001000 +011101110111 +011101110110 +100001110111 +100010001000 +100010001000 +011101110111 +011101110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +011101100101 +011101110110 +011101110110 +011101110111 +100001110111 +100001110110 +011101110101 +011101110101 +100010000111 +100010000111 +100010001000 +100010001000 +100010000111 +100001110111 +100010000111 +011101110111 +011101110110 +100001110110 +100010000111 +100001110110 +100001110110 +100010000111 +100010001000 +100001110111 +100001110110 +100001110110 +100010000111 +100001110111 +100010000111 +100010001000 +100010001000 +100010000111 +100110001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110111 +100001110110 +100001110110 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011001 +100110011001 +100110011010 +100010011010 +100010011010 +100110011010 +100110011010 +100110101010 +100110101010 +100110101010 +100110011010 +100110101010 +100010011001 +100010011001 +100110011010 +100110011001 +011101110111 +100010001000 +100010000111 +011101100101 +100010001000 +100010011001 +100010011010 +100010011010 +100010001001 +100010001000 +100010000111 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100001110110 +011101010100 +011101100100 +100001110110 +011101110110 +100001110101 +010101000010 +001000010000 +001100010001 +010101000011 +010000110010 +010000100010 +001100100001 +001100100001 +010000110001 +011001010100 +100010001000 +011101110111 +011101100100 +011101100100 +011001000011 +011001000011 +011101100101 +100001110111 +100010000111 +011101110110 +100001110110 +100001110110 +011101100101 +100001110110 +011001010011 +011001010100 +011101100110 +011101110111 +100010000111 +011101110110 +011001100101 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +011110001001 +011110001000 +100010001000 +100010001000 +100110011001 +100010001000 +011101110111 +011001100110 +011001010101 +011001010101 +011001100101 +011001100101 +011001100110 +011101110111 +011001100110 +011001010101 +010101000011 +011001010100 +011101100101 +011101100101 +011001010101 +010101000011 +011101100101 +011001100101 +011001010100 +011101100101 +011001100110 +011001100101 +011101100110 +011101100110 +100001110110 +011101100110 +011001010100 +010100110010 +001100100001 +010101000010 +010101000010 +001100100001 +001100100001 +001000010001 +001100100001 +001000010001 +001000010000 +001000010000 +000100000000 +000100010001 +000100010001 +001000100010 +010000110011 +010000110011 +001100100010 +010000110010 +010101000011 +011101010100 +100001100101 +100101110101 +100101110110 +010101000100 +001000100011 +000000010010 +000000000000 +000100010001 +010001000100 +001100110100 +000100100010 +001000100010 +001000100011 +001000100011 +000100000001 +000000000000 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +001000100001 +010000110010 +010000110010 +011101010010 +101001110100 +101001110100 +100001100011 +100001100011 +100001100011 +011101010010 +100001100010 +100001100011 +100001110100 +011101010011 +010101010011 +001100110010 +001000110010 +001000010001 +011001000001 +100101010010 +011100110001 +100001010001 +100101110011 +001101000010 +001000110010 +000100010001 +000100010000 +010000100000 +100001000001 +011000110000 +010000100000 +001100010001 +000100000000 +001000100001 +001000010001 +000100010010 +000100100011 +001000100011 +001000010001 +001000010000 +001000010000 +001100010000 +001100100000 +000100010000 +000000000000 +000000000000 +000100010000 +000000000000 +000000010010 +000000010011 +000000010010 +000000010010 +000100010010 +001000110100 +000100100010 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +010101000011 +011001010100 +011001010011 +011001000011 +011001010100 +010101000011 +011101100100 +011101100101 +011001010100 +011001010011 +011101100100 +100001110101 +011101100100 +011101010100 +011101010011 +011001010100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110100 +001101000100 +001101000100 +001101010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +001101000110 +001000110101 +000100110100 +001000110100 +001000110011 +001000110100 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010110 +010001010101 +001100110011 +000100100010 +000100100011 +001101000100 +010001010101 +010001010110 +010101000100 +010001000011 +010101000011 +010000100001 +011101010011 +011101010011 +011101100100 +011101100011 +011001010011 +100010001000 +100010001000 +100010001000 +100001110111 +011101100101 +100001100100 +011101100101 +100001110111 +100001100101 +011101100101 +100010001000 +100010001001 +010000110011 +100001110111 +100001110111 +001100010001 +000000000000 +000100000000 +010000100001 +010100110010 +001100010000 +001100010000 +010100110010 +011001000010 +011101100101 +100010001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +100010001000 +100010000111 +100010000111 +100010000111 +011101110111 +100001110110 +011101100110 +011101100110 +100001110110 +100001110111 +100001110110 +100001110110 +100001100101 +011101100110 +100001110111 +100001110111 +011101110111 +011101110110 +100001110110 +100001110110 +011101100101 +100001110110 +100010000111 +100010001000 +100010001000 +100001110110 +100001110110 +100010000111 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100001110111 +100001110111 +100010000111 +100001110111 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +011101110110 +100001110110 +100010000111 +100001110111 +011101110110 +100001110111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100110011010 +100010011010 +100110011010 +100010011001 +100110011001 +100110011010 +100110101010 +100110101010 +100110101010 +100110011010 +100010011010 +100110011010 +100010011001 +100110011001 +100110011001 +100110011001 +100001110110 +100010000111 +100110011001 +100001110111 +100010011000 +100010011001 +100010001000 +100110011001 +100010011001 +100110011001 +100010001000 +100110011001 +011001110110 +100010001000 +100010001000 +100001110111 +011101100101 +100010000111 +100001110111 +100001110111 +100001110110 +011101110101 +011001010011 +010000100010 +010000100010 +010100110010 +010000110010 +001000010000 +001100100001 +010101000011 +010000100001 +001000010001 +010101000100 +010000110011 +010101000011 +011101100101 +100001110110 +011001010011 +010101000011 +100001110111 +100001110111 +100001110111 +011101010100 +011001010100 +011101100101 +011001010011 +011101100100 +011101100101 +011101010100 +011001010100 +011001010100 +011101010100 +011101100110 +011101110111 +011110001000 +011110001000 +011101100110 +011101110111 +011110001000 +011101111000 +011101110111 +100001110111 +100010001000 +100010001001 +100010001001 +100010001000 +011101110111 +011101110111 +011001100110 +011001100110 +011101100110 +011001100110 +011001010101 +011001010101 +010101010101 +011001100101 +011001010100 +011001010100 +011101100110 +011001010101 +011101100101 +011001100101 +011001010101 +010101100110 +011001100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010011 +011101010011 +011001010011 +010100110010 +010100110010 +010000110010 +010000110010 +001100100001 +001100110010 +010000110010 +001100100001 +001000010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000000000000 +000100000000 +000100010001 +001000010001 +010101000011 +010000110010 +000100010010 +000100010010 +000000000000 +000100000000 +010001000100 +001000100011 +000100100010 +000100010010 +001000100011 +000100010010 +000100010001 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001000100010 +001100100010 +010001000011 +010000110010 +010000110011 +010101000011 +010101000010 +010000100001 +011101010011 +100101110100 +100101100011 +100001100011 +100001100011 +100001100100 +100001100011 +100001100010 +100001100010 +100001010010 +011101010010 +010000100000 +001000010001 +001000100001 +010000110001 +011000110001 +010100100000 +011001000001 +100101100010 +011001100100 +001000110010 +000100010001 +000100000000 +001000010000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000100010001 +000100010010 +000100010010 +000100100010 +001000100011 +000100010001 +000100000000 +000100000000 +001000010000 +001000100000 +000100010000 +000000010000 +000000000000 +000100010000 +000100000000 +000100010010 +000100010011 +000000010001 +000000100011 +001000110100 +010001010101 +001000110011 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001001000011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +010000110010 +010101000010 +010100110010 +010000110010 +010101000010 +010100110010 +011001010100 +011001010100 +011001010011 +010101000011 +010101000011 +011001000011 +011001000011 +011001010011 +011001000011 +011001010011 +011101010100 +011001010100 +011001010100 +010101000100 +010001000100 +001100110100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +001101010101 +001101000101 +001000110100 +000100100011 +000100100011 +000100100011 +001000110011 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010110 +010001010101 +001100110100 +000100100010 +001000100010 +001101000100 +010001010110 +010001010110 +010001000101 +001000110011 +000100010001 +000100010001 +001100110011 +010101010100 +011101110110 +100001110101 +011101100101 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110111 +100010001000 +100010001001 +010000110010 +011101010100 +011101010100 +001100010001 +000100000000 +001100100001 +001100100010 +010000100001 +000100000000 +000100000000 +001100100001 +010000100001 +011001010011 +011101110110 +011101110110 +011101111000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011101111000 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101110110 +011101100100 +011101100101 +100001110111 +100001110111 +011101100101 +011101110110 +100001110110 +100001110110 +011101100100 +100001110110 +100010001000 +100010001001 +011110001000 +100001110110 +100001110111 +100001110111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010001000 +100001110111 +100010000111 +100001110111 +100001110111 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100010000111 +100010000111 +100001110111 +100001110110 +100001110111 +100001110111 +100001110110 +100001110111 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110101010 +100110011010 +100110101010 +100110011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110001000 +100010001000 +100010011001 +100010011000 +100010011001 +100010011010 +100010001000 +100110011010 +100110101010 +100110011010 +100010001000 +100010011001 +100010001001 +100010011001 +100010011001 +100010001000 +100010000111 +100010000111 +100001110110 +100001110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101100110 +011001010100 +011001010100 +010101000011 +011001000011 +010000100010 +001100100001 +010100110011 +001000010001 +000100010000 +011001010101 +100001110111 +011101110110 +011101100101 +011101100101 +100001110111 +100010000111 +100001110110 +011001010011 +011101010100 +100001110110 +011101100101 +100001110111 +100010001000 +100001110110 +011101100101 +011001010100 +011101010100 +011101100100 +011001010100 +011101100110 +011101100110 +011101110111 +100010001000 +011110001000 +011110001000 +011101110111 +011101111000 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +011101110111 +100010001000 +011101110111 +100010001000 +100010001001 +100010001000 +011101110110 +011001100110 +011001100110 +010101010101 +010101000011 +010101000011 +010101000100 +011001010100 +010101000100 +011001010101 +011001100110 +011001100110 +010101010101 +011001100110 +011101100110 +011101100110 +011101110111 +011101110111 +011001000100 +011001010011 +011001010011 +011101100100 +011101010100 +010101000010 +010101000011 +010000110010 +001100100001 +010000110010 +010101000011 +011001000011 +010101000011 +001100100001 +001100100001 +001000010000 +001000010000 +001000010000 +000100000000 +000100000000 +000100010001 +000100000000 +010101000011 +001000100010 +000100100010 +000000000000 +000000000000 +000100010001 +001000100011 +001000100011 +000100010010 +000100100010 +001000100010 +001000100010 +001100100010 +010101000100 +010101010101 +011001010101 +010101000100 +010000110011 +001100110011 +010000110011 +001100100010 +010101000100 +011001010100 +011001010100 +011101010100 +010100110010 +001100100000 +010100110010 +100101100100 +101001110100 +100101110100 +011101100011 +011101010011 +100001100011 +100001100010 +100101100011 +100101110011 +100001100011 +100001100011 +001100100001 +001100100001 +001000010000 +001000010000 +001000010000 +001000010000 +001100010000 +001100100001 +001000100001 +001000100010 +000100000000 +000100010000 +001000010000 +001000010000 +001000010001 +000100000000 +000100010001 +001000010010 +000100010001 +000100010010 +001000100011 +000100100011 +000100010010 +000000000000 +000100000000 +001000010000 +010000110001 +001000100001 +000100010001 +000000000000 +000100010000 +000100000000 +001000100010 +001000010010 +000100010001 +000100100011 +011001100110 +010101010101 +001100110011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +011001010100 +010101000010 +010000110001 +010101000010 +011001010011 +011101100101 +100001110101 +011101100100 +011001000010 +010100110010 +010000110010 +010101000011 +011001000011 +011101100100 +011101100100 +010101000010 +011001010011 +011101010011 +011101010011 +011101010100 +011101100100 +010101010100 +010101010100 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +001101010101 +001101000101 +001000110100 +000100100011 +000100100010 +000100010010 +000100100011 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010101 +001101000100 +000100100010 +001000100010 +001101000100 +010001010101 +010001010110 +010001010101 +001000110011 +000100100010 +000100100011 +001000100011 +001000110011 +001100110100 +010001010100 +011001100101 +011101110110 +011101110111 +011110001000 +100010001000 +100010001000 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100010001000 +100010011001 +011001010100 +011101010100 +011101100100 +010100100001 +010000100000 +011001000011 +011101100101 +011101010100 +001000010000 +001100010001 +010000100001 +010000100001 +011001010011 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +100001110111 +011101110111 +011110001000 +100010001001 +011110001001 +011110001001 +011110001001 +011110001000 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +100010001000 +100010001001 +011110001000 +011101110111 +100001110111 +011101110111 +100010001000 +100010001000 +100010001000 +011101110111 +100001110111 +011101110110 +011101100110 +100001110111 +011101100101 +011001010100 +011001010100 +011101100101 +011101110110 +011101100101 +011101100100 +011001010100 +100010001000 +100010000111 +100010000111 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +011101110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001001 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110110 +100001110111 +100010000111 +100001110111 +100001110110 +100001110111 +100001110110 +100010000111 +100010000111 +100001110111 +100001110111 +100010001000 +100010001001 +100110011001 +100010001000 +100010001001 +100110011010 +100110011010 +100010011010 +100110011010 +100010011001 +100010011001 +100110011010 +100110011010 +100010011001 +100110011001 +100010011001 +100010001000 +100010011001 +100110001000 +100010011001 +100010011001 +100010001000 +100010011001 +100010011001 +100010011010 +100010011010 +100110101011 +100110011010 +100010011001 +100010011001 +100110011001 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +011101110110 +011101100101 +100001110110 +011101100101 +010101000010 +011101100101 +011101110110 +011101110110 +011101100101 +010100110010 +010101000011 +010101010100 +011001100101 +011101110110 +011101110111 +100010000111 +011101100110 +011101100110 +011101110111 +100010000111 +100001110111 +011001000011 +011101010011 +011101010011 +011001010100 +100001110111 +100010011001 +011101100101 +011101100101 +011101100110 +011101100101 +011101100100 +011101010100 +011101100101 +011101100110 +011101100101 +011001100101 +011001100110 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001000 +011101110111 +100001110111 +011101110111 +100010001000 +011101110111 +011101110111 +100010001000 +011101110111 +011101110111 +100010001000 +100010001001 +100010001000 +011101110111 +010101010101 +010101000100 +001100110010 +001100110010 +010101000011 +011001010100 +011001010101 +010101010101 +011001100110 +011001100110 +011001100101 +011001010101 +011001010100 +011001000011 +010101000010 +011001000010 +011101100100 +011101110110 +011101110110 +011101100100 +011101100101 +011001010100 +011001000011 +010101000011 +010101000011 +010000110010 +010000100001 +010000110010 +010000110011 +010000100010 +010000100001 +001100100001 +000100010000 +000100010000 +001100100001 +010001000100 +000100100010 +000000000001 +000000000000 +000000000000 +000100100010 +000100100011 +000100100010 +000000010001 +000100010001 +001100100010 +010000110011 +010101000100 +010101000011 +010101000100 +011001010100 +010101000100 +010101000011 +010101000100 +011001010100 +010101000011 +010000110010 +010101000011 +011001000100 +010101000011 +010101000010 +011001000011 +010100110010 +011101010011 +101010000101 +101010000101 +100001100100 +011001000010 +011001000010 +011101010010 +100001100011 +100101110100 +100101110100 +100101110100 +011001000010 +001000010001 +000100010000 +001000010001 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +001000010000 +001000000000 +001000010000 +000100010001 +000100000001 +000100010001 +000100010010 +000100010010 +001000100011 +000100100010 +000100100010 +000100100011 +000000000001 +000000000000 +000100000000 +001100100001 +001000010001 +000100010001 +000000000000 +000100000000 +001000010001 +001100110001 +001000010010 +001000010001 +010001010110 +011101110111 +011001100110 +001101000100 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +010000110010 +010000110010 +010101000011 +011001010100 +011001010011 +011101100100 +011101100100 +100101110101 +100001100101 +010101000011 +010101000011 +010000110010 +010000110010 +010101000010 +011001000011 +011001010011 +011001000011 +010101000010 +010101000011 +011001010011 +011001010011 +100001100101 +100001100101 +011001010100 +010101010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +001101000100 +001000110100 +000100100010 +000100010001 +000000010001 +000100100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010101 +001101000100 +000100100010 +000100100010 +001101000100 +010001010101 +010001010110 +010001010101 +001101000100 +001000110100 +001000110100 +001101000100 +001101000100 +001101000100 +001100110100 +001100110011 +001100110011 +001101000011 +010001000100 +011001100110 +011101110110 +011101110111 +100010001000 +100001110111 +100001100101 +100001100101 +100010000111 +100010001000 +011101100101 +100001100100 +100001110101 +011101010011 +011101010100 +011101110110 +100001110111 +011101010100 +010000100001 +011001000010 +001100010000 +001100010001 +011001010100 +100001110111 +100001110111 +011101100101 +011101100101 +011101100101 +011101100100 +011101100110 +011101111000 +100010001000 +011110001000 +100010001001 +011110001000 +011110001000 +011101111000 +011101110111 +011110001000 +011110001001 +100010001001 +011110001000 +011110001001 +011110001000 +011110001000 +100010001000 +100001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101100110 +011101110111 +011101110110 +011101100110 +011101100101 +011001010100 +011101100101 +100001110111 +100001110111 +011101100101 +100010001000 +100010001000 +100010001000 +100010001000 +011101111000 +011101111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100010001000 +100010000111 +100001110111 +100001110111 +100001110111 +100010000111 +100001110111 +100001110110 +100010000111 +100010000111 +100001110110 +100001110111 +100010001000 +100010011001 +100010011001 +100010000111 +100010011001 +100110011010 +100010011001 +100010011010 +100110011010 +100110011001 +100110011001 +100010011001 +100110011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100010011010 +100010011010 +100110101010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010000111 +100010000111 +011101110110 +011101110110 +011101110110 +011001010100 +011101100101 +100001110111 +100010000111 +100001110111 +011101100100 +010101010011 +011101110110 +100001110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101110110 +100010000111 +011110000111 +011101110110 +011101100101 +011001010011 +011001000011 +010000100001 +011001000011 +100001110111 +011101100101 +011101110110 +011101100110 +011001100101 +011101100110 +011101110111 +011110000111 +011101110111 +011101110110 +100001110111 +011101110111 +011001100110 +011001100101 +011001010101 +100010001000 +011110001000 +100010001000 +011101110110 +011101110110 +011101100110 +100001110111 +011110001000 +100010001000 +100010000111 +011101110110 +011001100110 +011101110111 +011110001000 +011101111000 +011101110111 +011101111000 +011101111000 +011101110111 +010101010100 +010000110011 +010101000011 +010000110010 +001000100001 +001100110010 +010001000011 +010000110010 +010100110010 +011001000010 +011001000010 +011001010100 +011001000010 +011001010011 +100001110110 +011101110111 +011101100110 +011001010101 +011101100101 +011001010101 +010001000011 +010101010101 +010101010100 +010101010100 +010001000011 +010101000011 +010101000011 +010100110010 +010000100001 +001100100001 +001100100001 +001100110010 +001000100010 +000000000001 +000000000000 +000100000000 +000100000000 +000100010010 +000000000001 +000100010001 +000000000000 +000000000000 +001000010001 +001100100010 +001100100010 +001100110010 +001000100010 +010000110011 +010101000100 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +011001000011 +011001000011 +011101010011 +011101010100 +100001110100 +101010000101 +100101110100 +100001100011 +011101010011 +011101010011 +011101010011 +100001010011 +100001010011 +100001100100 +100001100100 +010000110010 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100000000 +001000100001 +001000010001 +000100000001 +000100010001 +001000010010 +000100100010 +000100100011 +001000100011 +000100100011 +000100100011 +000000010010 +000000000001 +000000000001 +000000000001 +001000100010 +001100110011 +000100010010 +000100010010 +001100100010 +001100100010 +001100100001 +001000100001 +010001010110 +011001110111 +011001100110 +001101000100 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100000000 +000100000000 +001000010000 +001000010001 +001000100001 +010000110010 +010101000011 +011101010100 +100001110101 +011001010100 +010101000010 +010101000011 +010001000010 +010000110010 +010101000011 +011001010011 +011001010011 +010101000011 +010100110010 +010101000010 +010101000010 +010101000010 +010101000011 +011101010100 +100001100100 +011101100100 +011101100101 +011001010100 +010101010101 +010101010101 +010001010101 +001101000100 +001000110011 +001000100010 +000100100010 +000100010001 +000100100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010101100110 +010001100110 +010001010110 +010001010101 +001101000100 +000100100010 +000100100010 +001101000100 +010001010101 +010001010110 +010001010101 +001101000101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000100 +001100110011 +001000100010 +000100100010 +000100010010 +000100100010 +001000100010 +001100110011 +010001000100 +010101100101 +011101110111 +100001110101 +011101100100 +011101100101 +100001110101 +011001000011 +100001100011 +100001110101 +100001110110 +100001110110 +100001110110 +100001110111 +011101100100 +011001000011 +011101010100 +010100110010 +010100110001 +011001000011 +011101110110 +100010001000 +100001110110 +011101010100 +011101100101 +011101010100 +011001010100 +011101110110 +011101110110 +011101110110 +100010000111 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +100001110111 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100001110111 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100010001000 +100001110111 +011101110110 +100010001000 +100010000111 +100001110111 +100010000111 +100010001000 +100010001001 +100010011001 +100010001000 +100010001001 +100110011010 +100010011001 +100110011010 +100110011010 +100010011001 +100110011001 +100010011001 +100010011001 +100001110111 +100010001000 +100110011000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110111 +100001110110 +100010000111 +100010000111 +100010001000 +100010011001 +100110011010 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100110011001 +100110011001 +100010011001 +100010011000 +100010011001 +100010001001 +100010001000 +100010001000 +100001110111 +100010000111 +100001110111 +100001110110 +100001110110 +100001110111 +100010001000 +011101110110 +011101110110 +011101100101 +100001110111 +011101110111 +100010001000 +011101110111 +100001110110 +011101110111 +100001110111 +100010001000 +100010000111 +100001110110 +100001110110 +011101110110 +011101100110 +011101010100 +011001000010 +011101100100 +011101010101 +011101110110 +011101100110 +011101100101 +011101110110 +011101110110 +011101100101 +011101110110 +011101110110 +011101110111 +011101111000 +011101110111 +011101110110 +011101100110 +011101110111 +011101110111 +100010000111 +011101110110 +011101100101 +011101100101 +011101110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011101110111 +011101110111 +011101111000 +011101110111 +011101111000 +011001100111 +011001010101 +100001110110 +011001000100 +011001010100 +010101000100 +010101000100 +010101000011 +010000100001 +001100100001 +010101000011 +011101110110 +011101100110 +011001010100 +011101100101 +011001100110 +011001100101 +010101000100 +010101010101 +010001010101 +010101010101 +010101010101 +010101010101 +011001100110 +010101010100 +010101000011 +010100110010 +011001000010 +010100110001 +010000110010 +001100100010 +001000100010 +000100010010 +000000010001 +000100010001 +001100100001 +001000100001 +000000010001 +000000000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000000000000 +000100000000 +001000100010 +001100100010 +001000100010 +001000100001 +001100100010 +001100100010 +010000110010 +010000110010 +010000110010 +010100110010 +010100110010 +010101000010 +011001010011 +011001000010 +100101110100 +100101110100 +100001100011 +011101010011 +011001010011 +011001000010 +011101010011 +011101010011 +011101100011 +100101110100 +011101100100 +001100110010 +001100110010 +001100100001 +001100100001 +001100100010 +001000010001 +001000010001 +001000010001 +000100000000 +000100000000 +000000000000 +001000010010 +001000010010 +000000000000 +000000000000 +000100010010 +000000010001 +000100010010 +001000100011 +000100100011 +001000100011 +001000100011 +000100100011 +000000010010 +000100010010 +000000010001 +001000110011 +010001000100 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +010001000101 +011001100110 +010101100110 +001101000100 +000100010001 +000100100001 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100001 +001000010001 +000100010001 +001000010001 +000100010001 +000100010000 +001000100001 +001100100010 +010000110011 +010101000100 +011001010100 +010001000011 +001100100001 +010101000011 +100001100101 +011001010011 +010101000010 +010000110010 +010101000010 +010101000010 +010000110010 +010000110010 +010000110010 +010101000010 +011001000010 +011001000010 +011001000011 +011001000011 +011001010011 +011101100100 +011101100101 +010101010101 +001100110011 +001000110010 +001000100010 +000100100010 +000100100010 +001001000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001010110 +010001010101 +001101000100 +001000100010 +000100100010 +001000110100 +010001010101 +010001010110 +010001010110 +010001010101 +001101000100 +001101000100 +001101000100 +001100110100 +001000110011 +001000100010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100011 +001000100011 +001000110011 +001100110100 +010001000101 +010101010101 +011001100101 +011001100101 +011101100101 +011101010011 +011101010011 +100001110110 +100010000111 +100001110111 +100001110111 +100010000111 +100001110101 +011101100100 +100001110110 +011101100101 +011101100101 +011101100101 +011101110110 +011110001000 +011101110110 +011101100101 +011101110110 +100010001000 +100010000111 +011101010100 +011101100101 +011101100101 +011101110110 +011101110111 +011110000111 +011101110110 +011101110111 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011101111000 +011101111000 +100001110111 +100010000111 +100010001000 +100010001000 +011101111000 +100010000111 +100001110111 +100001110111 +100001110111 +100010001000 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100001110111 +100010001000 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100010001000 +100010001000 +100001110111 +100001110111 +100010000111 +100001110111 +100001110111 +100010001000 +100010001000 +100001110111 +100110001000 +100010000111 +100001110111 +100001110111 +100010001000 +100010001001 +100110011010 +100010011010 +100110011010 +100110101010 +100110011010 +100010011001 +100010001000 +100010011001 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +011101100101 +100001100101 +011101100101 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +011110000111 +100010001000 +100010001000 +100010011001 +100010011001 +100010011000 +100010001000 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100001110111 +100010000111 +100010001000 +100010000111 +100010000111 +011101100110 +011101110110 +100010011001 +100010000111 +011101110110 +011101110111 +100010001000 +011110000111 +100010001000 +011101110111 +011101110110 +100001110111 +100010000111 +100010000111 +100010000111 +011101100101 +011101110110 +100010000111 +100001110111 +100001110110 +011001000011 +011001000011 +011101100101 +011101110111 +011101110110 +011101100101 +011101100100 +011001010100 +011101100100 +011101100100 +011001010011 +011101100101 +011101110110 +011101110111 +011101110110 +011101110111 +100010001001 +100010001000 +100010001000 +100010000111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +100001110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101111000 +011101110111 +011101110111 +011001010100 +011001010100 +011001010011 +011101010100 +011101010100 +011101100101 +011101100101 +011101010100 +010101000011 +011001000011 +011001010100 +011101010101 +011101100101 +011101100110 +011101100101 +011001010100 +010101000011 +010101010100 +010101010101 +010101010100 +010101000011 +011001010101 +011001010101 +010101000100 +010101000100 +010101000011 +001100100001 +010100110010 +010101000011 +001000100010 +000100010001 +000100010010 +000100010001 +001100100001 +010000110001 +001000010001 +000000000000 +001000100001 +001100100001 +001100100001 +001100010001 +001100100001 +001100100001 +001100100001 +001000010000 +000100000000 +000100010000 +001000010000 +001000010001 +000100000000 +001000010001 +001100100001 +000100010001 +001000100010 +001100100010 +001000010001 +010000110010 +001100100010 +010000110010 +010000110010 +010000110001 +011101010011 +100101110101 +100001100100 +011001000010 +011001000011 +011001010011 +011001010011 +011101010011 +011101010100 +100001100100 +100101110101 +011001010100 +010000110011 +010000110011 +010000110010 +001100100010 +001100100010 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010010 +000000000001 +000000000001 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000000010001 +000100100010 +001000110100 +001000100011 +000100010001 +000100010001 +000100010001 +001000100010 +001000010001 +010000110011 +001100110010 +001100100001 +000100100010 +001100110100 +010101010101 +010101010101 +001100110011 +000000010001 +000100010001 +000100110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000010001 +000100010000 +000100010000 +000100010001 +000100010010 +000100010001 +001000100010 +001101000100 +010001010101 +010001010101 +010001010101 +001100110011 +001100110010 +010101010100 +011101100101 +011001010100 +010101000010 +010101000011 +011001010100 +010101000011 +010000110010 +010101000011 +010101000010 +010100110010 +011001000010 +011001000010 +011001000010 +010101000010 +010100110001 +011001010011 +100001110101 +100110000110 +011101100101 +010101000100 +001000110010 +000100100001 +000100100010 +001000110100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001010101 +001101000100 +001000100011 +000100100010 +001000110011 +001101000100 +010001010101 +010001010110 +010001010101 +001101000100 +001100110011 +001000110011 +000100100010 +000100010010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100011 +001000110100 +001101000101 +001101000101 +001101000101 +010001000100 +010001010101 +010101000100 +010101000011 +011101100101 +100001110110 +100001110110 +100001110110 +100010000111 +100001110110 +011101100101 +100001110111 +100001110111 +100001110110 +100001110111 +100001110111 +011110001000 +011101110111 +100001110111 +011101110111 +011110001000 +100010001000 +011001010100 +011101100101 +100010000111 +011001010100 +011101100101 +100001110110 +011101100101 +011001010100 +011101110110 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +011101110111 +011101110111 +100010001000 +011110001000 +011110001001 +011110001001 +100010001001 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +011101110111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110111 +100010001000 +100010000111 +100001110111 +100001110111 +100001110110 +100001110111 +100001110111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100110011010 +100110011010 +100110011001 +100010001000 +100010011001 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +011101100101 +011101100100 +100001110101 +100001110110 +100001110110 +100001110110 +100010000111 +100001110111 +100010000111 +100110011000 +100010011000 +100010011001 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010001000 +011101110110 +100010000111 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100010011001 +100010001000 +100010000111 +100010000111 +100010001000 +100010001000 +100010011001 +100010001000 +100001110111 +100001110110 +011101110110 +100010000111 +011101110110 +100001110110 +100001110110 +100010000111 +100010000111 +100001110111 +011101110110 +011101100101 +011101110110 +011101110110 +011101110111 +100001110111 +100001110110 +011101100101 +011101100101 +011001010100 +011001010100 +011101010100 +011101100110 +011110001000 +100001110111 +100001110111 +100010011001 +100010001000 +100010000111 +011101110111 +100001110111 +011101110111 +011101110111 +100010001000 +100010001000 +100001110110 +011101100110 +011101110110 +011110001000 +011110001000 +011101110111 +011101110110 +011101110111 +011101110110 +011001100110 +011001100101 +011101100101 +011101100110 +011101100101 +011001100101 +011101100101 +011001010100 +011001000010 +011001000011 +010100110010 +011001000011 +011001010100 +010101000011 +011001010100 +010101010100 +010101010100 +010101000011 +001100110010 +001100100010 +010100110010 +010000100001 +010000100001 +010100110011 +010101000011 +010101000011 +010000110010 +001100110010 +001100110010 +010000110010 +010000110010 +000100010001 +000000000000 +000000010001 +001000100001 +010000110010 +010100110010 +000100010000 +000000000000 +010000110010 +010101000011 +010000110010 +010000100001 +001100100001 +010000100001 +010000110001 +010000110001 +010000110001 +010000110010 +010100110010 +010000110010 +001100100001 +001100010000 +001100100001 +001000010001 +001000100001 +001100100010 +001100110011 +010001000100 +000100000000 +000100000000 +000100000000 +001100100001 +010000110010 +011001000011 +011101010100 +011001000011 +011001000011 +011001000010 +011001000010 +011001000010 +011001010011 +011001010011 +100001100100 +011101010011 +010000110010 +010001000011 +010000110011 +010000110011 +001100100010 +001100100010 +001100110011 +001000100010 +000000010001 +000000010001 +000000010001 +000000000000 +000100010001 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100010001 +000000000000 +000000010001 +000100010010 +000100010001 +000000000001 +000000000001 +000100010001 +000000010001 +000100010001 +000100010001 +001000100010 +001000100010 +000100100010 +001000100010 +001100110100 +001100110100 +001000100010 +000000010001 +000100010001 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100010000 +000100000000 +000100010001 +001100110100 +001101000100 +001101000100 +010001010110 +010001010110 +010101100111 +010001010110 +010001010110 +010001010110 +010001000100 +010101000101 +010101000011 +010000110010 +010000110010 +011001000011 +011001010100 +010101000011 +010101000010 +011001010011 +010000110010 +010000110001 +010101000010 +010101000010 +010101000010 +011001000010 +010101000010 +010101000010 +011001000010 +011001000010 +011101010011 +011101100100 +011001010011 +011001010100 +010001000011 +001100110011 +001101000101 +010001010101 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000100 +001000110011 +000100100010 +001000100010 +001100110011 +001101000101 +010001010101 +010001000101 +001100110100 +001000100010 +000100010010 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +001000100010 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +010001000101 +010101010101 +011001100101 +011101100101 +011101110110 +100001110110 +011101100100 +011101110110 +100010000111 +011101110111 +011101110111 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +011110000111 +100001110111 +100010001000 +010101000100 +011001010011 +011101100101 +100110000111 +011101100100 +011101100101 +011101110111 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +100010001000 +011101111000 +011110001000 +011110001000 +100010001000 +011101110111 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011101111000 +011110001000 +011110001000 +011101111000 +011101111000 +100001110111 +100001110111 +100001110111 +100010001001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100001110111 +100010001000 +100010000111 +011101110110 +100001110111 +100001110111 +100010000111 +100010000111 +100001110111 +100010001000 +100010001001 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011010 +100010011010 +100110011010 +100110011001 +100110001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110110 +100010000111 +100010001000 +100010011000 +100010001000 +100010001000 +100010001000 +100110011001 +100010000111 +100010001001 +100010011001 +100010011001 +100010001000 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +011101110110 +100010000111 +100010011001 +100010001001 +100010001000 +011101110110 +100010001000 +100010001001 +100010001001 +100010000111 +100001110111 +011101100110 +011101100101 +100001110111 +100001110111 +100010000111 +100010001000 +011101110111 +011101110110 +011101110110 +100010000111 +100010001000 +011110001000 +011101110110 +011101110110 +011101110111 +011101110111 +100010000111 +100010001000 +100010001000 +011101110111 +011101110110 +011001100101 +011001100110 +100001110111 +011101100110 +100010000111 +100010000111 +100010000111 +011101110110 +011001010100 +011101100101 +100001110111 +100010001000 +100010001000 +100001110111 +100001110110 +011101110110 +011101110111 +011110000111 +011101100110 +011101100110 +011101100101 +011001010101 +011001010101 +011001010100 +011001010100 +011101100110 +011101110111 +011110001000 +100010001001 +011101110110 +011001000011 +010100110010 +010100110010 +011001010100 +011101100101 +011101100101 +011001010101 +011001100101 +011001010100 +011001010011 +010101000011 +011001010100 +011001010100 +010100110010 +010000100001 +001100100001 +010000110010 +010101000011 +010000110010 +001100100001 +001100100010 +010000110010 +001100100010 +000100010010 +000000000000 +000100010001 +010001000011 +010101000010 +010101000011 +001000100001 +000000000000 +001100110010 +010101000011 +010101000011 +010100110010 +010000110001 +010100110010 +010101000010 +011001000010 +011001000011 +011001010100 +011001000011 +010101000010 +010000110010 +010000110010 +010101000010 +010101000011 +010000110010 +010000110010 +010000110010 +001100100010 +001000100010 +001100100010 +001100100010 +001100110010 +000100010001 +001000010001 +001100100010 +001000100001 +001100110010 +010000110010 +010101000011 +010000110010 +010000100010 +001100100010 +010000110010 +011001000010 +001100100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +000100010010 +000000000001 +000000000001 +000000000000 +000000000000 +000000000001 +000000000001 +000000000001 +000100010001 +000100010010 +000100010010 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000100010010 +000100100010 +000100100010 +001000110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001100110011 +001000100011 +001000100011 +001000100010 +000100010001 +000100010001 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100010000 +000100000000 +000100010001 +001100110011 +001000110011 +001101000100 +010101010111 +010101010110 +010101010110 +001101000101 +010001000101 +010001000101 +001101000101 +010001000101 +001100110011 +001100100010 +001100110011 +010101000100 +010001000011 +010001000011 +010000110010 +010101000011 +010100110010 +010100110010 +011001000011 +011101100100 +011001010011 +011001000011 +011001010011 +010100110010 +010101000010 +010101000010 +010000110010 +010000110010 +010101000010 +011001010100 +011101010100 +011101100101 +011001100110 +010101100101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000100 +001000110011 +001000100001 +000100100001 +001000100010 +001000110011 +001101000100 +001101000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +000000010001 +000000010001 +000100010001 +000100010001 +001000100010 +001000110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +010001000100 +011001010011 +011101100101 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +100010001000 +100001110111 +100001110111 +011101110110 +100001110111 +100001110111 +100010001000 +100010001000 +100010011001 +100010001001 +011110001000 +011110001000 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +100010001000 +011101111000 +011110001000 +011101110111 +100001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +011101110111 +100001110111 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +011110001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010001001 +100010001000 +100001110111 +100010000111 +100010001000 +100001110110 +100001110111 +100010000111 +011101110110 +100001110110 +100010000111 +100001110111 +100010001000 +100010001000 +100001110111 +100010001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010001000 +100010001000 +100110011000 +100010000111 +100010001000 +100010001000 +100010001000 +100001110111 +100001100101 +100001100101 +100001100101 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100010000111 +100001110110 +100010000111 +100010001000 +100010011000 +100010011001 +100010001000 +100010011001 +100010011001 +100010000111 +100001110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010011001 +100010011001 +100010001001 +011101110110 +100010000111 +100010011001 +100010011001 +100010000111 +100010000111 +100001110111 +100001110110 +100001110110 +100010000111 +100010001000 +100010001000 +100010000111 +011101100101 +011101100101 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011110001000 +100001110111 +011101110111 +011101110111 +011101110110 +100001110110 +011001010100 +011101100110 +100001110111 +011101110110 +100001110111 +100001110110 +100001110110 +011101100110 +011101100101 +011101100100 +011101100101 +011101110111 +100010000111 +011101110110 +100001100110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100101 +011001010100 +011001100101 +011101100110 +011101100110 +011101110110 +011101111000 +011110001000 +100001110111 +011001000011 +011000110010 +011101010100 +011101100101 +011001100101 +011101100110 +011001010101 +011101010100 +011101010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101100100 +011001010100 +011001010100 +010101000011 +010101000011 +001100100001 +001000010001 +001100110010 +010101000011 +001100100010 +000100100010 +000100010001 +001000100010 +010101000011 +010000110010 +010101000100 +010001000100 +000100010001 +001100100010 +010100110010 +010101000011 +010101000011 +010000110010 +010101000011 +011001000011 +010100110010 +010100110010 +010000110010 +001100100001 +010100110010 +010000100001 +011001000011 +010101000011 +010000110010 +010000110010 +010101000011 +011001010011 +010100110010 +001100100001 +010101000011 +010101000011 +010101000011 +001000010001 +001100100010 +010001000011 +000100000000 +000100010001 +001000010001 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100001 +001100100001 +000100000000 +000100000000 +001000010001 +001000010001 +001000100001 +001000010010 +000000000001 +000000000000 +000000000000 +000000000000 +000000000001 +000100010010 +000000000000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +001000100011 +001100110100 +001000100011 +001000100010 +001100110011 +001000100011 +001000100010 +001100110011 +001100110100 +001100110100 +001100110011 +001100110011 +001100110100 +010001000100 +010001000100 +010001000100 +001101000100 +001101000011 +001001000011 +001000110011 +001000110011 +001000110011 +000100010000 +000100010001 +000100010001 +001000100010 +001000100010 +001100110010 +001100110100 +010001000101 +010001010110 +001101000101 +010001000100 +001100110100 +010001000100 +010001000100 +000100100010 +001100110011 +001101000100 +001101000100 +001101000100 +010001010101 +001100110011 +001100110010 +010000110010 +010000110010 +010000110001 +010000110001 +010101000011 +010101000010 +010000110010 +010000110010 +011001010011 +011001010011 +010101000011 +010101000011 +010000110010 +011001000011 +011101010100 +100001110101 +101010000111 +100001110101 +011001010100 +011001010100 +010101010101 +010101010101 +010001010101 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000100 +001000110011 +001000100010 +001000100001 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000100011 +000100100011 +000100100010 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010010 +001000110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000100 +001000110011 +000100010010 +000100010010 +000100100010 +001101000100 +010101010101 +011001100110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100001110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +100010001000 +100010011001 +011110001001 +011110001001 +011110001001 +011110001000 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +100010001001 +011110001001 +100010001001 +100010001001 +011110001000 +100010001000 +100010001001 +011110001000 +100010001000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +100010001000 +100010001000 +100010001000 +100001110111 +100010001000 +100010001000 +011101110111 +011101110111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100001110110 +100001110110 +011101100101 +011101100101 +100001110110 +011101100101 +100001110110 +100001110111 +100010000111 +100010000111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100001110110 +100010000111 +011101110110 +011101110111 +100010011001 +100010001000 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100001110110 +100001110111 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100001110111 +011101110111 +011101110111 +011101100110 +011101100110 +011101110110 +011101110111 +100010001000 +011101110111 +100001110111 +011101110110 +011101110111 +100001110111 +011101100110 +100010000111 +100001110111 +011101110111 +100010001000 +011101110111 +011101110110 +100001110110 +100001110110 +011101100101 +011101100101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100101 +011101100110 +011101110110 +011101100110 +011101110111 +011101100110 +011101100110 +011001010101 +011001010100 +011001000011 +011101010100 +011101100100 +011101100101 +011101100101 +011001010011 +011001000011 +011001010100 +011101100101 +011101010100 +010100110010 +011000110010 +011001000011 +011101010011 +011101010100 +011001000011 +010101000011 +010101000100 +010101000100 +010101000011 +010000110001 +001000010001 +000000000001 +000100000000 +001000100001 +010000110010 +010001000011 +010101000100 +010101010100 +010101010100 +010000110010 +010100110010 +011101100101 +011101100101 +010000110010 +001100100001 +010100110010 +010000110010 +010000100010 +010000100001 +010100110010 +010000110010 +010000100001 +010100110010 +011001010100 +011101010100 +011101100100 +011101010100 +011001000010 +011001000011 +011001010011 +010101000010 +010100110010 +010100110010 +001100100001 +001100100001 +010101000011 +010000110010 +001000010001 +001000010001 +001100100010 +001100100001 +001000010000 +000100000000 +000100000001 +000100010001 +001000010001 +000100010001 +000100010001 +001000010001 +001100100001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000100010001 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100011 +000100100010 +001000110011 +001000110100 +001100110100 +001000100011 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110100 +010000110100 +001100110100 +001100110100 +010001000100 +010001010101 +001101000100 +001101000100 +000100010000 +001000010001 +001000100001 +000100010001 +001000100010 +001100100010 +001000100010 +001100110100 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001100110011 +010001000101 +001100110100 +001101000100 +010001010110 +010101100111 +010101010110 +001101000100 +000100010001 +001100100010 +011101100101 +011101010011 +100101110101 +100101110101 +010101000011 +010000110001 +011101100100 +100101110110 +100001110101 +011101100011 +011001000010 +011001010011 +011001010011 +011001000010 +011101010011 +011001000010 +010100110010 +011001000010 +011101010011 +011101100100 +011101100101 +011001010101 +010101010101 +010101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000100 +001000110011 +001000100010 +001000100010 +001000110011 +001100110100 +001000110100 +001000110100 +001000110011 +000100100011 +000100100010 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000100 +001000110100 +000100100011 +000100100010 +000100010010 +000000010010 +000000010010 +000100010010 +000100100010 +001100110011 +010101010101 +011001110111 +011101111000 +011110001000 +011110001000 +011101110110 +011101110111 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +100010001000 +100010001000 +100010011001 +100010001000 +011101110111 +011101110111 +011101110111 +100010001000 +100010001001 +100010001001 +100010001000 +011101110111 +100010001000 +011110001000 +011101110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101111000 +011101111000 +011110001000 +011110001000 +100010001000 +100010001000 +011110001000 +100010001000 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +100010000111 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010000111 +100010000111 +100010001001 +100010001001 +100010011001 +100010000111 +100001110110 +100001110110 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +100001110110 +011101100101 +100001110111 +100010001000 +100010000111 +100001110110 +100001110111 +100001110111 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010000111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010011000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001001 +100001110111 +011110000111 +011101110111 +011101110111 +011101110111 +100001110111 +100001110111 +100010001000 +011101110111 +100001110111 +011101110111 +100001110111 +100001110111 +011101110111 +100010001000 +011101110111 +100001110111 +100010001000 +100001110111 +011101110110 +100001110110 +011101100101 +011001010101 +011101100101 +011101100101 +100001110110 +100001110110 +011101100101 +100001110110 +100001110110 +011101100101 +011101110111 +100001110111 +100001110111 +100010001000 +011101110111 +011101110110 +100001110111 +100001110111 +011101110110 +011001010100 +010101000011 +011001000011 +011001000011 +011001000011 +011101010011 +011101010100 +011101100101 +011101110110 +011101100100 +011101010100 +011001010100 +011101100110 +011101010100 +011001000011 +011001000011 +010101000010 +011001000011 +011001010011 +011001000011 +010101000010 +011001000011 +010101000011 +011001010011 +010101000010 +000100000000 +000000000000 +001100100001 +001100100001 +010000110010 +010000110010 +010001000011 +010001000011 +001100110010 +001100100001 +010000100001 +010000110010 +011001010100 +010000110010 +001100100001 +001100100001 +010000100001 +010100110010 +011001000010 +011001000011 +010101000010 +010101000011 +010101000011 +010000110010 +011001000100 +010101000011 +011001000011 +011101010011 +011101010100 +100001100101 +011001010011 +010101000010 +011001000011 +011001010100 +010101000011 +011001000011 +011001000011 +010000100010 +001100100010 +010000110010 +001100100001 +001100100001 +000100000000 +000100010001 +001000010001 +001000010001 +000100010000 +000100010001 +000100010000 +001000010001 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +001000100010 +001000100011 +000100100010 +001000100010 +001000100001 +000000000000 +001000100010 +001000110011 +001000100011 +001100110100 +001000110100 +001000110011 +001000100011 +001000100011 +001000110100 +001100110100 +010001000100 +010001000100 +001101000100 +001100110100 +001100110011 +001100100011 +001000100011 +001000100010 +001000100011 +001100110011 +010001000100 +010001000101 +010001000100 +001100110011 +001100110011 +001100110100 +001100110011 +001100110100 +010001000101 +010001000100 +001100110011 +000100010000 +001000100001 +001000010001 +001000010001 +001000100010 +001100100010 +001000100010 +001100100010 +001000100010 +001100110011 +001100110100 +001100110011 +000100010001 +001000010001 +001100100011 +001100110011 +001100110011 +001100110100 +010001010101 +010001010110 +010001000101 +001101000100 +001000100010 +001000100010 +001000100010 +001100110010 +011001010100 +011001010011 +010000110010 +010000110010 +011101100100 +011001010011 +010101000010 +010000110010 +010100110010 +010101000010 +010101000010 +011001010010 +011001000010 +011001000010 +010100110001 +010100110001 +010101000010 +011101010011 +011101010011 +011101010011 +100001110101 +011101110101 +011001100110 +010101010101 +010001010101 +010001010110 +010001010110 +010101010101 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001000110011 +000100100010 +001000100010 +001101000100 +010001000101 +001101000101 +001101000100 +001000110011 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010001 +001000100010 +001000110011 +001000110011 +001000110010 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001100110100 +001000110011 +001000110011 +000100100010 +000100100010 +000100010001 +000100010010 +000100010010 +000100100010 +000100010010 +000100100010 +001000100011 +001000110011 +001101000100 +010001010101 +011001100110 +011001100110 +100010001000 +100010001000 +011110001001 +011101111000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011101110111 +100010001001 +100010011001 +100010011001 +100010000111 +100001110110 +100001110110 +100001110110 +011101110110 +100001110111 +011101110111 +100010001000 +011110001001 +011110000111 +011101110110 +011101100101 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001001 +011110001000 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +100010001001 +100010001001 +011110001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +011110001000 +100010001001 +100010001001 +100010001000 +011110001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001001 +100010001000 +011110001000 +011110001001 +100010001001 +100010001001 +100010011001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010011001 +100110011001 +100010000111 +100001110111 +100001110110 +011101100101 +100001110110 +100001110111 +100001110110 +100001110110 +100001110111 +100001110110 +100001110110 +100001110101 +100001110110 +100010000111 +100010000111 +100001110110 +011101100101 +011101100101 +011101100110 +100001110110 +100001110110 +100010000111 +100001110110 +100001110110 +100010000111 +100001110110 +100001110110 +100001110110 +100001110111 +100010001001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110110 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010000111 +100010001000 +100010001000 +011101110111 +100010000111 +100010001000 +100010001000 +011101110111 +100001110111 +011101110111 +011101110110 +100001110111 +100010000111 +100010000111 +100010000111 +011101110110 +100001110111 +011101110111 +011101110110 +011101100101 +011101100110 +011001100101 +011101100100 +011101100101 +011101100101 +011101110110 +011101100110 +011101100110 +011101100101 +011101100101 +100010000111 +100010000111 +011101100110 +011101100110 +011101110111 +100001110111 +011101110111 +011101110111 +011101100110 +011101110110 +011001100100 +011001010011 +011001000011 +010100110010 +010100110010 +011001000011 +011001000100 +011001010100 +011001000011 +011101010011 +011001000011 +011001000011 +011001000011 +011001010100 +011101010100 +011001000011 +011101010100 +011001010011 +011001000010 +010100110010 +010101000011 +010000100001 +010000110001 +010000100001 +000000000000 +000100000000 +001100100001 +010100110011 +011101100110 +011101110110 +011101110110 +011101100101 +010101000100 +001100100001 +001100100001 +001100010001 +001100100001 +001000010001 +010101000011 +011001010100 +010100110010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010101 +010000110010 +010000110010 +010101000100 +010101000100 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001100101 +011001010011 +011001010011 +011001010100 +010101000010 +011001000011 +010100110010 +010100110010 +010000110010 +001100100001 +001000010001 +001100100001 +001000010000 +000100000000 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000001 +000100100010 +000100100010 +000100010010 +000100010001 +000100000000 +000100000000 +001000010010 +001000100010 +001000110011 +001100110011 +001100110100 +001100110100 +001100110100 +001100110100 +001000110011 +001000100011 +001100110100 +010001000101 +001101000101 +010001000100 +010001000100 +001100110100 +010000110100 +010000110100 +001100110011 +001100110100 +001100110011 +010001000100 +010000110100 +010000110100 +001100110011 +001100110011 +001100110100 +001100110011 +001100110100 +001100110100 +001100110011 +000100010000 +000100010000 +001000100001 +001000100010 +001100100010 +001100100010 +001000100001 +001000100001 +001100110011 +001101000100 +010001000101 +001000100010 +000100010001 +001000100010 +001000100010 +001000100010 +001100110100 +001101000101 +010001000101 +001101000101 +001000110011 +000100010001 +000100010010 +001000110011 +000100100010 +010001000101 +010101010110 +010101010101 +010101010100 +010001000011 +010000110001 +010000110001 +010100110010 +010000110010 +010100110010 +010100110010 +011001000010 +011001010010 +011001000010 +011001010010 +011101010011 +011001000010 +011001000010 +011101010011 +011101010011 +011001010010 +011101010011 +011101100011 +100001100100 +011101100101 +011101100101 +011001100110 +011001010101 +010101010110 +010001010101 +010001010101 +010001010110 +010001010101 +001101000101 +001000110011 +000100010010 +000100100010 +001100110100 +010001010101 +010001010101 +001101000101 +001000100011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100100001 +000100100010 +000100100001 +000100100001 +000100100001 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +000100100001 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +001000100010 +000100100010 +001000100011 +001000110011 +001000110011 +001101000100 +010101010101 +011001100111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +100010001001 +011110001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010000111 +011101010011 +100001010011 +011101100100 +011101100101 +011110000111 +100001110111 +011101100100 +010000110001 +010101000011 +011101100110 +011101110111 +011101100110 +011101110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +011110001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +011110001000 +011110001001 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +011110001000 +100010001001 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010001000 +100010001000 +100010011001 +100010011001 +100010001000 +100010001000 +100010000111 +100001110110 +100001110111 +100010000111 +100001110111 +100010000111 +100001110110 +010000110010 +011001010100 +100001110110 +011101100101 +100001110111 +100010000111 +100001110111 +100001110111 +100001110110 +100001110110 +011101100101 +100001110110 +100001110111 +100001110110 +100001110110 +100001110101 +100001100100 +100001100101 +100001100101 +011101100101 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100001110111 +100001110110 +100001110110 +011101100101 +100001110111 +100010001000 +100010001001 +100010011001 +100010001000 +100001110111 +100001110111 +011101110111 +011101110111 +100010001000 +011101110111 +011101110111 +100010001000 +100010001000 +011101110111 +100010001000 +011101110111 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +011101110110 +100001110111 +011101110110 +011101110101 +011101100101 +011101010100 +011101100101 +011101100101 +011101100110 +011101100101 +011101100101 +011101100101 +100001110110 +100010000111 +100001110110 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011101110111 +011101100110 +011101110110 +011101110110 +011101100110 +011101100101 +011101100101 +011001010100 +011101100101 +011101010100 +010100110010 +010100110001 +010100110010 +010100110010 +010100110010 +011001000011 +011001010101 +010101000100 +010100110010 +010100110010 +010100110010 +010100110001 +010101000011 +011101100101 +011001010100 +010100110010 +001000010001 +000000000000 +001100100001 +010100110010 +011001100110 +011110000111 +011101100110 +011101100101 +011001010100 +100001110111 +011101110111 +010000110011 +010000110010 +001000010001 +001100100001 +001100100001 +001100100001 +001100100001 +010000110010 +010000110010 +011001010100 +011001100101 +010101010100 +011001010100 +010000110011 +010001000011 +011001010101 +011101100110 +010101000011 +010100110010 +010101000011 +010100110010 +010101000011 +010101000100 +011001010101 +011001100101 +010101000011 +010101000011 +011001000011 +011001010011 +010101000010 +010101000011 +011101100101 +010101000011 +010000110010 +010000110010 +010000100010 +001100100001 +001000010000 +001000010000 +001000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000100010010 +000000010001 +000100010001 +000000000000 +000100010000 +000100010001 +000100010001 +001000100010 +001100110011 +001100110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010001000101 +010001010110 +010001000101 +001100110100 +001100110100 +010001000101 +010000110100 +001100110011 +001100100011 +001100100010 +001100100010 +001100100010 +001100100011 +001100100011 +001100100011 +001100110011 +001100110100 +001100110011 +001000100011 +000100010000 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001100110010 +001100100011 +001000100010 +001000100001 +001000100001 +001000100010 +000100010001 +001000100010 +001100110011 +001000100011 +001100110011 +001100110100 +001100110100 +000000000000 +000000010001 +010001000101 +010001010101 +001100110100 +001101000100 +010001010101 +010001010101 +001101000100 +010001000011 +010101000100 +001100110010 +001100100001 +010100110010 +010101000010 +011001000010 +011001000010 +011001010011 +011101010011 +011101010011 +011101010011 +100001100011 +011001000010 +011001000010 +011101010011 +011001000010 +011101010011 +100001110101 +100001100100 +100001100100 +011101100100 +100001100100 +100001100101 +011001100101 +010101010110 +010001010101 +010001010101 +001101000100 +001000110011 +000100010001 +000100100010 +001100110100 +010001000101 +010001010101 +001101000101 +001000100011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010001 +000100010001 +000100010001 +000000010001 +000100010001 +000100100001 +000100100010 +000100100001 +000100100010 +001000100011 +001000100011 +000100100010 +000100100010 +001000100011 +001000110011 +010001000011 +010101010100 +011001100110 +011101110111 +011101111000 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +100010001001 +011110011001 +011110001001 +100010001001 +100010001000 +100010000111 +100110000111 +100001100101 +011001000011 +011101100011 +100001110101 +011001010011 +010000100001 +010100110010 +011101010100 +100001110110 +011101110110 +011101110110 +011101110110 +100001110111 +100010001000 +100010001001 +100010001001 +100010001001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101110111 +011110001000 +011110001000 +011110001001 +100010001001 +011110001001 +100010001000 +100010001000 +011110001001 +100010001000 +100010001000 +011110001000 +011110001001 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +011110001000 +100010001001 +100010011010 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +100001110111 +011101100110 +100010000111 +100010001000 +100010001001 +100010011010 +100010011001 +100110011001 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +010100110001 +010101000010 +100001110110 +100001110110 +100001110110 +011101110110 +011101100110 +100001110111 +100001110110 +100001110110 +011101100101 +100001110110 +100001110111 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +011101100100 +100001110110 +011101110110 +100010000111 +100001110111 +100010001000 +100010000111 +100001110110 +100001110110 +100010000111 +100001110111 +100001110110 +100010000111 +100010001000 +100010011001 +100010001001 +100010000111 +100001110111 +100010000111 +100010001000 +011110001000 +011110001000 +011101110111 +011101110110 +011101100110 +011101110111 +011101110111 +011101111000 +011110001000 +100010011001 +100010001001 +100010011001 +100010001000 +011101110111 +011101110111 +100001110111 +011101110110 +100001110111 +100001110111 +011101110110 +011101100101 +011101100101 +011101110110 +011101100110 +011101100101 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011101100101 +011101100110 +011101110110 +100001110111 +011101100101 +011101010101 +011101100110 +011101100101 +011001010101 +011101100110 +011001100110 +011101110111 +011101110111 +011101110110 +011101110111 +011001100101 +010101010100 +011001010100 +011001000100 +010101000011 +010100110010 +010100110011 +011101100110 +011101100110 +011001010100 +010100110010 +011000110010 +011101010100 +010101000011 +011101100101 +011101100101 +011101100101 +001100100010 +000000000000 +001100100001 +010100110010 +011001010101 +011101110111 +011101100101 +011001000011 +010101000010 +011101110111 +011110001000 +011101100110 +011001010100 +000100000000 +000100000000 +001100100001 +001100010001 +010000110010 +010100110010 +010000110010 +001100100010 +001100110010 +010101000100 +010101000100 +010101000100 +010101010101 +011001010101 +010101000100 +010101010101 +010000110011 +010000100010 +010000100001 +010101000011 +011101100110 +011101110111 +011101110111 +011001100101 +010101000010 +010100110010 +010000110010 +010100110010 +010100110010 +011101010100 +010101000011 +010000110010 +010101000010 +010101000011 +010101000010 +010000110001 +001100100001 +001100100001 +000100010001 +000000000000 +000000000000 +000000000000 +000100010001 +000100010010 +000100010001 +000000000000 +000000000000 +000100010000 +000100000000 +000100010001 +001000100010 +010001000100 +010101000101 +011001100110 +010001000101 +001100110011 +001100110011 +001100110100 +001100110100 +001100110011 +001100110011 +001100110100 +010001000101 +010001000101 +010101010110 +010101010110 +001100110100 +001100100011 +001000100010 +001100110011 +001100110011 +010000110011 +001100100010 +001000100010 +001100100010 +001100100010 +001100110011 +010001000100 +010101000101 +010000110100 +000100010001 +000100010001 +001000010001 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +001000100001 +000100010000 +000100010001 +001100100010 +001000100001 +001000100010 +000100010001 +001000100001 +001000100010 +000100010001 +001000100010 +001000100010 +000100010001 +000000000000 +001000100010 +010001010110 +001101000100 +001000100010 +001000100010 +001100110011 +001101000101 +010001010101 +010001010110 +010001010101 +010101010110 +010001000100 +010000110010 +011001000010 +011101010011 +011101100100 +011001010011 +010101000010 +011001000010 +011001000010 +011001000010 +010101000010 +011001000010 +011101010010 +011101010011 +100001100100 +100001100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010011 +011101100100 +100001100101 +011101100101 +010101100101 +010001000100 +001000110011 +000100010001 +000100010010 +001000110011 +001101000101 +001101000101 +001101000101 +001000100011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100100010 +000100100010 +001000100010 +001000110010 +001000110010 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000000010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100001 +001000100001 +001100110010 +010001000011 +011001010101 +011101110111 +011101111000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100001110111 +100001110110 +100001100101 +100110000111 +100001110110 +011101100100 +011001000010 +010000110001 +011001010100 +011101100101 +011101100101 +100001110110 +100001110110 +100001110111 +011101111000 +011110001001 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +100010001000 +011101111000 +011101110111 +011101110111 +011101110111 +100010001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +100010001000 +100010000111 +011101110111 +011110001000 +011110001000 +011110001000 +100010001001 +011110001000 +011110001000 +011110001000 +100010001001 +100010011001 +100010011001 +100010001001 +011110001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100001110111 +100001110110 +011101100110 +100001110110 +011101100110 +100010001000 +100010011001 +100010011010 +100010011010 +100010001000 +100010000111 +100001110111 +100010000111 +100010000111 +100010001000 +100010000111 +011001000011 +010100110001 +011101100101 +100001110110 +100001110110 +011101100101 +100001110110 +100001110110 +011101100101 +011101100110 +100001110110 +100001110110 +100001110110 +011101100100 +011101100101 +100001100101 +100001110110 +100010000111 +100001110101 +100001100101 +011101100101 +100110000111 +100001110110 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +011101100110 +100001110110 +100001110111 +100001110111 +100010001000 +100010011001 +100010001000 +100010001000 +011110001000 +011110001001 +011110001000 +011101110111 +011101110111 +100010000111 +100010000111 +100001110111 +011101110111 +010101100110 +011001100110 +100010001000 +100010001001 +100010011010 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100010000111 +100001110111 +100001110111 +011101110111 +011101110111 +100010001000 +011101110110 +011101110110 +011101110110 +011101100110 +011101100101 +100001110110 +011101100110 +011001100101 +011101100101 +011101100101 +011101100101 +010100110010 +011001010100 +011101110110 +011101100101 +011001010101 +011001010100 +011101100101 +011001100101 +011101100110 +011101110110 +011001010011 +010101000011 +011101100110 +011101100110 +011101100101 +011001010100 +010000110001 +010100110010 +010101000011 +011001010101 +010101000011 +010101000010 +010101000011 +010101000010 +010000110010 +010101000011 +010101000011 +001100100010 +000000000000 +001100100001 +011001000010 +010100110010 +010101000011 +011001010101 +010100110010 +011001000011 +011101110110 +011001110111 +011101100110 +010101000011 +010000110010 +001100100001 +001000010000 +001100010001 +010100110010 +010100110010 +011001010100 +011001010100 +010000110010 +010101000011 +010101010100 +010000110011 +010000110011 +001100110011 +010001000100 +011001100101 +011001100101 +011001010101 +010101010100 +010101000100 +011001010101 +010101010101 +011001100111 +011001100110 +010101000011 +010000110011 +010101000011 +010101000011 +010000110001 +010100110010 +010000100001 +010000100001 +010100110010 +010101000011 +010100110010 +010000100001 +001100100001 +001100100001 +001100100001 +000000000000 +000000000000 +000100000000 +000100010001 +000100010001 +001000100001 +000000000000 +000000000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010001 +001101000011 +010101010101 +010001000100 +010001000100 +010001000101 +010001000101 +010001000101 +010001000100 +001100110011 +001100100011 +001100110011 +010001000101 +010001010101 +010001000101 +001100110011 +001000100010 +001000100010 +010001000100 +010000110011 +001100100010 +001100100011 +001100110011 +001100100011 +010000110011 +001100110011 +001100110011 +001100110011 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000010001 +001000100010 +000100010001 +001000010001 +000100010001 +001000100001 +001000100001 +001000010001 +000100010001 +001000100010 +000100010001 +000000000000 +001100110011 +001101000100 +001000100010 +001100100011 +001100110011 +001100110011 +001100110100 +001100110011 +001101000100 +010001010110 +010001010110 +010001000101 +001100110010 +010000110010 +011101010100 +100001110110 +011001010100 +010100110010 +011001010011 +011001010011 +010101000010 +010101000010 +010101000010 +011001000010 +011101010011 +011001000010 +011001000011 +011001000011 +011001000011 +011001000010 +011101010010 +011001010011 +011001000010 +011101010011 +011101100100 +011101100100 +011101100100 +010101010100 +001100110010 +000100010001 +001000110011 +001101000101 +001101000101 +001101000101 +001000110011 +000100010000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100011 +001000100010 +001000110010 +001000100010 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100010 +001000100010 +001000110011 +001000110011 +001000110100 +001000110011 +001000100011 +000100100010 +000100100010 +000100100001 +000100010001 +000100010000 +000100010000 +001000100001 +001100110011 +010101010101 +011001100111 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +100010001001 +011110001001 +011110001001 +011110001001 +011110001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010000111 +100010000111 +100010000111 +100001100100 +010100110010 +010100110010 +010100110010 +010100110010 +011101100101 +011101110110 +100001110111 +011101110110 +011101110111 +011110000111 +100010001000 +011101110111 +011101111000 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +100010001000 +100010000111 +100010001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001001 +011110001001 +011110001001 +100010001001 +100010011010 +100010011010 +011110001001 +100010001000 +100010001000 +100010011001 +011110011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010000111 +100010000111 +100001110110 +011101110110 +011101100101 +100010000111 +011101110111 +100010011001 +100010011001 +100010001001 +100010001000 +100001110111 +100010000111 +100001110111 +100010000111 +100001110110 +010100110001 +010000100001 +011001000011 +100001110110 +100001110111 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101100101 +011101100101 +011101010100 +011101100100 +011101100100 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +011101110110 +100001110111 +100001110111 +011101100110 +100001110111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +011110001000 +011101111000 +011110001000 +100010001001 +100010001000 +011101110111 +011101110111 +011001100111 +011101110110 +100010001000 +011110001000 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +011101110111 +011110001000 +100010001000 +100001110111 +011101110111 +011101110111 +011101110110 +011101110101 +011001010101 +011101100110 +011101100101 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011001010100 +010101000011 +011001010100 +011001010100 +010101000010 +010101000010 +010101000010 +010101000011 +011101110111 +011101110111 +010101010100 +010100110010 +010000100001 +010000100001 +010000100001 +010101000010 +011101100100 +010100110010 +010101000010 +010101000010 +010101000011 +010100110010 +010000100001 +010000110010 +001000100001 +010100110010 +010101000010 +010100110001 +010100110001 +010100110010 +010000100001 +010100110010 +010101000011 +011101100110 +011001010100 +011001010100 +011101100101 +011001010101 +010000110011 +001100100001 +010000110010 +010101000011 +011101100101 +011101100101 +011001000100 +011101100110 +011101110111 +011101110111 +011101100110 +011001100101 +011001100110 +010101010110 +010101010101 +010101010101 +010101010101 +011001010101 +011001010101 +010101010101 +010101010101 +010101010101 +010101010110 +011001100111 +011001110111 +011001100110 +010101010100 +010000110010 +010000100001 +001100100001 +010000100001 +010000110010 +010100110010 +010100110010 +010000110001 +010000100001 +010000100001 +001100100001 +001000010001 +000100000001 +000100010001 +000100010000 +000000000000 +000100000000 +000100000000 +001000010000 +001100100001 +001000010000 +001000010000 +001000010000 +000000000000 +000100000000 +001000010001 +001100100010 +010000110011 +001100110011 +010000110011 +001100110011 +001100110100 +010001000100 +001000100010 +001000100010 +001100100010 +001100110011 +001100110100 +001100110011 +001000100010 +001000100010 +001100110011 +001100100011 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +000100100010 +000100100010 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +000100010001 +000100010000 +000100000000 +000100010000 +001000010001 +001000010001 +001000100001 +000100010000 +000100010000 +000100010001 +000000000000 +000000000000 +000100010001 +001100110011 +001100110011 +001100110100 +001101000100 +010001000101 +010001000101 +001000110011 +001100110011 +010001000101 +010001010110 +010001010101 +001000100010 +001100100010 +001100100010 +010000110010 +010000110010 +010000100010 +010101000010 +010101000010 +010000110010 +010100110010 +010100110010 +010101000010 +011001000010 +010101000010 +011001000010 +011001010011 +010101000011 +010101000010 +010101000010 +011001010011 +011101010011 +100001100100 +011101010011 +011101010010 +011101010010 +100001100011 +100001100100 +011101010100 +011001010100 +010101010100 +010001000101 +001101000101 +001000110011 +000100010001 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010010 +000100100010 +000100100010 +000100100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +001000100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001100110010 +010001010100 +011001100101 +011101110110 +100001110110 +100001110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +100010001001 +011110001000 +100001110111 +011110001000 +100001110111 +011101110111 +011101110110 +011101010100 +011001010100 +011101010100 +011101010011 +100001110110 +100001110110 +011101110110 +011101100101 +011101100101 +011101010100 +011101100100 +011101100101 +011101100101 +011101110111 +011101111000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +011110001001 +011110011001 +011110011010 +100010011010 +011110001001 +100010001001 +100010001000 +100010001000 +100010001010 +100010011001 +100010011001 +100010001001 +100010000111 +100010001000 +100010001000 +011101110110 +011101010100 +011001010100 +011001100101 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100010000111 +011101110111 +100001110110 +011101100100 +011101010100 +011101100101 +011101100101 +011101100110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +100001110110 +011101100101 +011101100100 +011001010011 +011101100100 +011101100100 +011101100101 +100001110110 +100001110110 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +011101110110 +011101100101 +011101110110 +100001110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101110110 +011001100101 +011001010100 +011101110111 +100010001000 +100010001000 +100010001001 +011110001000 +011101111000 +011101111000 +011001100110 +011101110111 +100001110111 +011101110111 +100010011001 +100010011010 +100010001001 +100010000111 +100010000111 +100001110111 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +011110001000 +100001110111 +011101100110 +011101100110 +011101100101 +011101100101 +011001010100 +011001010101 +011001010101 +011001010100 +100001110110 +011101110110 +011101100110 +011001010101 +011001010100 +010000110011 +011001010100 +011001100101 +010101000011 +010101000011 +011101100101 +010101000011 +011001010100 +011001100101 +011001010100 +010101000011 +010101000010 +010101000010 +010100110010 +010000110010 +011001010100 +010101000100 +011001100101 +010101010100 +010101000011 +010101000011 +010000100001 +010000100001 +010100110010 +011001000010 +010100110010 +010100110010 +010100110010 +010100110001 +010100100001 +010100110010 +010100110011 +011001010101 +011101100101 +011101100101 +011101100101 +011001100101 +011101100101 +010101000011 +001100100010 +010101000100 +011101100110 +011001010100 +010101000100 +011101110110 +010101010101 +011001100110 +011101100110 +011101110111 +100010001000 +100010001001 +100010001001 +011110001000 +011101110111 +011001100101 +011001100101 +010101010100 +010000110011 +010001000100 +010001000100 +010101010110 +011001100110 +011001110111 +010101010110 +001100110011 +001000100010 +001100100010 +001100100001 +010000100001 +010100110001 +010100110010 +010000100001 +010000110010 +010000110011 +010001000100 +001100110011 +000100010010 +000000010001 +000000000000 +000000000000 +001000100001 +001100100010 +001100100001 +010000110001 +010000110010 +001100100001 +001100100001 +001100100001 +001100100001 +001000010001 +001000010001 +001000010001 +000100000000 +000100010000 +000000000000 +000000000000 +000100010001 +001000010001 +001100100010 +010001000011 +010000110011 +010101010100 +001100110011 +001000100011 +001000100011 +001101000100 +001100110100 +001000110011 +001100110011 +001000100011 +001000100011 +001100100011 +001100100011 +001100100011 +001100110011 +000100010010 +000100100010 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000010001 +000100010001 +000100010000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000001 +000100000001 +000000000000 +000000000000 +000100010000 +001000100010 +001100110011 +010001000101 +010001000101 +001101000100 +001101000100 +001000100010 +000100010001 +001100110011 +001100110011 +001000100010 +000100010001 +001000100010 +000100010001 +001000010000 +001000010001 +001000100001 +010000110010 +010001000011 +010101000011 +010101000011 +010100110010 +010100110010 +010100110010 +010101000010 +010101000010 +011001010011 +011001010011 +010101000011 +010101000010 +010101000011 +010101000010 +010101000010 +011001000011 +011101010011 +100001100011 +011001000010 +011001000011 +011101010011 +011101010011 +011101010011 +011101100100 +011001100101 +001100110011 +000100010001 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000010001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +001100100001 +010101000011 +010101000010 +010101000011 +011101100110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101110110 +100001110111 +011101110111 +011101110110 +011101100101 +011101100101 +011101100101 +100001110110 +011101110110 +011101100101 +100001110110 +011101010100 +011101010011 +010100110010 +010000100001 +010100110010 +011001010011 +011101100101 +011101110111 +011110001000 +011001110111 +011101110110 +011101111000 +011110001001 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101110110 +011101110111 +011110001000 +011101111000 +011110001000 +011110001000 +011101111000 +011101110111 +011101111000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +100010001001 +011110001001 +011110001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010000111 +011101100101 +011001010011 +011001010011 +011001000010 +011001010100 +011101110110 +011101100110 +011101110111 +011101110110 +100001110110 +011101110111 +100010000111 +100001110111 +100001110111 +100001110110 +011101100101 +011101110110 +011101100110 +011101100110 +011101100110 +100001110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100101 +011101100100 +011101010011 +011101100100 +011101100101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110111 +100001110110 +100010000111 +100001110111 +100001110111 +100010001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +100001110111 +011101100101 +011101100101 +011101100101 +010100110010 +011001100101 +100010001000 +011101110111 +011101111000 +011110001000 +011110001001 +011101111000 +001101000100 +011001110111 +100010001000 +011110001000 +100010001001 +100010011001 +100010001000 +011101110111 +100001110111 +011101110111 +011101110111 +011101110111 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +011110001001 +011101110111 +011001100101 +011101110110 +011101110111 +011101110110 +011101100101 +011001100101 +011101100110 +011101100101 +011001010100 +011101100110 +011001100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011001010100 +010101000011 +011001010100 +010101000011 +010101000011 +011001000011 +011001010101 +011001010101 +010101000011 +010101000010 +011001010100 +011001100101 +011001010100 +010000100001 +010000100001 +010000100001 +010000100000 +010000100001 +010100110010 +011001000011 +010100110010 +010100110010 +010100110010 +011001000010 +010101000010 +011001010011 +011101100101 +011101100110 +011101100101 +010100110010 +010000110010 +010000110010 +011001010100 +011001010100 +010101000100 +011001010100 +010101000010 +010000110010 +010101000011 +010101010100 +010001000011 +010000110010 +010000110011 +011001010101 +011101110111 +011101111000 +011101111000 +011101110110 +011101110111 +100010001001 +011101110111 +010000110010 +010101000011 +011001100110 +010001000100 +010001000011 +010101000100 +010001000100 +001100110011 +000100010001 +001000100010 +010000110011 +001100100010 +010000110010 +010000100001 +001100010000 +001100100010 +010101000100 +010001000100 +000100010010 +000100010010 +000000000001 +000000000000 +000100010001 +001100110011 +010001000100 +001100100010 +001100100001 +010000100001 +001100100001 +001100100001 +010000100001 +010000110010 +010101000011 +010101000100 +010000110010 +001000010001 +001100100010 +001100100001 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +001000100001 +001100100010 +010000110010 +010000110011 +010001000101 +010101100110 +010001010101 +001000100011 +010001000100 +001000110011 +001000100011 +001000100010 +001000100011 +001100110011 +001100110011 +000100010001 +000100100010 +000100100010 +001000010001 +001000010000 +001000010001 +001000010000 +001000100001 +000100100010 +000100100010 +000100010001 +000100000000 +000100000000 +000100000000 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000100000000 +000100010000 +001000100001 +001000100010 +001100110100 +001100110100 +010001000100 +010001000101 +001100110100 +001000100010 +001000100010 +001100110010 +001000100010 +001000100001 +001000010001 +000100010001 +001000010001 +001000100001 +001000010001 +001100110011 +001100110011 +001100100010 +010000110010 +010000110010 +010000110010 +010101000010 +011001010011 +011101010100 +011001010011 +010101000010 +010101000010 +010101000011 +011001010100 +010101000011 +010100110010 +011001000011 +010101000010 +011101010011 +011101010011 +010100110001 +010101000001 +010101000010 +011001000010 +011101010011 +100001100100 +011101010011 +010101000010 +001000100001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010010 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010000110010 +011101100101 +010101000011 +001100100000 +001000010000 +010000110010 +011001100101 +011101110111 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101100110 +011101110110 +011101110110 +011101110110 +011001010101 +011101110110 +011101110111 +011101110111 +011101100110 +011101110110 +100001110110 +011101010100 +100001100101 +011001000011 +010100100001 +001100010000 +001100010000 +001100100001 +011001010100 +011101110110 +011101110110 +010101010100 +011001010101 +011101110111 +011110001000 +011110001000 +011101111000 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110110 +011101110110 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001001 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +011110001001 +100001110111 +011101110110 +011101100101 +100001110110 +011001010100 +010100110001 +011101100101 +011101110111 +011001010100 +011001010011 +011101110110 +011101110110 +011101100101 +011101110111 +011001100101 +011101110110 +011101110111 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110111 +011101110110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011101010100 +011101010011 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +100001110110 +011101110110 +100001110111 +100010001000 +011101110111 +100010001000 +011101110110 +011101100101 +011101100101 +011101100110 +011101100101 +011101100110 +011101100101 +011001010100 +010000110010 +011001010100 +011101100101 +011001100101 +011101110110 +011101110110 +011101110111 +011101111000 +011110001000 +011101111000 +010001010101 +011001111000 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011110001000 +011101111000 +100001111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011101110111 +100001110111 +011101110110 +011101100101 +011101100110 +011101110110 +011101100110 +011001100101 +011101100101 +011001100101 +011001100101 +010101000100 +010101010100 +010101000011 +011001010100 +011001000011 +010101000011 +010101000100 +011001100101 +011101110110 +011101110111 +010101000100 +010000110010 +010101000011 +010101010100 +011001010100 +011001010100 +011001010100 +010000110010 +010100110010 +010000110010 +010000110010 +010000110001 +010000110001 +010100110001 +010000100001 +010000100001 +011001000011 +100001110110 +011101100101 +010101000011 +010000100001 +010100110010 +010100110010 +010101000011 +010101000011 +010101000011 +010101000011 +001100100001 +010000110010 +011001010100 +010101000100 +001100100001 +010000100010 +010000110010 +010100110010 +010100110010 +010101000011 +010001000011 +010101010101 +010001000011 +001100100010 +010101000011 +010101010100 +011001100110 +011001100110 +010101000011 +011001100110 +011101110111 +011001100110 +010000110010 +010100110010 +011001100101 +011101110111 +011001100111 +011001100110 +010101010101 +010001000100 +001000100010 +001000100010 +001000100010 +001100110011 +001100110010 +001100100010 +010000110011 +010001000100 +010001000101 +001100110100 +000100010001 +000000000000 +000000000000 +000000000000 +001000100010 +001000100010 +001100110100 +000100010001 +000100010000 +001100100010 +001100110010 +010000100001 +010000110010 +010100110010 +010001000011 +010101010100 +010001000010 +010101000010 +010000110010 +010000110010 +010001000011 +001100110010 +001000010001 +001000010000 +000100010001 +001000100001 +001000010001 +001000000000 +001000010000 +000100010001 +001000100010 +001000100010 +000100010001 +001000100010 +000100010001 +000100000001 +000000000000 +000100010001 +000100010010 +001000100010 +000100100010 +000100100010 +000100010010 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001000100010 +000100100010 +000100010001 +000100010000 +000100000000 +000100010000 +000100010001 +001000010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +001000010001 +001000100001 +001000100010 +001100110011 +001101000100 +010001000100 +001101000100 +001000110011 +001100110011 +010001000100 +001100100010 +001000010001 +000000000000 +000100000000 +001000100001 +001000100001 +001000100001 +001100110010 +001000100010 +001000100001 +001000100001 +001000100001 +001100100010 +010000110010 +010101000011 +010101000010 +010101000011 +010101000010 +011001000010 +011101010011 +011101010011 +011001000010 +010101000010 +010101000010 +010000110001 +010000110001 +010000110001 +011001000010 +011001000010 +011001010011 +011001000010 +011001000010 +011001000010 +011101010010 +011101010010 +011101010010 +011001010011 +010101000010 +001100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010001 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010010 +000100010001 +000000010001 +000000010001 +000000010001 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001100110011 +011101110110 +011101100101 +011001000010 +010000100000 +001100100000 +010101000010 +010101000011 +010001000011 +011001100110 +011101110111 +011101100110 +011101100101 +011101110110 +011101100101 +011101100101 +011101100110 +011101110110 +011001100101 +011101110110 +011101110111 +011110001000 +011101111000 +011101110111 +011101110111 +011101100101 +011101100101 +011101100100 +011101010100 +010100110010 +001000000000 +000100000000 +010000110010 +011001010100 +011001010011 +011001000011 +010100110010 +010000110010 +011101100110 +011101110111 +011101110111 +011001100101 +011101100101 +011101110110 +011101100110 +011101100101 +011101100101 +011101110111 +011101111000 +011101110111 +011101110111 +011101110110 +011101110110 +011101100101 +011101100100 +011101100101 +011101100101 +011101110111 +011101110111 +011101111000 +011101110111 +011101100110 +011101110111 +011110001001 +011101111000 +011101111000 +011110001000 +011101111000 +011110001000 +011110001001 +011101110111 +011101100101 +011101100110 +011101110111 +011101110110 +011001010100 +011101010100 +011101100110 +011101100110 +011101100101 +011101110111 +011101110110 +011101100101 +011101110110 +011001010100 +010101010011 +011101100101 +011101100101 +011101100101 +011101110110 +011101110110 +011101100110 +100001110110 +011101110111 +011101110110 +011101100110 +011101100101 +011101100100 +011001010100 +011101010100 +011001010011 +011101100100 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101110110 +100010000111 +011101110111 +011101110111 +011101100110 +011101100101 +011101100101 +011101100110 +011101100110 +011101110110 +011101100101 +011101100101 +011001000011 +011001100101 +011101100110 +011101110110 +011101100110 +011001010101 +011101110110 +011101110111 +011001110111 +011001110111 +010101010101 +011101111000 +011110001001 +011110001001 +011110001000 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101100110 +011101100110 +011101110110 +011101100110 +011101110110 +011101100110 +011101100110 +011101100110 +011001100101 +010101000011 +010101010100 +011001010100 +010101010100 +011001010101 +010101000011 +010101000011 +011001100101 +011001100110 +011101110111 +011001100110 +010101000011 +010101000100 +010101010100 +011001010101 +011001010100 +010000110011 +010101000100 +011001010100 +011001010100 +010101000011 +011001010100 +010101000011 +010000110001 +010000100001 +010100110010 +010101000011 +011001010100 +011001010100 +011001000011 +010000100001 +010000100000 +010000100001 +010000110001 +011001010100 +010101000011 +001100100001 +001100100001 +001100010001 +010000110011 +010101000100 +001100100001 +010000110010 +010100110010 +010100110010 +010101000011 +010101010100 +010101000011 +010000110010 +010001000011 +010000110010 +010100110010 +010101010100 +011001100110 +011001100110 +010101000011 +010000110010 +010101000100 +010101000011 +010000110010 +010000110010 +010001000011 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +010101000101 +010001000100 +010000110011 +001000100010 +001000010001 +001000100001 +001100110010 +001100110011 +001100110100 +000100010001 +000000000000 +000000000000 +000000000000 +001000100011 +001000110011 +001100110100 +001100110011 +001100110011 +010001000100 +010001010100 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010001000010 +010101000100 +010001000011 +010001000011 +010001000100 +010101000100 +010000110010 +010000100010 +010000100010 +001100100001 +010000110010 +001000010000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100010 +000100100010 +001000100010 +001000100001 +001000010001 +000100010001 +001000100001 +001000100010 +001000100010 +000100100010 +001000100010 +000100010001 +000100010000 +000100010001 +001000010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000100010001 +001000100010 +000100010001 +000100010001 +000100010001 +001000100010 +001100110011 +001100110011 +001000110011 +001101000100 +010001000100 +001100110011 +001100100010 +000100000000 +000000000000 +000100010000 +001000010001 +001000100001 +001000100010 +001100110011 +001100110010 +001000100010 +001000100001 +000100010001 +001100100010 +001000100001 +001000010001 +001100110011 +010000110011 +001100100001 +010000110001 +010100110001 +010100110001 +010100110001 +011101010011 +010101000010 +010000110010 +010100110010 +010101000010 +011001000010 +011001000010 +010100110010 +010100110010 +010101000010 +011001000010 +011001000010 +011001000010 +011001000010 +011001000010 +011101010011 +011101010011 +011101010011 +010001000010 +000100010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110011 +010101000011 +010101000010 +010100110001 +010100110001 +011001000010 +011001010100 +010101010100 +001100110010 +001100100010 +010001000100 +010101010100 +011001010101 +011001100101 +011001100100 +011001010100 +011101100100 +011101110101 +011101110110 +011001100101 +011001100101 +011101110111 +011101110111 +011101110110 +011101110110 +011101100110 +011101110110 +011101100101 +011101100101 +011001010100 +001000010000 +001000000000 +011001000100 +100001110110 +011101010011 +011001010100 +011101100101 +010100110011 +011001010100 +011101100110 +011101100101 +011101100100 +010101000010 +011001100101 +011101100101 +011101010100 +010100110001 +011001100101 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101100101 +011101100100 +011101100101 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100110 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110110 +011101110111 +011101110110 +011101100101 +011101010100 +011001010100 +011101110111 +011101110111 +011101110111 +011101110110 +011101100101 +010101000011 +010101010100 +010000110010 +011001010011 +011101010100 +011001000011 +011001010100 +011101100110 +011001010101 +011101110110 +011101100110 +011101110110 +011101100110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +100001110111 +011101110111 +011101100110 +011101100101 +011101100110 +011101100101 +011101100110 +011101100110 +011101100101 +011001100101 +011101100110 +011001100101 +011101100110 +011101100110 +011001100110 +011101100110 +011101100110 +011101100101 +011001100101 +011101110111 +010101010101 +010001000100 +011101111000 +011110001000 +011110001000 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011001100111 +011101110111 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011001100101 +011101110110 +011101100110 +011001100110 +011001010101 +010101000100 +010101000100 +011001100110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100110 +011001100110 +011101110111 +011001100101 +011001010100 +011001010100 +011001010101 +011001100101 +011001100101 +010101000011 +010101000011 +011101100101 +011001010100 +010000110010 +011001010100 +010101000011 +010000100001 +001100010001 +010000110010 +010101000010 +010100110010 +010100110010 +010100110010 +010101000010 +010101000010 +010000110010 +010000110001 +011001100101 +010101010100 +010000110010 +010000100001 +010000100001 +001100100001 +010000110010 +010101000011 +010000110010 +010000100001 +010101000011 +010101000011 +010100110010 +010100110010 +010000110010 +010000110010 +001100100001 +001100100001 +010101000100 +011101110111 +011101100110 +011001010100 +010101000011 +010101000011 +010100110010 +010100110010 +010101000011 +011001010101 +011001100110 +010001000100 +011001010101 +011001100111 +010101010110 +010101010110 +010101010110 +011001100110 +010101010101 +011001010101 +010101010101 +010001000100 +010001000100 +001100110011 +001100110011 +000100010001 +000000010001 +000000000000 +000000000000 +001100110011 +010001000101 +010001010101 +010001000101 +010001000100 +010101010110 +010101100110 +010001000100 +010001010101 +010001000100 +001100110010 +010000110010 +010101000011 +010000110010 +010000110010 +010101000011 +010101000011 +001100110010 +010000110010 +010101000011 +010101000011 +010001000011 +011001010101 +010000110011 +001000010000 +001100100000 +001100110001 +001100100001 +001000010000 +000100000000 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000100000000 +001000100010 +000100100010 +000100100010 +001000100001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010001 +000100010001 +000100000000 +000100010000 +001000010001 +001000100001 +001000100001 +001100110011 +010001000101 +001101000100 +001100110011 +001000100010 +001000100010 +000100010001 +000100010000 +001000100001 +001000100010 +001100110100 +001101000100 +001000100010 +001100100011 +001000100001 +000100010001 +001100110011 +001100110011 +001000100010 +001101000100 +001000110011 +001000100010 +001100100010 +001100110010 +001100110010 +010000110010 +010101000010 +010101000010 +010100110010 +010101000010 +011001010011 +011001000010 +011001000010 +011001000010 +010100110001 +010000110001 +010100110010 +010101000010 +010100110010 +010101000010 +010101000010 +011001000010 +011001000010 +100001100100 +100001100100 +100001100100 +010101000011 +001100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110011 +010100110010 +010100110001 +010100110001 +010100110001 +010100110010 +011101100101 +011001100110 +010101000100 +010001000011 +010101000100 +010101010101 +010101010110 +010101010101 +010001000010 +010001000010 +010101000010 +011001100100 +011101110110 +011101110110 +011101100110 +011101110111 +011101100110 +011001010100 +011001010100 +011001010011 +011001010100 +011101100101 +011101100110 +011101100101 +010000110010 +001100010000 +010100110010 +011101100101 +011001010100 +011101100101 +011101110110 +010100110011 +010000100010 +011101100101 +011001010100 +010101000010 +010100110010 +010100110010 +011001010100 +011001010100 +010000010001 +010101000011 +011101100110 +011101100110 +011001111000 +011001110111 +011001111000 +011001110111 +011001100101 +011101100110 +011101100110 +011001110111 +011001110111 +011001110111 +011101110111 +011001100110 +011101100110 +011001110111 +011001110110 +011101110111 +011101111000 +011101110111 +011101111000 +011101111000 +011101111000 +011101111000 +011101110111 +011101110110 +011101100110 +011101100101 +011101100101 +011101100101 +011101110111 +011101110111 +011001100110 +011001010100 +011101100110 +011001100101 +011001100110 +011001010101 +011001010100 +010100110010 +010100110001 +011001000010 +011101100101 +011001100101 +011101100110 +011101110111 +011101110110 +011101100110 +011101100110 +011101100101 +011101100101 +011001010100 +011101100101 +011101100110 +011101100100 +011101010100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100110 +011101100101 +011001100101 +011101100101 +011101100101 +011001010100 +011101100110 +011001010101 +011001010101 +011101100101 +010101000011 +011001100110 +011101110110 +011001100101 +011001010101 +011001010101 +011001010101 +010101100101 +011001111000 +011001110111 +011101110111 +011101100111 +011101111000 +011110001000 +011101111000 +011110001000 +011101111000 +011101110111 +011101111000 +011101110111 +011101110111 +011001100111 +011101110111 +011101110111 +011101110111 +011001110111 +011101110111 +011001100110 +011101100110 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011101100101 +011001010101 +010101000100 +011001100101 +010101010100 +010001000100 +010101010101 +011101100110 +011001100110 +011001010101 +011001100110 +011001110111 +011110001000 +011101111000 +011001100110 +010101000011 +011001010101 +011101110110 +011101110110 +011101100101 +011001100101 +011001100101 +011001100101 +011001010100 +010101000011 +010001000011 +010001000011 +001100100010 +010000110010 +011001010100 +010101000011 +010101000011 +010101010100 +010101000011 +011001100101 +011001100101 +010101000100 +010101000011 +011001100101 +011001010100 +010101000011 +010101000010 +010101000010 +010000110010 +010101000011 +010101000011 +010000100010 +001100100001 +010000110001 +010000100001 +010000100001 +001100100001 +001100100001 +010101010100 +011001010101 +010101000100 +011001010101 +011001110111 +011001010101 +010101000100 +010000110010 +010000110010 +010000110010 +010000110001 +010000110001 +010000110011 +011001100110 +010101000011 +010000110010 +010000110011 +010001000100 +010101010101 +010101010101 +010101100110 +010101010100 +010101000011 +010101010101 +010101100110 +010101100110 +010101010110 +010001000101 +000000000000 +000000000000 +001100110011 +001000110011 +001000100010 +001100110010 +001000100010 +001101000100 +010001000100 +010001010101 +010101010110 +010101010101 +010001000101 +010101010101 +010101010100 +010101000100 +010101000100 +010101010100 +010101000011 +010101000011 +010101010100 +010101000100 +010000110010 +010101000011 +010101000010 +010101000100 +011001100110 +010101010101 +010001000011 +001100110010 +010000110001 +010000110001 +001100100001 +010000100001 +001100100001 +001100100001 +001100100001 +001000010000 +000100010000 +000100010000 +000100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000010001 +001000100001 +000100010001 +000100010001 +000100100010 +000100100010 +000100010001 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000100000000 +000000000000 +001000100001 +001000100010 +001000010001 +001000100010 +001100110011 +001101000100 +001101000100 +001100100010 +001000100010 +001000010001 +000100010001 +001000100010 +001000100010 +001101000100 +001100110011 +001000100010 +001000100010 +001000010001 +001000100001 +001100110011 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100001 +001000100010 +001100100010 +001100100001 +010000110010 +010000110010 +010100110010 +010000110001 +010000110001 +010101000010 +010000110001 +010000110001 +010000110001 +010000100001 +010000110001 +010100110001 +010100110010 +010000110001 +010100110010 +011001000010 +011001010011 +011101010100 +100001100100 +100001100100 +011101100011 +011001000011 +010000110010 +001000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000000010001 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100100010 +011001000011 +011001000010 +011000110010 +011001000010 +011001000010 +011101100101 +011001100101 +010101010100 +010101010100 +010101010101 +010101010101 +010101010110 +010101010101 +001100110010 +001000110000 +001000110001 +001100110001 +010001000010 +011001010100 +011001110110 +011101110111 +011101100110 +011101100101 +011001010011 +011001000010 +011001010011 +011001100100 +011101100110 +011101100110 +011001010100 +010101000011 +011001010100 +011001100101 +011001100101 +011101100101 +011101100101 +010101000011 +001100100010 +011101100100 +010000100001 +010000100001 +010100100001 +001100100001 +010000110010 +010100110010 +001100010000 +010101000011 +011101100101 +011001100100 +011101100110 +011001110111 +011001110111 +011001110111 +011001100101 +011101100110 +011001100110 +011001110111 +011001110111 +011101110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011001110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101100110 +011001100101 +011001100101 +011001100101 +011101100101 +011001010101 +011101100110 +011001100101 +011001100101 +011101110110 +011101110110 +011001010100 +010000100001 +010100110010 +011101100110 +011101100110 +011001100110 +011101110110 +011001100101 +011101100101 +011001100101 +011101100101 +011001100100 +011001010100 +011101010100 +011101010101 +011101100101 +011101100100 +011101010100 +011101100101 +011101100101 +011101100100 +011001010100 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011001100101 +011001010100 +011001010100 +011001100101 +011101100110 +011101110110 +011001100110 +011101100101 +010101010100 +011001100101 +011001110110 +011101100110 +011001100101 +011001100101 +011001100101 +010101100101 +011001110111 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001110111 +011101110111 +011001110111 +011001110111 +011001100110 +011001100110 +011101110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011001010100 +011001010100 +010101010100 +011001010101 +011001010101 +011001010101 +011001100101 +010001000011 +010101010100 +011001100110 +011001100110 +010101000100 +010101010101 +011101110111 +011101111000 +011101111000 +011001110111 +011001010101 +010101010100 +011001100110 +011001100110 +011001100101 +011001100110 +011001100110 +011101100110 +011001010101 +011001010100 +010101000011 +010101010100 +010001000011 +010001000011 +011001010100 +010101000011 +010101000011 +011001100101 +010101000011 +011001010100 +010101000011 +010101010100 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +010101000011 +011101100101 +011101100101 +010101000100 +010101000011 +010100110010 +010000100001 +010000110010 +010000110010 +010000110010 +010001000011 +010101010101 +011001010100 +010001000011 +011001100110 +010101010101 +010000110011 +010101000011 +010101000011 +010000110010 +010000110001 +010000100001 +010101000011 +011001100110 +011001100101 +010000110010 +010000100001 +001100100001 +010000110011 +010101010101 +010101010101 +010001000011 +010000100001 +010001000011 +010101010101 +010101010101 +010001000101 +010001000100 +000000000000 +000000010000 +010001010101 +010001010110 +010101010110 +010001000101 +001100110011 +001100110011 +001000100010 +001100110011 +001100110100 +001100110011 +001100110100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010101000011 +010101000011 +010101000100 +010101010100 +010101000011 +010000110011 +010000100001 +010101000011 +010101000011 +010101010101 +010001000100 +010000110010 +010000110010 +010000110001 +010000100001 +010100110010 +010000110001 +010000100001 +010000100001 +010000100001 +001100100001 +001000010001 +000100100010 +000100100010 +001000100010 +001000100010 +001000100001 +000100010001 +001000100010 +001000100010 +001000100011 +000100100010 +000100010010 +000100010001 +001000100010 +001100100010 +001100100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100000000 +000100000000 +000000000000 +000000000000 +000100010000 +000100000000 +000100010000 +001000100001 +001000010001 +000100010000 +000100010001 +001000100010 +001100110011 +001000100010 +001000100010 +001100110011 +010001000011 +001000100010 +001000100010 +001100110011 +001000100010 +001100110011 +001100110011 +000100010000 +001000100001 +001000100010 +001000100010 +001101000100 +010001000100 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100110011 +001000110010 +000100010001 +001100110011 +010000110010 +010000110010 +011001010100 +100001110110 +011001010100 +010001000011 +010000110010 +001100100001 +001100100000 +010100110010 +011101100100 +011001010100 +010100110010 +011001000010 +010101000010 +010101000010 +011001010011 +011001010011 +011001010011 +011001000010 +011001010011 +011001010100 +011001010100 +010000110010 +001000100001 +000100010000 +000000000000 +000100010001 +000100100001 +000000000000 +000000000000 +000000010000 +000000000000 +000100010001 +001000100010 +000100010001 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010001 +000100010001 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100001 +011101010011 +011001010011 +011001010100 +011001010011 +011001000010 +011001000011 +011001010101 +010101010101 +010101010110 +010101010101 +010001000100 +010101010110 +010101010110 +001101000010 +001100110001 +001101000001 +001100110001 +001000110001 +001000100001 +001000110001 +001101000011 +010101010101 +011001100101 +011001010100 +011001010011 +011001010011 +011001100100 +011001100101 +011001100101 +011001100101 +011001010100 +011001010100 +011001010100 +011101100100 +011001100100 +011001100101 +011001100101 +011001010100 +011001010100 +010000100001 +010100110010 +010100110010 +000100000000 +000100000000 +000100000000 +001000010001 +010101000011 +010101000011 +010101000011 +011001010100 +011001100101 +011001100110 +011001100101 +011001100100 +011001100101 +011001100101 +011001100110 +011001100111 +011001110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110110 +011101100110 +011001100110 +011101110110 +011001100110 +011001100101 +011101100101 +011101100110 +011101110111 +011001100110 +011101100110 +011101110111 +011001100110 +011001100101 +011101110110 +011101110111 +011001100101 +011001010101 +011001100101 +011101100110 +011001100101 +011001010100 +011001100101 +011101100110 +011001100110 +011001100110 +011001110110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +011001100110 +011001110111 +011001100110 +011001100110 +011001110111 +011001110111 +011001111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100101 +011001100110 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +011101110110 +011001100110 +011001010101 +011001010101 +011001100110 +011001010101 +010101010100 +010101000011 +010101000100 +010101000100 +010101010100 +011001010101 +010101010101 +010101010101 +010101010101 +011001100110 +011001110111 +011001100110 +011001010101 +010101010101 +011001100110 +011001100110 +011001100110 +011101100110 +011001100110 +011001100110 +011001010101 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000100 +011001010100 +011001010100 +010101010011 +011001010100 +010101000011 +010101000011 +010101000011 +011001010101 +011101100101 +011001100101 +011001010101 +011001100101 +011001100101 +011001010101 +011101100110 +011101100110 +001100100010 +010000100010 +011001100101 +011001100101 +010101000100 +010101000100 +010000110010 +001100100001 +010101000011 +010101010101 +010101000011 +010101000010 +010101000011 +010101000011 +010101000010 +010101000011 +010101000011 +010000110010 +010101000100 +010101000100 +010000110011 +010000110010 +010000110010 +010000110010 +010101010101 +010101010110 +010101000100 +010000110010 +010000110011 +010101010101 +010001000100 +001100110011 +010001000100 +000000000000 +000000000000 +001101000100 +010001010101 +010001010101 +010101010110 +010101100110 +010101010101 +010101010101 +010101010101 +010101010101 +001100110011 +001100110010 +001000100010 +001000110010 +001100110011 +001101000011 +010001000100 +010101010100 +010101010101 +010101010100 +010101000100 +010101010100 +010000110011 +010000110010 +010000110011 +010001000011 +010001000100 +010001000100 +010000110010 +001100100001 +010000110001 +001100100001 +001100100001 +010000110010 +010000110010 +010000110010 +010000110010 +010000100001 +001100100001 +000100100010 +000100010010 +010001000100 +100110011001 +000100010001 +000100010001 +000100100010 +100010001000 +010101010110 +000100010010 +000100010010 +000100010001 +101010101010 +101010011001 +100110011001 +010101010100 +001000100001 +000100010001 +010000110011 +100010001000 +000000000000 +000100000000 +000100010001 +100110011001 +001000010001 +000100000000 +000000000000 +010001000011 +100010000111 +000100010000 +000000000000 +011001100110 +010101010101 +000100000000 +011101110110 +101110111011 +101010101010 +100010001000 +001100110011 +001000100010 +011001100110 +101110111011 +101010011001 +011101100110 +001000010001 +001000100010 +011110001000 +101110111011 +101010101010 +101110101010 +010001000011 +001000110010 +001000100010 +000100010001 +001100110100 +010001000101 +100110011001 +101010101010 +101010101010 +011001010101 +000100010001 +100110011001 +101110111011 +101110111010 +100110011000 +011101100100 +011001000100 +101110111010 +110011001010 +110011001011 +101010011000 +010101000010 +010100110010 +010000100001 +100001110110 +100001110110 +010000110010 +011001000010 +010101000011 +101110111010 +101110111010 +110010111010 +011101100101 +010101000010 +011001010100 +110011001011 +101010011001 +100010000111 +000000000000 +000000000000 +001100110011 +101010101010 +100110011001 +101010101010 +010001010100 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100001 +011001000011 +011001010100 +011101100101 +011001010101 +011001010100 +011001010100 +011001010100 +010101000100 +010101010101 +010101010100 +010101010101 +010101010110 +010101100110 +001101000010 +001100110001 +001100110001 +001000110001 +001000110001 +001000110001 +001000100001 +000100100000 +000100010001 +000100100010 +001100110011 +010001000011 +011001010100 +011001010101 +011001010101 +011001010101 +011001100101 +011001010100 +010100110010 +010000100001 +011001000010 +011001000010 +010100110001 +011101010011 +011001010100 +011101100101 +011001010100 +011001010011 +010101000010 +001100010000 +000100000000 +000100000000 +010000100010 +010000100010 +001000010001 +010000110011 +011001010100 +011001010100 +010101000011 +010000110001 +010100110010 +010100110001 +011001010100 +011001100101 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011101100110 +011001010101 +011001010101 +011001010100 +011001100110 +011001100101 +010101010100 +011101100110 +011101110111 +011101100110 +011001100101 +011001100110 +011001100101 +011001100101 +011001100110 +011101100110 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011001100101 +011101100110 +011001010100 +011001100101 +011001100101 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +010101010101 +011001100110 +011001100110 +011001100110 +010101010100 +010101010101 +011001100110 +011001010101 +011001100101 +011001100110 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +010101010101 +011001010101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100110 +011001100110 +011001100101 +010000110011 +010001000011 +010101000100 +010101000100 +010101010100 +010101010100 +010001000100 +010001000100 +011001100110 +011001100110 +010101010101 +011001010101 +010101010100 +010101010101 +010101010100 +010101000100 +011001100110 +011101100110 +011001100110 +011001100110 +011001010101 +011001010100 +010101010100 +010101010101 +010101000100 +010101000011 +010101000100 +011001010100 +011001010100 +011001010101 +011001010100 +010101000100 +010001000011 +010101010100 +010101000100 +011001100101 +011001100101 +011001100101 +011001010100 +011101100110 +011001100101 +010101010100 +011001100110 +011001100101 +011001010100 +010101010100 +010101010100 +011001100110 +011001010101 +010001000011 +010101000011 +010000110010 +001100100001 +010000110010 +010000110010 +010000110001 +010100110010 +010000110010 +010000110010 +010001000011 +010101000011 +010000110010 +010000110010 +010101000100 +010101000011 +010001000011 +001100110010 +010101000011 +010101010101 +010101010101 +010001000100 +010101000011 +010101010100 +010001000011 +010000110010 +010001000011 +010001000100 +000100010001 +000000000000 +000000000000 +000100100010 +010001000100 +010001010110 +010101010110 +010101010101 +010101010101 +010001000011 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001000100 +010001000100 +001100110100 +001100110011 +001100110011 +010001000100 +010101000100 +010001000011 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010001000011 +010000110010 +010000110010 +001100100010 +001000100001 +010000110010 +010101000100 +010000110010 +010000100001 +001100100001 +001100100001 +000100100010 +000100010001 +100010011001 +110011001100 +001100110011 +000100010001 +000100010001 +100110011001 +011001100110 +000100010010 +000100010010 +000100100010 +101010101010 +001000100010 +000100010000 +001000100001 +001000100001 +000100010001 +010001000011 +100110011001 +000000000000 +000000000000 +010101010100 +110111011100 +010101010101 +000000000000 +000000000000 +010001000100 +111011101110 +011101110110 +000000000000 +011101110111 +011001100101 +000100000000 +100010000111 +011001100110 +001000100001 +100010001000 +100110011001 +001000100010 +011101110111 +011101110110 +001000010001 +101110111011 +001000100010 +010101010110 +101111001100 +010001010101 +001000100001 +010101010100 +101010101010 +001000100010 +001000110011 +001100110100 +001101000100 +100110011001 +100010000111 +001000010001 +010001000100 +001100110011 +000000000000 +100010001000 +010001000100 +001000100010 +010000110011 +011001010101 +101110111010 +101110101001 +011001010100 +011001010100 +100001110101 +010101000011 +010101000010 +010101000010 +110010111010 +101110111010 +010000110010 +010101000010 +010101000010 +110010111010 +010101000011 +100010000111 +101010011000 +010000110001 +100001100101 +101110101010 +010101000011 +110011001011 +010000110011 +000100010000 +101010101010 +001100110011 +000000000000 +001000100001 +101110111010 +001000100001 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +001000100010 +011001010100 +011001010101 +011001100110 +011001100101 +011001010101 +011001010100 +011001010100 +010001000011 +010101000011 +010101010101 +010101010101 +010101010110 +010101010110 +001100110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000000010010 +000100010010 +000100010010 +001000100010 +010001000011 +010101010100 +011001010101 +011001010100 +010101000010 +010100100000 +011001000010 +011001000010 +010100110001 +011001000010 +011001010011 +011001010100 +011001010100 +011001010011 +011101010011 +010100110010 +001100010001 +010100110010 +010101000010 +001000010000 +001000000000 +010000110010 +010000110010 +011101100101 +010101000011 +000100000000 +001000010000 +001000010000 +010000100010 +011001010101 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100101 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001010101 +011001100101 +011001010101 +011001100101 +011001010100 +011001100101 +011001010101 +010101010100 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001010101 +011001100110 +011001110111 +011001110111 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001010101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100110 +011001100101 +010101000011 +011001000011 +011001000011 +011001100110 +011001100110 +011001100101 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001100101 +011001100110 +011001010101 +011001010101 +011001010100 +011001100101 +010101000011 +010000110010 +011001010101 +011001100110 +011001100110 +010101000100 +010101000100 +010000110010 +010101010100 +011001100101 +011001010101 +011001010101 +010101010101 +011001010101 +010101100101 +011001100110 +010101010101 +011001010101 +010101010100 +011001010101 +010101010101 +011001100110 +010101010101 +010101010101 +011001010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001010101 +011001010101 +011001100101 +011001100101 +011001010101 +011001010101 +011001100110 +011001100110 +011001100101 +010101010100 +010001000100 +010101010100 +011001010101 +011001010101 +010101000100 +010001000011 +010001000100 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +011001010101 +011001100110 +011001100110 +010101010100 +010101000100 +010101010100 +011001010101 +010101010101 +010101010100 +010101010100 +010101010100 +010001000011 +010101010101 +011001010101 +011001010101 +011001010101 +011001010101 +010101010101 +011001010101 +011001100110 +011001010100 +010101000011 +011001010101 +011001010100 +011001100101 +011001100110 +011001100101 +011001010100 +010101010100 +011001010100 +011001010101 +011001010101 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000100001 +010000100001 +010000110001 +010000100001 +010101010100 +010101100101 +010101010101 +010000110010 +010000110010 +010101000100 +010101010100 +010101000011 +010000110010 +010000110011 +010101000100 +010001000011 +010101000100 +010101010101 +010101010100 +001100100010 +001100100010 +010101000100 +010001000011 +000100010001 +000000000000 +000100010001 +001100110011 +010001010101 +010001010110 +010001010101 +010001000100 +010001000100 +010001010100 +010001000100 +010001000100 +010001010101 +010001010101 +010101010101 +010101010101 +010101000100 +010001000100 +001100110010 +001100100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110011 +010001010100 +010101010100 +010101010101 +010001000100 +010001000100 +010001000100 +010101000100 +010001000011 +010000110011 +010001000011 +010000110010 +001000010001 +001000100001 +001100110010 +000100010010 +001100110100 +100110011001 +010101010101 +100010001000 +000000010001 +000000010001 +100010001001 +011001100110 +000100010010 +000100010010 +000100010001 +101010101011 +101010101010 +100110011001 +010001000100 +001000100010 +000100010001 +010001000011 +100110011001 +000000000000 +000100010000 +101010011001 +010001000011 +101010101001 +000100010000 +000000000000 +010101000100 +100010001000 +100110001000 +011001100110 +011101110110 +010101010101 +000000000000 +011101110111 +011001100101 +000100010000 +001100110011 +101010101010 +000100100010 +011101110111 +101110111011 +101010011001 +100010000111 +000100100010 +011110001000 +100010011001 +001000100010 +001000100001 +001000100001 +101010101010 +010001000100 +001100110011 +001000110011 +001000100010 +101010101010 +001100110010 +000100010001 +001100110011 +000100100010 +000000010001 +100110011001 +101110111010 +100110011001 +010001000100 +000100010001 +101110111011 +010101010101 +011001100101 +101110101010 +101010101010 +011001100101 +010101000010 +100001110111 +100010001000 +100110000111 +011101100110 +010000110010 +011001010011 +110011001011 +101010101001 +101110111010 +011101100101 +010000110001 +011001010100 +110111001100 +101110101001 +101110101010 +011001010011 +011101100101 +101010101010 +000000000000 +000000000000 +000000000000 +100010000111 +010101010101 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100000 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +001100110010 +011001010101 +011001100101 +011001100110 +011001100101 +011001010100 +011001010101 +010000110011 +010000110010 +010101000100 +010101010101 +010101010110 +010101010110 +010101010110 +001000110010 +001000100000 +001000100000 +001000100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010010 +000100010010 +000000010001 +000000000001 +000000000000 +000000010001 +001000100001 +001100110010 +010101000011 +011001000011 +011001010011 +011001010011 +011001010011 +010101000010 +010101000010 +010100110001 +011001000010 +011001000010 +011001010011 +011001000011 +010101000010 +011001010011 +011001010011 +010100110010 +001000010000 +010101000010 +010100110010 +010100110010 +001000000000 +000000000000 +000100000000 +000100000000 +000100000000 +001100100010 +010101000100 +010101010101 +010101100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001010101 +011001100101 +011001100101 +011001100110 +011001100110 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010101010101 +011001010100 +011001100101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010101 +010101000100 +011001010100 +010101000011 +010100110010 +010100110010 +010101000011 +011001100110 +011001100110 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010101 +010000110011 +001100010000 +010000110010 +011001010101 +010101000100 +010000110010 +010000110001 +010000100001 +001100100001 +010101000011 +011001100110 +010101010101 +011001100110 +010101010110 +011001100110 +011001100110 +010101100110 +011001100110 +011001010101 +011001010101 +010101010101 +010101100101 +010101100101 +010101010101 +011001010101 +011001010101 +011001100110 +011001100110 +011001100101 +010101010100 +010101010100 +011001010101 +011001010101 +011001010101 +010101010100 +010101010101 +011001010101 +010101010101 +010001000100 +010101010100 +010101010101 +011001010101 +010101010100 +010101000100 +010101010101 +010101010101 +010101000100 +010001000100 +010001000100 +010101010100 +010101010100 +010101010100 +010001000011 +010000110011 +011001010100 +010101010100 +011001100101 +011001100101 +010101000100 +010001000011 +010001000011 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101010101 +010101010101 +011001010101 +011001010101 +011001100110 +010101010101 +011001010101 +011001100110 +011001100101 +011001010101 +011001100101 +010101010100 +011001100101 +011001010101 +011001100101 +010101010100 +011001010101 +011001010100 +011001010101 +010101010100 +010101010100 +011001010100 +010101000011 +010101000011 +010101000011 +010000110011 +001100100001 +001100100001 +010000110001 +010000100001 +010101010101 +011001100111 +011001100110 +010001000011 +001100100001 +010001000011 +010000110011 +001100100001 +010000100001 +001100100001 +010000110010 +010000110010 +010101000011 +010101010100 +010001000011 +010000110010 +010000110010 +010001000011 +010101010101 +010101010101 +010001000011 +010000110011 +010000110011 +010001010101 +010101010110 +010001000100 +001100110011 +010000110011 +010001000100 +010001000100 +001100110011 +010001000100 +010001010101 +010001000101 +010001010101 +010101010101 +010000110011 +010000110011 +010000110011 +001100110010 +001100100010 +001100100001 +001100110010 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110010 +010001000011 +010101000100 +010101000100 +010101000100 +010000110011 +001100100010 +001100100010 +001100100010 +001100100010 +000100010001 +100010001001 +101110111011 +100110011001 +110011001100 +001000100010 +000000000001 +100110011001 +011001100110 +000100010001 +000100010010 +000100010001 +101010101010 +001100110011 +001000100010 +001000100010 +001100110011 +000100010001 +010001000100 +101010011001 +000000000000 +010001000100 +110011001100 +100010001000 +110011001100 +010101010100 +000000000000 +010101010100 +100010001000 +000100000000 +100110011000 +110011001100 +010101010101 +000000000000 +011101110111 +010101010101 +000000000000 +010101010100 +100110011001 +000000000000 +011001100110 +100110011000 +101110111010 +010101010101 +001100110100 +011001110111 +101010101010 +001000010001 +001000100010 +010001000100 +101110111011 +001100110011 +001000100010 +001000010001 +001000010001 +100110011001 +011001010101 +000100010001 +001100110011 +001000100011 +000100010010 +100110011001 +010101010100 +001000100001 +001000010001 +000100010001 +101010101001 +011001100111 +001101000100 +010101010110 +110011001100 +011001100101 +010000110010 +110011001011 +101010101010 +101110101001 +101110111010 +001100100001 +010000110010 +101110111010 +100110011000 +101110111010 +010000110010 +010000110001 +011001010101 +101110111010 +101110101010 +100110000111 +010100110010 +011101010100 +110011001011 +010101000011 +010000110001 +001000010001 +101010101010 +001100110011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100100000 +001000100001 +001000100001 +001000100001 +001000100000 +001000100000 +001000100001 +000100100001 +000100100000 +001100110010 +011001010100 +011001010101 +011001010101 +011001010101 +011001010100 +011001010101 +010101000011 +001100100010 +010101000100 +010101010101 +010101010110 +010101010110 +010101010110 +001000100010 +000100100000 +000100100001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100010 +010000110011 +010101010100 +011001010101 +011001010100 +011001000011 +010100110001 +010100110010 +011001010011 +011001010011 +011001010011 +011001010011 +011001000011 +011001010011 +010101000010 +010000100001 +011001000011 +011001000010 +010100110010 +001000010000 +000100000000 +001000010000 +000100000000 +000100000000 +000000000000 +000100000000 +010101000100 +011001100110 +010101010101 +010101000011 +010101000100 +010101010101 +010101010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100110 +011001100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001100111 +011001110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010101010100 +010101000010 +010101010100 +011001010101 +011001010101 +011001010101 +010101010100 +011001010100 +010101000011 +010000110010 +010100110010 +010000110001 +010100110010 +010101000010 +010101000011 +010101010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010100 +011001010101 +011001100101 +011001010101 +011001100101 +011001100101 +010000110010 +010000100001 +010000110010 +010000100001 +010000110001 +010000100001 +001100100001 +010000100001 +010000100001 +010101010101 +010101010101 +010101010110 +010101100110 +011001100110 +011001100110 +010101100110 +010101010101 +011001100110 +011001100110 +011001100110 +010101100110 +010101100110 +010101010110 +010101000100 +010001000100 +010101100110 +010101010110 +010101010101 +010101010100 +011001010101 +010101010100 +010101010101 +011001010101 +011001010101 +010101010101 +010101010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010001000100 +010001000100 +010101010101 +010101010101 +010101010101 +010001000100 +010001000100 +010001000011 +010001000100 +010101000100 +010001000011 +010101000011 +010101010100 +011001010101 +011001010101 +010101010100 +010001000011 +010101000011 +010101000100 +010101010100 +010101010100 +010101000100 +010101000100 +010101010100 +011001010101 +010101010101 +010101010100 +010001000101 +010101100111 +010101100110 +010101010101 +011001100110 +011001100110 +011001100101 +011001100101 +010101010101 +010101010100 +010101010100 +011001010100 +010101010101 +010101010101 +010101010100 +010101000011 +010101000011 +010101010100 +010101010100 +010101000011 +010101010100 +010001000100 +010101000100 +010000110010 +010000110010 +010000100001 +001100100001 +010101000100 +010101100110 +010101100110 +010001000011 +010000110010 +010101000100 +010001000011 +001100100001 +010000100001 +001100100001 +001100100001 +001100100001 +010001000011 +010101000011 +010000110010 +010000110010 +010000110010 +001100110011 +010101010101 +010101010101 +010101010101 +010001000011 +010000110011 +010001010101 +010001010101 +010000110011 +010000110010 +010000110011 +010001000011 +010101010100 +010001000100 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +001100110011 +010000110011 +010101010100 +010000110011 +001000010001 +001000010001 +010001000011 +010101100110 +010101100111 +011001100111 +010101100110 +010001010101 +010001000100 +001100110010 +001000100010 +001100100010 +001100100010 +001100110010 +010001000011 +010000110011 +010000110010 +001100110010 +010001000100 +101010101011 +001100110011 +001100110011 +011101110111 +100010001000 +000000010001 +100110011001 +100110011010 +011001100110 +001100110011 +000000010001 +101010101010 +011001100110 +010101010101 +010001000100 +001100110011 +011001100110 +100110011001 +011101110111 +000000000000 +101010101001 +010001000100 +000100010001 +010000110011 +101010101010 +000100000000 +010101010100 +100010001000 +000000000000 +000100010000 +101110111010 +010101010101 +000000000000 +011101110111 +100110001000 +011101100110 +101010101010 +001100110010 +000100000000 +011101110110 +011001100110 +001100100010 +101110111011 +010001000101 +001100110100 +101010101010 +100110011001 +011101110111 +101110111011 +011101110111 +001000100010 +001000100001 +000100010001 +000100010001 +010001000100 +101110111011 +100010001000 +100010001000 +011001110111 +000000010001 +100110011001 +100010000111 +010101010101 +010001000100 +000100010001 +010001000100 +101110111011 +100010001000 +100010001000 +101110111011 +001100110100 +011101110111 +101010101010 +010101000100 +010101000011 +101010101001 +011101100101 +010101000010 +101110111010 +011001010011 +100110000111 +100110011000 +001100100001 +011001010100 +110010111010 +011001000011 +101110111010 +011101100101 +001100100001 +100001110111 +101110111010 +100110000111 +101110111010 +101010101001 +001100110010 +001000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100001 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +001100110010 +010101010100 +010101000011 +011001010100 +011001010100 +011001000100 +011001010101 +010101000011 +001100100010 +010101000100 +010101010101 +010001010110 +010101010110 +010101010110 +001000100010 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000100100010 +001000110100 +001100110100 +010001000100 +010101000011 +010101000011 +011001010100 +010101010100 +011001010100 +010101010100 +011001010011 +010101000010 +011001010011 +010101000010 +010100110010 +010101000010 +010101000010 +010101000011 +001000010000 +001000000000 +010000110010 +010000100001 +001100010001 +001000010000 +000100000000 +010000110010 +011001100111 +011001100110 +010101000011 +010000110011 +011001010101 +010101010100 +010101000100 +010101010100 +010101010101 +010101010100 +010101010100 +011001100101 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +010101100110 +011001100111 +011001100111 +011001100110 +010101010110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100111 +011001100110 +010101000100 +011001010101 +011001100110 +010101010101 +010101000100 +010101010100 +010101010100 +010101000100 +010101010100 +010101010100 +010101010101 +010101010100 +010101010100 +010101000011 +010000100001 +010000110010 +010101000011 +010101000011 +010101010101 +010101010100 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +011001010101 +010000110010 +001100100001 +010000100001 +010000110010 +010000110010 +010000100001 +001100100000 +010000100001 +010000110011 +010101100110 +011001100110 +010101010100 +010101010101 +010101010101 +010000110010 +010000110010 +010101010100 +010101010101 +010101010110 +010001010100 +011001100110 +011001100110 +010101100110 +010101010101 +010101010101 +010101100110 +010101100110 +010101010100 +010101010100 +010101010100 +010101010101 +011001010101 +011001010101 +010101010100 +010101010100 +011001010101 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010101000011 +001100110010 +010001000011 +010101000100 +010001000011 +010101010101 +010101010101 +010001000100 +010101000100 +010101010100 +010101000100 +010101010100 +010101010101 +010101000100 +010101010101 +010101010101 +010101000100 +010101000011 +010101000011 +010001000100 +010101000100 +010001000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010001000100 +010101010101 +010101010101 +010101000100 +010101010101 +011001010101 +010101010101 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010101 +010101000100 +010001000011 +010101010100 +010101010100 +010101000011 +010101010100 +010001000011 +010101000100 +010101000011 +010101000011 +010000110010 +010101010100 +010101010101 +010101010100 +010101010100 +010101000011 +001100100001 +010101010100 +010101000100 +010000110010 +010000110010 +010000110010 +010101000100 +010001000100 +010101000100 +010101000011 +010000110010 +010000110010 +001100100001 +001100100010 +010001000011 +010001010101 +010001010101 +010001000100 +001100110010 +010001000100 +010001000100 +010001000011 +001100100010 +010000110010 +010001000100 +010001000100 +010001000101 +010001000101 +010001000100 +010101010101 +010101010101 +010001010101 +010101010101 +010001000100 +010001000011 +010001000100 +010000110010 +010000110010 +001100110010 +010101010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101010110 +010101000100 +001000100010 +001000010001 +001000010001 +000100010001 +001000100010 +001100110010 +001100110010 +001100110011 +001100110100 +001101000100 +000100100010 +001000100010 +001000100010 +001101000100 +000100010010 +010001000100 +011001100110 +010101100110 +001100110011 +000000010001 +010001000100 +011001100110 +011001100110 +001100110011 +001000100010 +011001100110 +011001100110 +000100010001 +000100010001 +010001000011 +000100010000 +000100010000 +000100010000 +010001000011 +000100010001 +001000100001 +001100110010 +000100010000 +000100010000 +001000010001 +001000100001 +000100010000 +001100110010 +010101010101 +010101010101 +001000100001 +000100010000 +000100010000 +001100100010 +001100110010 +000100010000 +010000110011 +010000110011 +001000100010 +001000100010 +011001100110 +011110000111 +010101010110 +001000110011 +001000100011 +001000100010 +001000100001 +001000100011 +001100110011 +001100110011 +011110001000 +100010001000 +001100110011 +000100010001 +001100110011 +011001010101 +011001100101 +010001000100 +000100010001 +000100010001 +001100110100 +011001110111 +011001100110 +001100110010 +001000100010 +010101010101 +010001010101 +001100100010 +001100100010 +010000110011 +010101000011 +010000110010 +011001010100 +010000110010 +001100110010 +011001010100 +001100100001 +010000110010 +011001010100 +001100100001 +010000110010 +010101000011 +010000110010 +010000110010 +011001010101 +100010000111 +011101100101 +010000110011 +010101000011 +010101000011 +010101000011 +001100100010 +000100100001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100010000 +000100010000 +001100110010 +011001010101 +010101000011 +010101000100 +010101000100 +010101000100 +010101010100 +010101000011 +010000110011 +010101000101 +010001010101 +010001010110 +010001010110 +010101010101 +001000100010 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010010 +000100100011 +000100110100 +001000100100 +000100100011 +000100010010 +000100010001 +001100100010 +010000110010 +010101000011 +010101010100 +010101010100 +010101000011 +011001010011 +010101000010 +010100110010 +010100110001 +010101000010 +010101000011 +001100100001 +001100010000 +010101000011 +010101000011 +010000110001 +001100010000 +001000010000 +010000110010 +010101010100 +011001010101 +011001010100 +011001100101 +011001100110 +011001010100 +010000110010 +010000110010 +010000110010 +010101000011 +010101010100 +010101010100 +010101010100 +010101010101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100111 +010101100110 +010101010101 +010101010110 +010101100110 +011001100111 +011001100111 +011001100111 +010101100111 +011001100110 +010101100110 +010101010101 +010101010100 +010100110011 +010000110011 +010101000100 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000010 +010101000010 +010101000011 +010101010101 +010101010101 +011001010101 +010101010101 +010101010101 +011001010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010000110010 +010001000011 +010101000011 +010000110010 +010000110010 +010000110010 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010000110010 +010000110010 +010000100001 +001100100000 +001100100001 +010000110010 +010101010101 +010001000011 +001100100010 +010001000011 +010000110011 +010101010101 +010101100110 +010101100110 +010101100110 +010101010101 +010101010101 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010101010100 +010101010100 +010001000011 +010000110011 +010001000011 +010101000011 +010001000011 +001100110010 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010101010100 +010101010100 +010001000100 +010101010101 +010101010101 +010101010101 +010101010101 +010001000100 +010001000100 +010001000100 +010101000100 +010101000100 +010001000100 +010001000011 +010101000100 +010101010100 +010101010101 +010101010101 +010101010100 +010101000100 +010101010101 +010101010101 +010101000100 +010001000100 +010101010101 +010101010101 +010101000100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101100110 +010101000100 +010001000011 +010101010101 +010101010101 +010001000011 +010101000011 +010001000100 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010101 +010101010101 +010101000100 +010101000011 +010101000011 +010101000100 +001100110010 +010000110011 +001100110010 +001100110010 +010001000100 +010001010101 +010001010101 +010101000100 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010001000100 +010001000100 +001100110011 +001100110010 +010001000100 +010001000100 +010001000100 +010000110010 +010000110010 +001100110011 +010001010101 +010001010101 +010101010101 +010001000100 +010101010100 +010101010101 +010001000100 +010001010101 +010101000100 +010001000011 +010001000011 +010000110010 +001000010001 +001000010001 +001100100010 +010001000100 +010001010101 +010101010110 +010001010101 +010001010101 +010001000100 +010101000100 +001100100010 +010001000011 +010101010101 +010001000011 +010001000100 +001101000011 +001100110011 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100010001 +000100010001 +000100010010 +001000100010 +000100100010 +000100010001 +001000100010 +001000100010 +000100010001 +000100010000 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010001 +001000010001 +000100010000 +000100000000 +000100000000 +001000100010 +001000110011 +001000100010 +001000010001 +000100010001 +000100100010 +001000110011 +001000100010 +001100110011 +001000100011 +000100010001 +000100010001 +000100010001 +000000000000 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +000100000000 +000100000000 +000100010001 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +010000110010 +010000110010 +001100100001 +001000100001 +001100100001 +001100100001 +001000010000 +000100010000 +010000110010 +011001010100 +011001010100 +010000110001 +010000110001 +010101000011 +010101010100 +010101000100 +010101000011 +010000110010 +010100110010 +010101000011 +010000110010 +010101000011 +010101010100 +010000110011 +001100100010 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100000 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +001000110010 +010101000100 +010101000011 +010101000100 +010101000100 +010101010100 +010101010100 +010001000011 +010000110011 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +000100100001 +000000010000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010010 +000100010010 +000100100011 +000100100011 +000100100010 +000100010010 +000000010001 +000000000001 +000000000001 +000000000001 +000000000000 +000000010000 +001000100001 +001100110011 +010101000100 +010101010100 +010101000011 +010100110001 +010000100000 +010101000010 +010101000011 +001100100001 +010000110010 +010101000011 +010101010100 +010101000011 +010000100001 +001100010001 +010000110010 +010101010100 +010101010110 +010101010101 +010101010110 +010101100110 +010101010101 +010001000011 +001100100010 +001000010000 +010101000011 +010000110010 +001100100001 +010000100001 +010001000011 +010101000100 +010101000100 +010101010101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101010110 +010101010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010001000011 +010000110010 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101100110 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010000110010 +010000110011 +010101010101 +010101010110 +010101100110 +010101010101 +001100110010 +010101010100 +010001000011 +010100110010 +010000110010 +001100100001 +010000110001 +010000110010 +010101010101 +010101000011 +001100100001 +001000010000 +001000010000 +001100110010 +010001000100 +010101100110 +010101010101 +010101010101 +010101010100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010001010101 +010101010101 +010101010101 +010101010100 +010101000100 +010001000011 +010001000100 +010101000100 +010001000100 +010000110011 +010001000011 +010001000100 +010000110011 +010001000100 +010001000100 +010101000100 +010101000100 +010001000100 +010001000100 +010101010100 +010001000100 +010101010101 +010101010101 +010001010101 +010001000100 +010001000100 +010101000100 +010101000100 +010001000011 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010000110011 +010000110011 +010001000011 +010101000100 +010001000100 +010101010100 +010101010100 +010101000011 +010101000100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001000011 +010001000100 +010101010101 +010101010101 +010101000100 +010101000100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000100 +010101010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010000110011 +010000110010 +010000110010 +001100110011 +010001000100 +010001000100 +010001000011 +010000110010 +010001000100 +010101000100 +001100100010 +010000110011 +010001000011 +010000110011 +010000110011 +001100110011 +010000110011 +010001000100 +010001000100 +010000110011 +001100100001 +001100100001 +010001000100 +010001010101 +010101010110 +010101010101 +010101010101 +010001000101 +010101010100 +010101000100 +010000110010 +010001000011 +010001000100 +010001000011 +001100100001 +001100110010 +001100110010 +001100110010 +010001000100 +010001000100 +010001000100 +010001010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +000100100010 +000100100010 +000100010010 +000100010001 +000100010010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +001000100010 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000010001 +000100010001 +000100100010 +001000110011 +001000100011 +001000110011 +001000100010 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100000000 +000100000000 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001100100001 +001100100001 +001100100001 +010101010100 +011101100101 +011101100101 +010101000010 +010000110010 +010101000011 +011001010011 +010101000011 +010101000011 +010000110010 +010000110001 +010000110001 +001100100001 +010000110010 +010000110010 +011001010100 +010101010011 +010101000011 +010000110010 +001000100001 +000100010000 +000000000000 +000000010000 +000100010000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001000100010 +010101000100 +010101000011 +010101000100 +010101000100 +010101010100 +010101010101 +010101000011 +001100110010 +010001000100 +010001000101 +010001010101 +010001010101 +010001000101 +000100010001 +000000010000 +000000010000 +000000010000 +000100010000 +000100100001 +001000100001 +001000100001 +001000100001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010010 +000100100011 +000100100011 +000100100011 +000100100011 +000100010010 +000000010001 +000000000000 +000000000000 +000000000001 +000000010001 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001100110010 +010000110010 +010000110010 +010101000011 +010101000011 +010000110001 +010101000010 +010101000011 +010101010100 +010101000011 +010100110010 +010000110010 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010000110010 +001100100001 +010101000100 +010000110010 +001000010000 +000100000000 +010000110010 +010001000011 +010000110010 +010001000011 +010101010100 +010001010100 +010001010101 +010101010110 +010101010101 +010101010101 +010101010110 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010101 +010101010101 +010101010101 +010101010100 +010101000100 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010100 +010001000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001000100 +010000110010 +010000110010 +010101000100 +010101010101 +010101010101 +010101010101 +010101000011 +001100100001 +010000110010 +010000110001 +001100100001 +001100100001 +010000100001 +010000110010 +010000110010 +001100100001 +010000100001 +001100100001 +001100100001 +010000110010 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101000100 +010001000011 +010001000011 +010001000100 +010101010101 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010101000100 +010101000100 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000011 +010101010100 +010101010101 +010101010101 +010001000100 +010001000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101010101 +010101010100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +010101000100 +010101010101 +010001000100 +010101000011 +010001000011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110011 +010000110011 +001100110010 +010001000011 +010001000011 +010001000100 +010001000011 +010001000100 +010001000011 +001100100010 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010001000011 +010000110011 +001100100010 +001100110010 +001100110011 +010001000100 +010001000100 +010001010101 +010001010101 +010101010101 +010000110011 +010000110010 +010000110011 +010001000100 +010001000011 +001100110010 +010101010100 +010001010100 +010000110011 +001100110010 +001100100010 +010000110011 +010000110011 +001100110010 +001100110011 +010001000011 +010000110011 +010001000100 +010001000100 +010001000101 +010001010101 +010001000100 +000100100010 +000100100010 +000100010010 +000100010001 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010001 +000100010001 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100000000 +000100000000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000110011 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010001 +000100000000 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +001000010001 +000100010000 +000100000000 +000100000000 +000100010001 +000100010001 +000100010000 +000000000000 +000100010001 +001100110011 +010000110010 +001100100010 +010000110010 +010000110010 +010000110010 +010101000011 +010000110010 +010000110010 +010000110010 +010101000010 +010000110010 +001100110001 +010000110010 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +010000110010 +010000110010 +010101000011 +010101000011 +010001000011 +010000110010 +001000100001 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +001000100010 +010101010101 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101000100 +010000110011 +010001000011 +010001000100 +010001000101 +010001000101 +010001000101 +000100010001 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +001000100001 +001000100001 +000100100000 +000100010000 +000000000000 +000000000000 +000000010001 +000100100011 +001000110100 +000100100011 +000100100010 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001100110010 +010001000011 +010000110010 +010101000011 +010101000011 +010101000100 +010101000011 +010101000010 +010100110010 +010101000100 +010101010100 +010101010101 +010101010100 +010101010101 +010101010101 +010101010110 +010101010101 +010000110010 +010000110010 +010101000100 +010101010100 +010000110100 +001100010001 +010000110011 +010101000011 +001100100001 +010101000011 +010101010101 +010101010101 +010001000100 +010001000100 +010101000100 +010101000100 +010101010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101010100 +010101010100 +010101000100 +010101010100 +010101000100 +010101000100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010100 +010101010101 +010101010100 +010101010101 +010101010101 +010101010100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010101000100 +010001000011 +010000110010 +010000110011 +010001000100 +010000110011 +010000110011 +010101000100 +010101010101 +010101010100 +010101010101 +010001000011 +010000110010 +010000110010 +010000110010 +001100010001 +001100100001 +010000110010 +010000110010 +001100100001 +001100100001 +001100100001 +010000110011 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101000100 +010101010100 +010001000100 +001100100010 +001100100001 +001100100001 +010000110010 +001100110010 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010101010101 +010101010100 +010000110011 +010000110010 +010101000100 +010101010100 +010101000100 +010101000011 +010000110011 +010001000100 +010101010101 +010101010101 +010000110011 +010000110010 +001100100001 +010000110011 +010000110010 +010001000011 +010001000100 +010001000011 +010101000100 +010001000100 +010101000100 +010101000100 +010101000100 +010101010101 +010101010100 +010101010100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000011 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +001100100010 +010000110011 +010000110011 +010000110011 +001100110011 +010001000100 +010001010101 +010101010101 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110010 +010001000100 +010001010101 +010001000100 +010001000011 +001100110010 +001100110010 +001100100010 +001000100001 +010000110011 +010001000100 +010000110011 +001100100010 +010001000100 +001100110011 +001100110011 +010001000100 +000100010010 +000100010010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +000100100010 +000100100010 +000100010001 +000100010010 +001000100010 +001000100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000000000000 +000100010001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000000000000 +000100000000 +000100010001 +000100010000 +000100010000 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001100110011 +001000100010 +001000010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +001000100001 +001100100010 +001100100010 +001100110010 +010000110010 +001000100001 +001100110010 +010000110010 +010000110010 +010000110001 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100100010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110001 +010001000010 +001100110010 +001000100000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +001000100010 +010101010101 +010001000011 +010101000100 +010101010101 +010101010101 +010101010101 +010000110011 +001100110010 +010000110011 +010001000011 +010001000101 +010001000101 +010001000101 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100100000 +000100100000 +000100100001 +000100010000 +000000010001 +000100100010 +000100100011 +001000110100 +000100100011 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000000010001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000100001 +010000110010 +010101000011 +010101000100 +010001000011 +010000110010 +010101000011 +010101000100 +010101010101 +010001010100 +010001010101 +010101010101 +010101010101 +010101010101 +010101000011 +010101000011 +010101000100 +010101010101 +010101000100 +010000100001 +010000110010 +010000110010 +001100100001 +010101000011 +010101010110 +010101100110 +010101100110 +010101010100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010101010101 +010101010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101010101 +010101000100 +010101000100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000011 +010101010100 +010101010100 +010101010100 +010001000100 +010101000100 +010101000100 +010001000100 +010001000100 +010101010100 +010101000100 +010101010100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101000100 +010101010100 +010001010101 +010101010101 +010101010101 +010001000100 +010001000100 +010001000011 +001100100001 +001100100001 +010001000100 +010001000011 +010001000100 +010001000011 +010001000100 +010101000100 +010001000011 +010001000011 +010000110010 +010001000011 +010000110010 +010000100001 +001100100001 +001100100001 +001100100001 +010000110010 +010101000100 +010001000100 +010101010101 +010101010101 +010001010101 +010101010101 +010001010101 +010101010101 +010101010101 +010001000100 +010001000100 +010000110011 +001100100001 +001100100001 +001000010000 +001000010000 +001100100001 +001100100001 +010000110011 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010100 +010101010100 +010001000011 +010001000100 +010101010101 +010001000100 +010001000100 +010101000100 +010001000100 +010001010101 +010001000100 +001100100010 +001000010000 +010000110010 +010000110011 +010000110011 +010001000011 +010000110010 +010000110011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010101010100 +010001000100 +010101010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000011 +010001000011 +010000110010 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010000110011 +001100110011 +001100110011 +010001000100 +010001000100 +010001000011 +001100100001 +001100100010 +010000110011 +001100100010 +010000110011 +010001000100 +010001000100 +010001000100 +010001010101 +010001010101 +010001000101 +010001000100 +010001000011 +001100110011 +001100110010 +010001000011 +010001010101 +010001000100 +010000110011 +010000110011 +001100110010 +001100100001 +001100100001 +001100110010 +001100100010 +010000110011 +001100110011 +010001000100 +001100110010 +001100100010 +010000110011 +000100100010 +000100010001 +000100010001 +000100010010 +000100010001 +000100010001 +001000100010 +001000110011 +001000110011 +001000100010 +001000100010 +000100010010 +000100100010 +001000100011 +001000100010 +001000100010 +000100010010 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100000000 +000000000000 +000100000000 +001000100010 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100010 +001100110011 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000000000000 +000100010001 +000100100001 +000100000000 +001000010001 +001100100010 +001100100001 +001000100001 +010000110010 +010000110010 +010000110010 +001100100010 +001100110010 +010000110010 +001100110010 +010000110010 +001100100001 +010000110010 +001100110001 +001100100001 +001100100001 +001100100001 +010000110010 +001100100001 +001000010000 +001100100001 +010000110010 +010000110010 +010000110010 +001100110010 +001000100001 +000100010000 +000000000000 +000000000000 +000000010000 +000000000000 +001000100010 +010001010101 +010000110011 +010101000011 +010101010101 +010101010101 +010101010101 +010000110011 +001100100010 +010000110011 +010001000011 +010001000101 +010001000101 +010001000101 +000100010001 +000000000000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010001 +000000010010 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +010000110001 +010000110001 +010100110010 +010000110010 +010001000100 +010001010101 +010001000101 +010001000100 +010001000100 +010001000101 +010001010101 +010101010101 +010101000100 +010101000100 +010001000100 +010101000100 +010101000100 +010000110010 +010101000011 +010000110010 +010001000011 +010101000100 +010001010101 +010101010110 +010101010110 +001100110011 +000100010001 +010001000101 +010101010101 +010101010101 +010101010101 +010001000100 +010001000100 +010001000100 +010001010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010001000100 +010101010101 +010101010100 +010001000100 +010101000100 +010101000100 +010101010101 +010001010101 +010101000100 +010001000100 +010001000100 +010001000011 +010101000100 +010101000100 +010001000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101010101 +010101000100 +010101010100 +010101010101 +010001010100 +010101000100 +010001000100 +010001010101 +010001000100 +010001000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000100 +010001000011 +010000110011 +010001000100 +010000110011 +001100110010 +001100100010 +001100100010 +010000110010 +010001000011 +010000110010 +010000110010 +010000100001 +010000100010 +001100100010 +010001000100 +010101010101 +010001010101 +010001010101 +010101010100 +010001010101 +010101010101 +010001000100 +001100110010 +010001000011 +010001000011 +010001000011 +010001000100 +001100110010 +001000010001 +001000010000 +001000010000 +001100100001 +001100100001 +001100100010 +010001000100 +010001000011 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +001100110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010001000100 +010000110010 +001000010000 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010001000011 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010001000011 +010001000100 +010001000011 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010000110011 +001100110011 +010000110011 +001100110011 +010001000011 +001100110011 +001100100010 +010000110011 +010001000011 +001100110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001010101 +010001010101 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010000110011 +010001000100 +010001000011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110010 +001000100001 +010000110011 +010001000011 +001100110010 +001100100010 +001100100010 +001100110010 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +000100100010 +001000100010 +001000100011 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +001000100010 +001100110011 +001100110011 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +001000100010 +001000100010 +000100010000 +000100010001 +001000100010 +000100010001 +000100010001 +001000010001 +001000100001 +000100010000 +001000010001 +001100100001 +001100100010 +001000100010 +001000100001 +001100100010 +001100110010 +010000110010 +001100100010 +010000110010 +001100100001 +001100100001 +001000100001 +001000010000 +001100100001 +001100100001 +001100100001 +001000010001 +001000100001 +001100100001 +010000110010 +010000110010 +010000110010 +010000110010 +001100100001 +001000010001 +000100010000 +000000000000 +001000100010 +010001000101 +010000110011 +010000110010 +010001000011 +010101010100 +010101000100 +010001000100 +001100110011 +001100110011 +001100110011 +010001000101 +010001000101 +010001000100 +000100010001 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010001 +000000010010 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +001100100001 +010000100000 +001100100001 +001100100000 +010000110001 +010000110011 +010001000100 +010001000100 +010001000101 +010001000101 +010001010101 +010001010101 +010001000100 +010001000100 +010001000101 +010001000100 +010101000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001010101 +010001000101 +010001010101 +010001010101 +010001000100 +010001000100 +010001010101 +010001010110 +010101010110 +010101010110 +010101100110 +010101010110 +010101010101 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001010101 +010001000101 +010001000100 +010001000100 +010001000100 +010101000100 +010101000100 +010001010101 +010001010101 +010101000100 +010001000100 +010001000011 +010001000100 +010001010100 +010001010100 +010001000100 +010001000100 +010001000011 +010101000100 +010101000100 +010101000100 +010001000100 +010001000100 +010001010101 +010101000100 +010001000100 +010001010101 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010000110010 +010000110010 +010000110010 +001100100001 +010000100001 +001100100001 +010000110010 +001100100001 +010000100010 +001100110010 +010101000100 +010001000100 +010101010100 +010001000100 +010001000100 +010001010101 +010001010101 +010101010101 +001100100010 +001100100001 +001100100010 +010001000100 +010001010100 +010001000100 +001000010001 +001000010000 +001000010000 +001100100001 +001100100001 +001100010000 +010000110010 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +010000110011 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +010001000100 +010001000011 +001100110011 +001100110011 +001100110010 +010000110011 +001100110011 +010001000011 +001100110011 +010001000100 +010001000100 +010001000101 +010001000100 +010000110011 +010001000100 +010001010101 +010001010101 +010001010101 +010001000101 +010001000100 +010001010101 +010001010101 +010001000011 +001100100001 +001100110010 +010000110011 +010000110011 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +001100110010 +001100100010 +001100110010 +001100110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +001100110011 +010001000011 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110010 +001100110011 +001100110010 +001100100010 +001100100010 +001100100010 +000100010001 +000100010001 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100010001 +000100010001 +000100010000 +000100010001 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +001000100010 +001000100010 +001000010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +000100010000 +000100010001 +000100100010 +001000100010 +001000100010 +000100010000 +000100010000 +000100010001 +001000100010 +000100010001 +000100010000 +000100010001 +001000100010 +001000100010 +000100100010 +000100010001 +000100010001 +000100010000 +000000000000 +000100010000 +000100010000 +001000100001 +001000100001 +001100100001 +010000110010 +001100100001 +001100100010 +001100100001 +010000110010 +010000110010 +001100110010 +001000010001 +001000010000 +001100100001 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +010000110010 +010000110010 +010101000011 +010101000011 +010001000011 +001000100001 +001000100010 +010001000101 +010000110010 +010000110010 +010000110010 +010101000100 +010001010101 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +010001000101 +010001000100 +000100100001 +000000010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010010 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100100001 +001100100001 +000000000000 +010000100000 +010000100000 +001100100001 +001100100001 +010000110010 +010001000100 +010001000100 +010001000101 +010001000100 +010001000101 +010001000101 +010001000101 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001000101 +010001010101 +010001010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010100 +010001000011 +010000110010 +010000110010 +010001000011 +010001000100 +010001000011 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001010101 +010001000100 +010001010100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010000110010 +001100100010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100010 +001100110010 +010000110011 +010000110011 +010001000100 +010001000101 +010001000100 +010001000101 +010000110011 +001000010001 +001100110010 +010001000100 +010001000100 +010001000011 +001000010000 +001000010000 +001100010000 +001000010001 +001000010000 +001100010000 +001000010001 +001100110010 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +001100110011 +001100110011 +010000110011 +010001000100 +001100110011 +001100110011 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010001000100 +010001010101 +010001010101 +010001000101 +010001000011 +010001000100 +010001000100 +010001000100 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010000110011 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +001101000011 +001100110100 +010001000100 +001100110011 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +010001000011 +001100110011 +010001000011 +010001000101 +010001000100 +010001000011 +010001000011 +010000110011 +001100110010 +010000110010 +010000110011 +001100110010 +010000110011 +001100110010 +010000110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110011 +001100110010 +001100100001 +001100110010 +001100110010 +001100110011 +001100110011 +001100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100000000 +000100010000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001000100010 +000100010000 +000100000000 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000000000000 +000100000000 +000100000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +001000100001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010000 +001000010010 +001000100011 +001000100011 +001000100010 +000100010001 +000100010001 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001100110011 +000100010001 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000100010001 +010000110011 +010000110010 +010001000011 +010000110011 +001100100001 +001100100001 +001100110010 +001100100001 +001100100001 +001100100001 +001100100010 +010000110011 +010101000011 +010101000100 +010101000011 +010000110011 +001100110010 +010000110010 +010001000011 +010000110010 +010101000011 +010001000011 +010000110010 +010101000011 +010001000100 +010001000100 +001100110010 +010000110010 +010000110011 +010001000100 +010001000100 +010000110011 +001100110011 +001100110011 +001101000100 +001101000100 +010001000100 +010001000100 +000100010001 +000000010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100100001 +000100010000 +001000010000 +010100110000 +010000100000 +010000100000 +010000100000 +010100110001 +010000110001 +001100100001 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001010101 +010001010101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010101010101 +010101010101 +010001010100 +010101000100 +010001000100 +010001000100 +010001000011 +001100110010 +001100110010 +010000110010 +010000110010 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110010 +010000110010 +010000110010 +001100100001 +001100010001 +010000110010 +010001000011 +001100110010 +001100100001 +001100100010 +010001000100 +010001000100 +010001000100 +010001000100 +001100100010 +010001000011 +010001000100 +010001000100 +010001000011 +001100110010 +001100100001 +001000010000 +001000010000 +001000010001 +001100010001 +001000010000 +000100010000 +001100110010 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +001100110011 +010001000100 +010001000011 +001101000011 +001100110010 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +010001000100 +001100110011 +001100110011 +010001000100 +010000110011 +010001000011 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001010101 +010001000100 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +001100110011 +001100110100 +010001000100 +010001000011 +001100110011 +010001000100 +010001000100 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001000100 +010001000100 +010000110011 +010001000100 +001101000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +010001000100 +010000110011 +010000110011 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +010000110011 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001101000100 +001101000100 +001100110011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010010 +000100010010 +001000100010 +000100100010 +000100010010 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001000100011 +001000100010 +000100010001 +000100010001 +000100010010 +001000100011 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +001000010001 +001100100010 +001100100010 +001000100001 +001000010001 +001000100001 +001100100010 +001100100010 +001100100010 +010000110010 +010001000011 +010101000011 +010101000011 +010001000011 +001100110010 +001000100001 +001100100010 +010000110010 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +010000110010 +010000110010 +010000110011 +010001000011 +010001000100 +001100110010 +001100100010 +001100110011 +001101000100 +001101000100 +010001000100 +010001000100 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100100000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100100001 +000100010000 +010000110001 +010000110000 +010000100001 +010000100000 +010100110001 +010100110001 +001100010000 +010000100001 +010100110001 +010000110001 +010000110010 +001100110011 +001101000100 +010001000100 +010001000100 +010001000100 +010001000101 +001101000101 +010001000101 +001101000011 +010001000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000011 +010000110010 +001100100001 +001100100001 +001100110010 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +010000110011 +010000110010 +001100100010 +001100100010 +010001000011 +010001000100 +010001000011 +010000110011 +010000110011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010000110011 +001100100001 +001100010001 +001100010000 +001000010000 +001000010000 +001000010001 +001100100010 +001100110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +001101000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000011 +010000110011 +010001000011 +010000110011 +001100110010 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000100 +001100110011 +001100110011 +001101000100 +010001000101 +010001000100 +001101000100 +010001000100 +010001000100 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100100010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001101000100 +001101000100 +001101000100 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100010010 +000100010010 +000100010001 +000000000000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100010000 +000100010001 +000100010001 +000100000000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000000000000 +000100000000 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100000000 +000100000000 +000100010001 +001000100001 +001000100010 +000100010001 +000100010000 +001000010001 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000100000000 +000000000000 +000100010000 +000100010001 +001000100001 +001000100001 +001100100010 +001000100001 +001100110010 +010101000011 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +001100100010 +001000100001 +001100110010 +010000110010 +010000110011 +010001000011 +001100110010 +001100110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110011 +001101000100 +001101000100 +010000110100 +001000100001 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +001000010000 +010000110001 +010000100000 +010000100000 +010100110001 +010101000001 +001100100000 +001000010000 +001100100000 +010100110000 +010000110001 +000100010000 +000000010001 +001000100010 +001100110011 +001101000100 +001101000100 +001101000100 +010001000100 +001101000011 +001101000011 +010001000100 +010001000101 +001101000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +001000010001 +000100000000 +001100100001 +010000110010 +001100110001 +001100110010 +010000110011 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110010 +001100100010 +001100100001 +001100010001 +001000010000 +001100100001 +001100100010 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +010001000100 +010001000100 +001100110011 +001100100010 +010000110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001101000100 +001101000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001000100 +010001000100 +001100110011 +001100110010 +001100110011 +010001000011 +010000110011 +010000110011 +001100110011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +001100110011 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010000110011 +001100110011 +010000110100 +001100110100 +010000110100 +010001000100 +010000110011 +010000110011 +010001000100 +010001000100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001101000100 +001101000100 +010001000100 +001101000100 +010001000100 +001101000100 +010001000100 +001100110011 +001100110011 +001100110100 +001101000100 +001100110011 +001100110011 +001100110100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100100010 +001100110010 +001100100010 +001100110011 +001100110011 +001101000100 +001101000100 +001101000100 diff --git a/rtl/Basys3_Top.v b/rtl/Basys3_Top.v new file mode 100644 index 0000000..9a4187b --- /dev/null +++ b/rtl/Basys3_Top.v @@ -0,0 +1,84 @@ +module Basys3_Top ( + input clk, + output [15:0] led, + output [6:0] seg, + output [3:0] an, + output dp, + output Hsync, + output Vsync, + output [3:0] vgaRed, + output [3:0] vgaGreen, + output [3:0] vgaBlue +); + assign led[15:1] = 14'b0; + assign dp = 1'b1; + + wire clk_1Hz; + wire clk_vga; + wire clk_sevseg; + + Clock_divider clock_divider_1Hz ( + .clock_in(clk), + .clock_out(clk_1Hz) + ); + + Clock_divider #(.CLOCK_RATIO(100_000_000/250)) clock_divider_sevseg ( + .clock_in(clk), + .clock_out(clk_sevseg) + ); + + Clock_divider #(.CLOCK_RATIO(100_000_000/50_000_000)) clock_divider_vga ( + .clock_in(clk), + .clock_out(clk_vga) + ); + + Blinky blinky ( + .clock(clk), + .clock_en(clk_1Hz), + .led(led[0]) + ); + + reg [13:0] counter; + wire [1:0] seven_segment_sel; + + always @(posedge clk) begin + if(clk_1Hz) + counter <= counter + 1; + end + + Seven_segment_timing seven_segment_timing ( + .clock(clk), + .clock_en(clk_sevseg), + .sel(seven_segment_sel), + .an(an) + ); + + Seven_segment_bcd seven_segment_bcd ( + .clock(clk), + .value(counter), + .sel(seven_segment_sel), + .seg(seg) + ); + + wire [9:0] vga_x, vga_y; + wire vga_blank; + VGA_timing vga_timing ( + .clock(clk), + .clock_en(clk_vga), + .hsync(Hsync), + .vsync(Vsync), + .blank(vga_blank), + .x(vga_x), + .y(vga_y) + ); + + RAM #(.WIDTH(12), .SIZE(400*300), .INIT_FILENAME("../init/vram.init")) ram ( + .clock(clk), + .reset(vga_blank), + .clock_en(clk_vga), + .addr(400*vga_y[9:1]+vga_x[9:1]), + .din(12'b0), + .dout({vgaRed, vgaGreen, vgaBlue}) + ); + +endmodule diff --git a/rtl/Bin2bcd.v b/rtl/Bin2bcd.v new file mode 100644 index 0000000..f46a059 --- /dev/null +++ b/rtl/Bin2bcd.v @@ -0,0 +1,21 @@ +// parametric Verilog implementation of the double dabble binary to BCD converter +// for the complete project, see +// https://github.com/AmeerAbdelhadi/Binary-to-BCD-Converter + +module Bin2bcd + #( parameter W = 18) // input width + ( input [W-1 :0] bin , // binary + output reg [W+(W-4)/3:0] bcd ); // bcd {...,thousands,hundreds,tens,ones} + + integer i,j; + + always @(bin) begin + for(i = 0; i <= W+(W-4)/3; i = i+1) bcd[i] = 0; // initialize with zeros + bcd[W-1:0] = bin; // initialize with input vector + for(i = 0; i <= W-4; i = i+1) // iterate on structure depth + for(j = 0; j <= i/3; j = j+1) // iterate on structure width + if (bcd[W-i+4*j -: 4] > 4) // if > 4 + bcd[W-i+4*j -: 4] = bcd[W-i+4*j -: 4] + 4'd3; // add 3 + end + +endmodule diff --git a/rtl/Blinky.v b/rtl/Blinky.v new file mode 100644 index 0000000..298a13f --- /dev/null +++ b/rtl/Blinky.v @@ -0,0 +1,16 @@ +module Blinky ( + input clock, + input clock_en, + output reg led +); + + initial begin + led <= 1'b0; + end + + always @(posedge clock) begin + if(clock_en) + led <= ~led; + end + +endmodule diff --git a/rtl/Clock_divider.v b/rtl/Clock_divider.v new file mode 100644 index 0000000..ef0cf10 --- /dev/null +++ b/rtl/Clock_divider.v @@ -0,0 +1,20 @@ +module Clock_divider #( + parameter CLOCK_RATIO = 100_000_000 +) ( + input clock_in, + output reg clock_out +); + + reg [$clog2(CLOCK_RATIO)-1:0] counter; + + initial begin + clock_out = 1'b0; + counter = 0; + end + + always @(posedge clock_in) begin + clock_out <= (counter >= CLOCK_RATIO-1); + counter <= (counter >= CLOCK_RATIO-1 ? 0 : counter + 1); + end + +endmodule diff --git a/rtl/RAM.v b/rtl/RAM.v new file mode 100644 index 0000000..98cbb8a --- /dev/null +++ b/rtl/RAM.v @@ -0,0 +1,33 @@ +module RAM #( + parameter WIDTH = 8, + parameter SIZE = 128, + parameter INIT_FILENAME = "" +) ( + input clock, + input reset, + input clock_en, + input write_en, + input [$clog2(SIZE)-1:0] addr, + input [WIDTH-1:0] din, + output reg [WIDTH-1:0] dout +); + + reg [WIDTH-1:0] ram[SIZE-1:0], dout; + + integer i; + initial begin + if(INIT_FILENAME == "") + for(i = 0; i < SIZE; i=i+1) ram[i] = 0; + else + $readmemb(INIT_FILENAME, ram); + end + + always @(posedge clock) begin + if(clock_en) begin + dout <= reset ? 0 : ram[addr]; + if(write_en) + ram[addr] <= din; + end + end + +endmodule diff --git a/rtl/Seven_segment_bcd.v b/rtl/Seven_segment_bcd.v new file mode 100644 index 0000000..31f5ff1 --- /dev/null +++ b/rtl/Seven_segment_bcd.v @@ -0,0 +1,39 @@ +module Seven_segment_bcd( + input clock, + input [13:0] value, + input [1:0] sel, + output reg [6:0] seg +); + + wire [17:0] bcd; + wire [3:0] bcd_digit; + + initial begin + seg = 0; + end + + Bin2bcd #(.W(14)) bin2bcd ( + .bin(value), + .bcd(bcd) + ); + + assign bcd_digit = bcd[4*sel +: 4]; + + always @(posedge clock) begin + case(bcd_digit) + // GFEDCBA + 4'd0: seg <= 7'b1000000; + 4'd1: seg <= 7'b1111001; + 4'd2: seg <= 7'b0100100; + 4'd3: seg <= 7'b0110000; + 4'd4: seg <= 7'b0011001; + 4'd5: seg <= 7'b0010010; + 4'd6: seg <= 7'b0000010; + 4'd7: seg <= 7'b1011000; + 4'd8: seg <= 7'b0000000; + 4'd9: seg <= 7'b0010000; + default: seg <= 7'bx; + endcase + end + +endmodule diff --git a/rtl/Seven_segment_timing.v b/rtl/Seven_segment_timing.v new file mode 100644 index 0000000..3c14163 --- /dev/null +++ b/rtl/Seven_segment_timing.v @@ -0,0 +1,19 @@ +module Seven_segment_timing ( + input clock, + input clock_en, + output reg [1:0] sel, + output reg [3:0] an +); + + initial begin + sel = 0; + an = 0; + end + + always @(posedge clock) begin + an <= ~(4'b0001 << sel); + if(clock_en) + sel <= sel + 1; + end + +endmodule diff --git a/rtl/VGA_timing.v b/rtl/VGA_timing.v new file mode 100644 index 0000000..16114e3 --- /dev/null +++ b/rtl/VGA_timing.v @@ -0,0 +1,47 @@ +module VGA_timing #( + // VESA 800x600@72 use with 50MHz pixel clock + parameter H_VISIBLE = 800, // Visible area + parameter H_FRONT = 56, // Front porch + parameter H_SYNC = 120, // Sync pulse + parameter H_BACK = 64, // Back porch + parameter H_TOTAL = 1040, // Whole line + + parameter V_VISIBLE = 600, // Visible area + parameter V_FRONT = 37, // Front porch + parameter V_SYNC = 6, // Sync pulse + parameter V_BACK = 23, // Back porch + parameter V_TOTAL = 666 // Whole line +) ( + input clock, + input clock_en, + output reg hsync, + output reg vsync, + output reg blank, + output reg [$clog2(H_TOTAL)-1:0] x, + output reg [$clog2(V_TOTAL)-1:0] y +); + + initial begin + hsync <= 1'b0; + vsync <= 1'b0; + blank <= 1'b0; + x <= 0; + y <= 0; + end + + always @(posedge clock) begin + if(clock_en) begin + hsync <= ~(H_VISIBLE + H_FRONT <= x && x < H_VISIBLE + H_FRONT + H_SYNC); + vsync <= ~(V_VISIBLE + V_FRONT <= y && y < V_VISIBLE + V_FRONT + V_SYNC); + blank <= (H_VISIBLE-1 <= x && x < H_VISIBLE-1 + H_FRONT+H_SYNC+H_BACK) + || (V_VISIBLE-1 <= y && y < V_VISIBLE-1 + V_FRONT+V_SYNC+V_BACK); + //blank <= (x >= H_VISIBLE-1 || y >= V_VISIBLE-1); + if(x >= H_TOTAL-1) begin + x <= 0; + y <= (y >= V_TOTAL-1) ? 0 : y + 1; + end else + x <= x + 1; + end + end + +endmodule diff --git a/sim/Makefile b/sim/Makefile new file mode 100644 index 0000000..eb24220 --- /dev/null +++ b/sim/Makefile @@ -0,0 +1,10 @@ +SOURCES = ../rtl/Blinky.v \ + ../rtl/Clock_divider.v \ + ../rtl/Bin2bcd.v \ + ../rtl/Seven_segment_bcd.v \ + ../rtl/Seven_segment_timing.v \ + ../rtl/VGA_timing.v + +output/testbench.vvp: testbench.v $(SOURCES) + mkdir -p output + iverilog -Wall -tvvp -I../rtl -stestbench -o $@ $< $(SOURCES) diff --git a/sim/testbench.v b/sim/testbench.v new file mode 100644 index 0000000..2f18e64 --- /dev/null +++ b/sim/testbench.v @@ -0,0 +1,54 @@ +`timescale 100ps/10ps + +module testbench (); + + reg clk; + initial clk = 1'b0; + always #5 clk = ~clk; + + wire slow_clk; + wire [1:0] segment_select; + reg [13:0] counter; + + Clock_divider #(.CLOCK_RATIO(4)) clock_divider ( + .clock_in(clk), + .clock_out(slow_clk) + ); + + Blinky uut ( + .clock(clk), + .clock_en(slow_clk) + ); + + Seven_segment_timing seven_segment_timing ( + .clock(clk), + .sel(segment_select) + ); + + Seven_segment_bcd seven_segment_bcd ( + .clock(clk), + .value(counter), + .sel(segment_select) + ); + + VGA_timing vga ( + .clock(clk), + .clock_en(1'b1) + ); + + always @(posedge clk) begin + if(slow_clk) counter <= counter + 1; + end + + initial begin + $display("Hello, World!"); + $display("Simulation started."); + + $dumpfile("output/testbench.vcd"); + $dumpvars(0, testbench); + $display("Writing to output/testbench.vcd"); + + #5000 $finish(); + end + +endmodule diff --git a/tools/birds.init b/tools/birds.init new file mode 100644 index 0000000..6fb030c --- /dev/null +++ b/tools/birds.init @@ -0,0 +1,120000 @@ +001000100010 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100010 +001100110010 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +010000110011 +001100110010 +001000100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +010001000011 +010101010100 +011101100101 +100001110110 +011101100110 +010101010100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010101010100 +010101010100 +011001010101 +011101110110 +100001110110 +100010000111 +101010011000 +110011001011 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110011001100 +101010101010 +101010011001 +101010011000 +101010101001 +101110101001 +101010101001 +100110001000 +011001010101 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010101000100 +010101000100 +010001000100 +010101010100 +010101010101 +010101100110 +011001110111 +011101111000 +011101110111 +011001100110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +011001010101 +011001100110 +011001110110 +011101111000 +011110001000 +011101111000 +011101110111 +011101110111 +011101111000 +011101111000 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001001 +100010001001 +011110001000 +011101110111 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110010111 +101010010111 +101010010111 +101010010111 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110111000 +101110111000 +101110111000 +101110111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110010111001 +110111001001 +110011001001 +110111001001 +110111001001 +110111001001 +110111001010 +110111001010 +110111001010 +110111001010 +110111011011 +110111011011 +110111011100 +110111011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011101 +111011101101 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101111 +111011101111 +111011101111 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101111 +111011101111 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111011101 +110010111010 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101010 +101110111010 +101110111010 +110010111011 +110011001011 +110011001011 +110011001011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111010 +101110111010 +101110111011 +110011001011 +110011001100 +110011001100 +110011001100 +110010111011 +101110111011 +101010101010 +101010101010 +100110011010 +100110011001 +100110011001 +100110011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100110011001 +101010101010 +101110111011 +101110111011 +101110111011 +101010101010 +100010001001 +011101111000 +011101111000 +011101110111 +011001100111 +011001100110 +011001100110 +011101110111 +100010001000 +101010101010 +110011001100 +110011011100 +110011001100 +110011001100 +110011001100 +101110111011 +101110111011 +101110111010 +101110111010 +101110111010 +101110111010 +101110101010 +101110111010 +101110111011 +110011001011 +110011001100 +110011001100 +110011001100 +101110111011 +101010101011 +100110011010 +100010011001 +100010001001 +100010001001 +100010011001 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +110011001011 +110111011101 +110111101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011011101 +110011011101 +110011011101 +110011011101 +110011011101 +000100100010 +001000110010 +001101000100 +010001010100 +001101000011 +001000110010 +001000100010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001100110011 +001000110011 +001000100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001100110010 +010000110011 +010101000011 +010101000100 +010101000100 +010101000100 +010001000011 +001101000011 +001101000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010100 +010101010100 +011001010101 +011001100101 +011101110101 +100110011000 +110011001100 +111011101110 +111011101111 +111011101110 +111011101110 +111011101110 +111011101110 +110111011101 +110111011110 +110111101110 +110111011110 +110011001100 +100110011001 +100010000111 +100010000111 +100010000111 +100001110111 +100010000111 +100010000111 +100001110110 +011001100101 +010101000100 +010001000011 +010000110011 +010001000011 +010001000011 +010101010100 +010101010101 +010101010100 +010101000100 +010101000100 +010101010101 +011001100110 +011001110111 +011001110111 +011001100110 +011001010110 +011001010101 +011001010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001100110 +011001100110 +011101100110 +011101110111 +011101110111 +011101110110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100110 +011101110111 +011101110111 +011101111000 +011101110111 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001110100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110010111 +101010010111 +101010010111 +101010100111 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110100111 +101110101000 +101110101000 +101110111000 +101110111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110010111001 +110010111001 +110111001001 +110110111001 +110111001001 +110111001001 +110111001010 +110111001010 +110111011011 +110111011011 +110111011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111011101 +110111001011 +101110101001 +101110101000 +101010101000 +101010101001 +101010101001 +101010101001 +101010101001 +101010101001 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010101001 +101010101001 +101010101001 +101010101001 +101010011001 +101010011001 +101010011001 +101010101001 +101010011001 +101010011001 +101010011001 +101010011001 +100110011000 +100110011000 +100110011000 +101010011001 +101010101001 +101010101010 +101010101001 +100110011001 +100110011001 +100110011000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001000 +100010001000 +100010001000 +100110011001 +100110011001 +100110011001 +100010001000 +011110001000 +011101110111 +011101110111 +011001100111 +011001100110 +011001100110 +011001100101 +011001100110 +011101110111 +100110001000 +101010101001 +101010101001 +101010101001 +101010011001 +100110011001 +100110011000 +100110001000 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100110011000 +100110011001 +100110011001 +100110011001 +100110011001 +100010001001 +011101111000 +011101110111 +011001110111 +011001100111 +011001100110 +011001100110 +011001100111 +011001100111 +011101110111 +100010001000 +101010101010 +101111001011 +110111011101 +110111101110 +111011101110 +111011101110 +110111101110 +110111011110 +110111011110 +110111011101 +110111011101 +110011001100 +110011001100 +110010111011 +101110111010 +101110111010 +101110111010 +101110111010 +101110111010 +101110111011 +110011001100 +110011001100 +110111011101 +110111011101 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011011101 +110011011101 +110011011101 +010001010100 +010001010100 +011001100110 +011101110111 +011101110110 +010101100101 +001101000011 +010001010100 +010101010100 +010101010100 +010001010100 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110100 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +001101000100 +010001000101 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +011101100101 +101010010111 +110111001011 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111011101 +110111011101 +110111011101 +110011011101 +101110111011 +100110011001 +100010011000 +100010011000 +011110000111 +011101110110 +011101100110 +011101100110 +011101100110 +011001100101 +010101010100 +010001000011 +010001000011 +010101000011 +010101000100 +010101010100 +011001010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101010101 +011001100101 +011001100110 +010101010101 +010101010101 +010101010100 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100101 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100110 +011101110111 +011001100110 +011001010101 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001110100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100010000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110010111 +101010010111 +101010010111 +101010011000 +101010101000 +101010101000 +101010101000 +101010101000 +101010101000 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +101110101000 +101110101000 +101110100111 +101110100111 +101110101000 +101110101000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110010111001 +110110111001 +110110111001 +110110111001 +110111001001 +110111001001 +110111001010 +110111011011 +111011011100 +111011011100 +111011011100 +111011011011 +111011011011 +111011011011 +111011011011 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011101 +111011101101 +111011011101 +111011011100 +110111011100 +110111011100 +110111011100 +110111011100 +110111011011 +110111011011 +110111011011 +110111011100 +110111011100 +110111011100 +110111011100 +110111011100 +110111011100 +110111001011 +110011001011 +101110111001 +101110101000 +101110011000 +101010011000 +101010101000 +101010101001 +101010101001 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +100110000111 +100110010111 +101010011000 +101010011000 +101010011000 +100110011000 +100110000111 +100110000111 +100110001000 +100110011000 +100110011000 +100110011000 +100110001000 +100110001000 +100010000111 +100001110110 +100001110111 +100010000111 +100010001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011101110111 +011101110111 +011101110111 +100001111000 +011110001000 +011101111000 +011101110111 +011101100111 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001100101 +011101100110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100110 +011101100110 +011101110110 +011101110111 +011101110111 +011101111000 +011001110111 +011001100111 +010101100111 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +100010001000 +101010101001 +101110111011 +110011001100 +110111011101 +110111011101 +110111011101 +110111011101 +110011001100 +110011001011 +101110111011 +101110111010 +101010101001 +100110011000 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100110001000 +101010011001 +101010101010 +101110111011 +110011001100 +110011001100 +110111011101 +110111011101 +110111011110 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +100010011000 +100010000111 +100010000111 +100110011000 +100110011001 +100110011000 +011101110110 +011001110110 +011101110110 +100010010111 +100010010111 +011101110110 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +010000110100 +001100110100 +001100110011 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100110011 +001100110100 +001101000100 +010000110100 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +010101000100 +010101000011 +010101010100 +010101010100 +010101000100 +010101010100 +100001110101 +101010010111 +101110111010 +110111011100 +111011101110 +111011101111 +111011101110 +110111101110 +110111011110 +110111011101 +110011001100 +101110111100 +101110111011 +101111001100 +101110111011 +101010101010 +100010000111 +011101100110 +011001100101 +011001100101 +011001010100 +010001000011 +010001000011 +010101000100 +011001010100 +011001010100 +011001000100 +011001000100 +011001000100 +011001000100 +010101000100 +011001000011 +010101000100 +010101010100 +010101000100 +010001000100 +010101000100 +010101000100 +010101010100 +011001010100 +010101010100 +010101010100 +010101010100 +011001010101 +011001100101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011001100110 +011001100101 +011001010100 +010101010100 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001100100 +011101100101 +011101100100 +011101100100 +011101100100 +100001100101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100010000110 +100010000110 +100110000110 +100110000110 +100110000111 +100110000111 +100110010111 +100110010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110101000 +101110101000 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110110111001 +110110111001 +110111001001 +110111001001 +110111001010 +111011011011 +111011011100 +111011011100 +111011011011 +111011011011 +111011011010 +111011011010 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +110111001011 +110111001011 +110111001011 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110010111010 +110010111010 +110010111010 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +101110101000 +101110101000 +101110101000 +101110101000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +100110000111 +100110000111 +100110000111 +100110011000 +101010011000 +100110011000 +100110000111 +100110000110 +100010000110 +100110000111 +100110001000 +100110001000 +100110001000 +100110001000 +100010000111 +100001110110 +100001110110 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +011110001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100111 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100110 +011101100110 +011101100111 +011101100111 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100110 +011001100111 +011001111000 +011001111000 +011001110111 +010101100111 +010101010110 +010101010101 +010101010101 +010101010101 +010001010101 +010101010101 +010101010110 +011001100110 +011110000111 +100010011000 +100110011001 +101010101010 +101110111010 +101110111010 +101110111010 +101110101001 +101010101001 +100110011000 +100110000111 +100010000111 +011101110101 +011001100101 +011001100100 +011001100100 +011001100100 +011101100101 +100001110110 +100010000111 +100110011000 +100110011000 +100110011001 +101010101010 +101010111011 +101110111100 +110011001100 +110011001100 +110011011101 +110111011101 +110111011110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +101110111010 +101110111010 +101010111010 +101110111010 +110011001011 +101110111010 +100110101001 +100010000111 +100010000111 +101010111010 +101110111010 +101110101001 +100010000110 +010001000011 +001100100010 +001100100010 +001100100010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100100010 +001100100010 +001100110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010001000011 +010101010100 +011101100101 +100110000110 +101010101000 +110111011100 +111011101110 +111011101110 +111011101111 +111011101110 +110011011101 +101110111011 +101110111011 +110011001100 +110111011101 +110011001100 +101110111011 +100110011001 +011101100110 +011001010101 +010101010100 +010101000100 +010001000011 +010101000011 +011001010100 +011101010101 +011101010100 +011001000100 +011001000011 +011001000100 +011001000100 +011001000011 +011001000011 +011001000011 +010101000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001010101 +011001100100 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101010011 +010101010011 +011001010100 +011001010100 +011101100101 +011101100101 +011101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100010000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110010111001 +110111001001 +110111001010 +111011011011 +111011011100 +111011011011 +110111011011 +110111001010 +110111001010 +110111001010 +111011011010 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011001010 +110111001010 +110111001001 +110111001001 +110111001001 +110111001001 +110110111001 +110110111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111000 +110010101000 +110010101000 +110010100111 +101110100111 +101110100111 +101110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110011000 +100110011000 +100110000111 +100110000111 +100001110110 +100001110110 +100001110110 +100110000111 +100110000111 +100110000111 +100110000111 +100010000110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100001111000 +100001111000 +011101110111 +011101110111 +011101111000 +100001111000 +100001111000 +011101111000 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001100110 +011001100110 +011101100111 +011101100111 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001111000 +011001111000 +011001110111 +011001100111 +010101100110 +010101100110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010110 +010101010110 +010101100110 +011001100111 +011101110111 +011101110111 +100010001000 +100110001000 +100110001000 +100010000111 +100010000111 +100001110110 +011101110110 +011101100101 +011001010100 +010101010100 +010101000011 +010101010011 +011001010100 +011101100101 +100010000110 +100110011000 +101010011000 +100110011000 +100010000111 +011101110110 +011110000111 +100010001000 +100110011001 +100110101010 +101010101010 +101110111011 +110011001100 +110111011101 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011001101 +110011001100 +110011001100 +110011001011 +110011001100 +110111011100 +110111011100 +101110111010 +100110011000 +100010011000 +101110111011 +110111011100 +110111001011 +101010101001 +011001100101 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100100010 +001100100010 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100100010 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010001000011 +010000110011 +010001000011 +011001010100 +100001110101 +101010101000 +110011001011 +110111011101 +111011101110 +111011101110 +110011001100 +101010101010 +100110011001 +101110111010 +110011001100 +101110111100 +101010101010 +100110001000 +011001100101 +010101000100 +010101000100 +010101000100 +010101000100 +011001010100 +011001010100 +011101010101 +011101010100 +011001000100 +011001000011 +011001000100 +011001000011 +011001000011 +011001000011 +011001000011 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001100100 +011001100100 +011001100100 +011001100101 +011001100101 +011001100101 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110110 +100010000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110010111 +100110010111 +101010010111 +100110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +101110101000 +110010101000 +110010101000 +110010100111 +110010101000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110111001001 +110111001010 +111011011011 +111011011011 +111011011011 +110111001010 +110111001010 +110111001001 +110111001001 +110111001010 +111011001010 +111011011010 +111011011010 +111011011011 +111011011011 +111011011010 +111011011010 +111011011010 +111011001010 +110111001010 +110111001010 +110111001010 +110111001010 +110110111001 +110110111001 +110110111001 +110110111001 +110010111001 +110010111001 +110010111001 +110010111000 +110010101000 +110010111000 +101110101000 +101110100111 +101110100111 +101110010110 +101110010111 +101110010111 +101110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000110 +100001110110 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100010000110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101010100 +010101010101 +011001010101 +011001100110 +011001100111 +011101100111 +011101100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001100111 +011001111000 +011001111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100110 +011001100110 +011101100110 +011101100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +010101010100 +010101000100 +010001000011 +010001000011 +010101010100 +011001100101 +100110011000 +101110111010 +101110111010 +101010101001 +011110000110 +011001100101 +010101010101 +010101100101 +011001100110 +011001110110 +011110000111 +100010011000 +101010101010 +110011001100 +110111011110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011001101 +110011001100 +110011001100 +110011001100 +101110111011 +101110111011 +101110111011 +101110111011 +110011001011 +110011001011 +110111001100 +101110111011 +100110011000 +100010001000 +101110111010 +110111001100 +110111001100 +101110101010 +011101110111 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100100010 +001100100010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010100110011 +010101000011 +010101000011 +011001000100 +010101000100 +010001000011 +001100110011 +010000110011 +010001000011 +010101010011 +011001100101 +100010000111 +101010101000 +110011001011 +110111001100 +101110111011 +100110001000 +011101110110 +100110001000 +100110011001 +100110011001 +100110001000 +011101100111 +011001010100 +010101000100 +010101000100 +011001010100 +010101000100 +011001000100 +011001010100 +011001010100 +011001010100 +011001000100 +011001000011 +011001000100 +011001000011 +011001000011 +011001000011 +011001000011 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +011001100101 +011101100101 +011001010100 +011001010011 +011001100100 +011001100101 +011001100101 +011001010101 +010101010100 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100110 +011101100110 +011101100101 +011001010101 +011101100101 +011101100101 +011101100110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110010111 +100110010111 +100110010111 +100110010111 +100110010110 +100110010110 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010101000 +110010101000 +110010100111 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111000 +110010111001 +110111001001 +110111001011 +111011011011 +111011011011 +110111001010 +110111001010 +110111001001 +110111001001 +110111001001 +110111001001 +110111001010 +110111001010 +111011001010 +111011001010 +111011011011 +111011011011 +111011011011 +111011011011 +111011001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111000 +110010101000 +101110100111 +101110010111 +101110010110 +101110010111 +101110100111 +101110101000 +101110100111 +101010010111 +101010010111 +101010010111 +101010010111 +101010000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000110 +100110000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +100110000110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110101 +011101110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001111000 +100001111000 +100001110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000100 +010101010101 +011001100110 +011001100110 +011101100111 +011001100111 +011001100111 +011001100110 +011001100111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001100111 +011001110111 +011001110111 +011001110111 +011001100111 +010101100111 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101010110 +010101010101 +011001010101 +011001010101 +011001010101 +010101010101 +010101010100 +010001000100 +010001000011 +010001000011 +010101010100 +011101110110 +100110011001 +101111001011 +110011001100 +101110111010 +100010000111 +010101010100 +010001000011 +001101000011 +010001010100 +010101010101 +010101100101 +011001110110 +100010011000 +101110111011 +110011011101 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111011110 +110111011101 +110011001100 +110011001100 +101111001100 +110011001100 +110111011101 +110111011101 +110111011110 +110111011110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110011011101 +110011001100 +101111001100 +101110111011 +101110111011 +101010101011 +101010101010 +100110011001 +100010011000 +100110011001 +100110011001 +100110011001 +101010101001 +101010101010 +101010101001 +100010001000 +011101110111 +100110011000 +101110111010 +101110111010 +100110011001 +011101100110 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100100010 +001100100010 +010000110010 +010000110010 +010000110011 +010100110011 +010000110010 +010000110010 +001100100010 +010000100010 +010000110011 +010000110011 +010000110011 +010101000011 +010101000011 +010000110011 +010000110011 +010000110011 +010100110011 +010101000011 +011001000011 +010101000100 +010001000011 +010001000011 +010001000011 +010101010100 +010101000100 +010101000011 +010101000011 +011001100100 +100010000111 +101010101001 +101010011001 +100001110111 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001000100 +010101000100 +010101000011 +011001000011 +011001010100 +011001010100 +011001000011 +011001000011 +011001000100 +011001000011 +011001000011 +011001000011 +011001000011 +011001000100 +011001000011 +011001000011 +011001000011 +011001000100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001000100 +010101010100 +011001010101 +011001010101 +011001010100 +011001010011 +011001010100 +011001010101 +011001010101 +011001010101 +010101010100 +010101010100 +010101000011 +011001010100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100110 +100001110111 +100001110111 +011101110110 +011101100110 +100001110110 +100001110111 +100001110111 +100010000111 +100010000111 +100001110111 +100001110110 +100001110101 +100001110110 +100101110110 +100101110110 +100001110101 +100001100101 +100001110101 +100101110101 +100101110101 +100101110110 +100101110110 +100101110110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110010111 +100110010111 +100110000110 +100110010110 +101010010110 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110010111 +101110010111 +101110100111 +101110101000 +110010101000 +110010101000 +101110100111 +101110100111 +110010100111 +110010101000 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111001 +110111001010 +110111001011 +110111011011 +110111001011 +110111001010 +110111001001 +110111001001 +110111001001 +110111001001 +110111001001 +110111001001 +110111001001 +111011001010 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110010111001 +110010111010 +110010111010 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +101110010111 +101110010110 +101010010111 +101110010111 +101110101000 +101110101000 +101010101000 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000110 +100110000110 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101110101 +011101110101 +100001110101 +100001110101 +100001110101 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110110 +100001110111 +100001110111 +100010001000 +100010001000 +100010001000 +100001111000 +100001111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001100101 +011001010101 +011001010101 +011001010100 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101010101 +011001100110 +011001100110 +011001100111 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011001100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100111 +011001100111 +010101100110 +010101100110 +010101010110 +010101010110 +010101100111 +010101100111 +010101100111 +011001100111 +010101100111 +010101100111 +010101100110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010001000100 +010001000011 +010001000100 +010101010101 +011101110110 +100110101001 +110011001011 +110011001100 +101110111010 +100010000111 +010101100100 +010001000011 +001101000011 +010001000011 +010101010100 +011001100101 +011001100110 +011110000111 +100110101001 +101111001011 +110111011101 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111011101 +110011001100 +101110111011 +101010101010 +100110011001 +100110011010 +101010101011 +101110111011 +110011001100 +110011001101 +110011011101 +110111011101 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011110 +110111011110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011001101 +110011001100 +101110111011 +101110111011 +101010101010 +100110011001 +100010011000 +100010001000 +100010001000 +011101110111 +010101010101 +010101010101 +010101100101 +010101100101 +010101100101 +011001110110 +011001110111 +010101100110 +010101100110 +011001110111 +011110001000 +011110001000 +011101110111 +010101010101 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +010000110011 +010001000011 +010101000100 +010101000100 +010101000100 +010000110011 +001100110010 +001100100010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010100110011 +010000110011 +010100110011 +010101000011 +010101000011 +010101000011 +011001000100 +010101000011 +010001000011 +010101000100 +010101010100 +010101010100 +010001000011 +010001000011 +010001000011 +010101010100 +011101100101 +011101100110 +011101010101 +011001000100 +011001000100 +011001000011 +011001000011 +011001000011 +011001000011 +010101000011 +010101000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +010101000011 +010101000011 +010101000011 +011001000011 +011001000011 +011001000100 +011001000011 +011001000011 +010101000011 +010101000100 +011001000100 +011001010100 +011001010100 +011001010100 +011001010100 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001010100 +011001010101 +011001010100 +010101010100 +010101010100 +010101000011 +011001010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110101 +100001110101 +100001110110 +100101110110 +100101110110 +100001110101 +100001100101 +100001110101 +100101110101 +100101110101 +100101110110 +100110000110 +100110000110 +100110000111 +100110000111 +101010010111 +101010010111 +101010010111 +101010000111 +100110000111 +100110000110 +100110000110 +100110000110 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +110010100111 +110010101000 +110010100111 +101110100111 +101110100111 +110010100111 +110010100111 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111001 +110111001010 +110111001011 +110111001011 +110111001010 +110010111001 +110010111001 +110110111001 +110110111001 +110111001001 +110111001001 +110111001001 +110111001001 +110111001010 +111011011011 +111011011100 +111011011100 +111011011011 +110111001011 +110111001010 +110111001001 +110111001001 +110111001001 +110111001010 +110110111010 +110110111010 +110011001010 +110111001010 +110011001010 +110010111001 +110010111001 +110010111001 +110010111000 +101110111000 +101110111001 +110010101001 +101110101000 +101010010110 +101010010110 +101010010111 +101010011000 +101110101000 +101110101000 +101010101000 +101010011000 +101010011000 +101010010111 +101010010111 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +011101111000 +011101110111 +011101100110 +011001100101 +011001010101 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101010101 +010101010101 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001010101 +010101010101 +010101010101 +010001010101 +010001010101 +010001010101 +010101010101 +010101010101 +010101010101 +010001010101 +010001010101 +010001010101 +010101010110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101010110 +010101010101 +010001010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010101010101 +011101110111 +100110011001 +101010101010 +101110111010 +100110011001 +011101110110 +010101010100 +010001000011 +001101000011 +010001000100 +010101010100 +011001100101 +011001110110 +011101110111 +100010011000 +101010101010 +110011001100 +110111011110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111011101 +110011001101 +101110111011 +101010101010 +100010011000 +011110000111 +011001110111 +011101110111 +011110001000 +100110011001 +100110101010 +101010111011 +101010111011 +101111001100 +110011001100 +110011001101 +110111011101 +110011001101 +110011001100 +110011001100 +110011001100 +110011001100 +110011001101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011001101 +110011001100 +110011001100 +110011001100 +101110111011 +101010101010 +100110011001 +100010011000 +100010000111 +011101110111 +011101110110 +011001100110 +011001100110 +010101010101 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000101 +010001010110 +010001010110 +010101100110 +010101100111 +010101100110 +010101010110 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010000110011 +010000110011 +001100100010 +001100110011 +010001000100 +010101010101 +010101010101 +010101010101 +010001000100 +010000110011 +001100110010 +010000110010 +010000110011 +010101000011 +010000110011 +010000110011 +010000110010 +010000110011 +010101000100 +010101010101 +010101010110 +011001010110 +010101010101 +010101000100 +010000110011 +010000110011 +010101000100 +010101010100 +010101010100 +010101010100 +011001010100 +010101000100 +010001000011 +010001000011 +010101000100 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010101000100 +011001010100 +011001010100 +011001000100 +011001000011 +011001000011 +011001000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001000011 +011001010100 +011001010100 +011001000100 +010101000100 +010101000011 +010101000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +010100110011 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +011001000100 +011001000011 +011001000011 +011001000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010011 +010101000011 +011001010100 +011001100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001100101 +100001110101 +100001110101 +100101110101 +100101110110 +100101110110 +100110000110 +100110000110 +100110000111 +100110000111 +101010000111 +101010010111 +101010000111 +101010000111 +101010000111 +100110000110 +100110000110 +101010000110 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110011000 +101110011000 +101110011000 +101110101000 +101110101000 +101110100111 +110010100111 +110010101000 +110010100111 +110010100111 +101110100111 +101110100111 +110010100111 +110010100111 +110010101000 +110010101000 +110010111000 +110010111001 +110010111001 +110111001010 +110111001011 +110111001010 +110110111001 +110010111000 +110010111000 +110010111000 +110110111001 +110110111001 +110111001001 +110111001001 +110111001001 +110111001010 +111011011011 +111011011100 +111011011100 +111011011011 +110111001010 +110111001001 +110111001001 +110111001001 +110010111010 +110010111001 +110010111001 +110010111000 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +101110101000 +101110101000 +101110101000 +101110101001 +101110101001 +101110101000 +101010010110 +101010010110 +101010000110 +101010010110 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +100001110101 +011101110101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101111000 +100001111000 +100001111000 +011101111000 +011101111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101100110 +011001100101 +011001010101 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010101010100 +010101010101 +010101010101 +010101010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001010101 +010101010110 +010101010110 +010101100110 +010101100111 +010101100111 +010101100110 +010101010110 +010001010101 +010001000100 +001101000011 +001101000011 +010001000011 +010001000100 +010001000100 +010001010100 +010001010100 +010101010101 +011001100110 +011110000111 +100010001000 +100010001000 +011110000111 +011001100101 +010101010100 +001101000011 +001101000011 +001101000011 +010001010100 +010101100101 +011001110110 +011101110110 +100010000111 +100110011001 +101110111011 +110011011101 +110111011110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011101 +110111011101 +110011001100 +101111001100 +101010101010 +100110011001 +011110000111 +011001100110 +010101100110 +010001010101 +010001010110 +010101100111 +011001111000 +011110001000 +011110001001 +100010011001 +100110011010 +100110101010 +101010101011 +101110111011 +101010101011 +101010101010 +100110011001 +100110011001 +101010101010 +101010101011 +101110111011 +110011001100 +110011001100 +101110111100 +101110111011 +101110111011 +101010101011 +101010101010 +101010101010 +100110101001 +100110011000 +100010001000 +011101110111 +011001110110 +011001100110 +011001100101 +010101010101 +010101010100 +010101010100 +010001000100 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001101000101 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010110 +010001010110 +010101010110 +010001010101 +010001000101 +010001000100 +010000110011 +001100100010 +001100110011 +010001000101 +010101010110 +010101100111 +010101100111 +010101010110 +010001000100 +010000110011 +010000110011 +010001000100 +010101000100 +010101000100 +010000110011 +010000110011 +010001000100 +010101010110 +011001101000 +011001111000 +011001111000 +011001100111 +010101010110 +010001000100 +010001000100 +010101010101 +010101100110 +011001100110 +011001100110 +011001100110 +010101000100 +010000110011 +001100110011 +010000110011 +010000110011 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010101000011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +011001010100 +011001010101 +011001010101 +011001010100 +010101000100 +010101000011 +011001000011 +011001000100 +011001010100 +011001000011 +011001000011 +010101000011 +010101000011 +011001010100 +011001100101 +011001100101 +011001010101 +011001010100 +011001000011 +011001000011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +011001010100 +011001100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100101110110 +100101110110 +100101110110 +100110000110 +100110000110 +100110000110 +100110000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000111 +101010000111 +101010010111 +101010011000 +101010011000 +101010010111 +101010010111 +101010011000 +101110011000 +101110011000 +101110010111 +101110011000 +101110011000 +101110011000 +101110011000 +101110011000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +101110100111 +101110100111 +101110100111 +101110100111 +110010100111 +110010101000 +110010101000 +110010111001 +110010111001 +110111001010 +110111001011 +110111001011 +110111001010 +110010111001 +110010111000 +110010111000 +110010111000 +110110111000 +110110111001 +110110111001 +110110111001 +110110111001 +110111001010 +110111001011 +110111011011 +110111011011 +110111001010 +110111001001 +110111001001 +110111001001 +110111001010 +110110111010 +110010111001 +110010101000 +110010100111 +110010100111 +110010111000 +110010111000 +110010101000 +101110100111 +101110010111 +101110010111 +101110010111 +101110101000 +101110101000 +101110100111 +101010010111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001100101 +011001010101 +011001010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000100 +010001000100 +010001010101 +010101010101 +010101100110 +010101100110 +010101100110 +010001010101 +010001000100 +001101000011 +001100110011 +001101000011 +001101000011 +010001000100 +010001010100 +010001010100 +010001010100 +010001010100 +010101010101 +011001100110 +011001100110 +011001100110 +010101010101 +010101010100 +010001000011 +001100110011 +001100110011 +001101000011 +001101000011 +010101010101 +011001100110 +011101110110 +011101110111 +100010001000 +101010101010 +101110111011 +110011001100 +110011001100 +110011001100 +110011001101 +110011001101 +110011001101 +110011011101 +110011011101 +110111011101 +110111011101 +110111011101 +110011001100 +101111001100 +101110111011 +101010101010 +100110011001 +100010001000 +011001110111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000101 +010001010110 +010101100111 +010101100111 +010101100111 +011001110111 +011001110111 +011101111000 +011110001000 +100010001001 +011110001000 +011101110111 +011001110111 +011001110111 +011101111000 +100010001000 +100010011001 +100110011001 +100110011001 +100110011001 +100110011000 +100010001000 +100010001000 +100010001000 +011110001000 +011101110111 +011001100110 +010101100110 +010101010101 +010101010101 +010101010101 +010101010100 +010101000100 +010101000100 +010001000011 +010001000011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001101000101 +010001010110 +010101010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010110 +010101010110 +010001010110 +010001000101 +010001000100 +010001000100 +001100110011 +001101000100 +010001010101 +010101100111 +010101100111 +010101100111 +010101100111 +010001010101 +010000110011 +010000110011 +010101000100 +010101000101 +010101000100 +010100110011 +010000110011 +010001000100 +010101100110 +011001111000 +011001111001 +011001111001 +011001111000 +010101010111 +010001000101 +010101000100 +010101100110 +011001111000 +011001111000 +011001111000 +011001100111 +010101010101 +010000110011 +001100110010 +001100110011 +001100110011 +010001000100 +010001000101 +010001000100 +001100110011 +010000110011 +010101000100 +011001010101 +011001010100 +011001010100 +011001010101 +011001100110 +010101100110 +010101010101 +010101010100 +010101000011 +010001000011 +010101010100 +010101100110 +011001100110 +011001100110 +011001100110 +010101010100 +010101000011 +011001000011 +011001010100 +011001010101 +011001010100 +011001000011 +010101000011 +011001010101 +011001100110 +011101110111 +011101110111 +011101110111 +011001100110 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010100 +010101010100 +010101000011 +010001000011 +010101000011 +010101010100 +011001010101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001100101 +100001110101 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100010000110 +100110000110 +100110000110 +100110000111 +101010000111 +101010000111 +100110000111 +100110000111 +101010000110 +101010000110 +101010000111 +101010000111 +101010010111 +101010011000 +101010011000 +101010010111 +101010010111 +101010010111 +101110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +101110101000 +101110101000 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +110010101000 +110010101000 +110010111001 +110010111001 +110111001010 +110111001011 +110111001011 +110011001010 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110110111001 +110110111001 +110110111001 +110111001010 +110111001010 +110111001011 +110111001010 +110111001001 +110111001001 +110110111001 +110110111001 +110110111010 +110010111001 +110010111000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010110 +101010010110 +101010010111 +101110010111 +101010010111 +101010010111 +101010010111 +101010010110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +100001110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100101 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000100 +010001000101 +010001010101 +010101010110 +010001010101 +010001000101 +010001000100 +001101000011 +001101000011 +001101000011 +010001000100 +010001000100 +010001010100 +010001010100 +010001000100 +010001000100 +010001000100 +010101010101 +010101010101 +010001010100 +010001000100 +010001000011 +001101000011 +001100110011 +001100110011 +001100110011 +001101000011 +010001000100 +010101010101 +011001100110 +011001100110 +011101110111 +100010001000 +100110011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101011 +101010101011 +101010101010 +101010101010 +101010101001 +100110011000 +100010000111 +011101110111 +011001110111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +010001010110 +010001010110 +010001100111 +010101100111 +010001100110 +010101100110 +010101100110 +010101100111 +011001100111 +010101100110 +010101010110 +010001010101 +010001010101 +010101010110 +010101100110 +011001100111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101100110 +010101010101 +010101010101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010101000100 +010101000011 +010001000011 +010000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010101010101 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001000101 +010001010101 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010101 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110010 +010000110011 +010101010110 +010101111000 +011001111000 +011001111001 +011001101000 +010101100111 +010001010110 +010101010110 +011001100111 +011001111000 +011001111000 +011001111001 +011001101000 +010101010110 +010001000100 +001100110011 +001100110011 +010001000100 +010101010101 +010101010101 +010101000101 +010001000100 +010001000100 +010101010101 +011001010101 +011001010101 +011001010101 +011001100110 +011001100111 +010101100111 +010101100110 +011001010101 +010101010100 +010101000100 +010101010101 +010101100111 +011001110111 +011001110111 +011001100111 +010101010101 +010101000011 +011001000100 +011001010101 +011001100110 +011001010101 +011001000011 +010101000011 +011001100101 +011001111000 +011110001000 +011110001000 +011001111000 +011001100111 +011001010101 +011001010100 +011001010101 +011001100101 +011001100110 +011001100110 +011001010101 +011001010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101010100 +011101100100 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100101 +011101100101 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100010000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +101010000111 +101010000111 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010111001 +110010111001 +110111001010 +110111001011 +110111001010 +110010111001 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110110111001 +110110111001 +110110111001 +110111001010 +110111001010 +110111001001 +110110111001 +110110111001 +110110111000 +110110111001 +110010111001 +110010111000 +110010101000 +110010100111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010111 +101110010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010000110 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001100101 +011001010101 +011001010101 +011001010101 +011101010101 +011101010101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010101000100 +010101010100 +010101000100 +010001000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +001101000011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +001101000011 +001101000011 +010001000100 +010001000100 +010001010100 +010001010100 +010001000100 +010001000100 +001101000011 +001101000011 +010001000100 +010001000100 +010001000100 +010001000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +010001010101 +010101010101 +011001100110 +011001110111 +011101110111 +011101111000 +011101111000 +011101111000 +011001110111 +011001110111 +011101110111 +011101111000 +011110001000 +011110001000 +011110001000 +011101110111 +011001110111 +011001100110 +011001100110 +011001100110 +010101100110 +010101010110 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +001101010101 +010001010110 +010001010110 +010101010111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010101010101 +010101010100 +010101010101 +010101010101 +010101000101 +010001000101 +010001000100 +010001000100 +001101000100 +010001000100 +010001000100 +010001000100 +010101000100 +010001000100 +010001000011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110011 +010000110100 +010000110100 +010000110100 +010000110100 +010000110011 +010000110011 +010000110100 +010001000101 +010001010110 +010001010110 +010001010101 +010001010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010001010101 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +010000110011 +010000110010 +010000110011 +010001010101 +010101100111 +011001101000 +011001101000 +010101100111 +010101100111 +010101100110 +010101010110 +010101100111 +011001110111 +011001111000 +011001101000 +011001100111 +010101010110 +010001000100 +010000110011 +010000110100 +010001000100 +010101000101 +010101000101 +010101000100 +010001000100 +010001000100 +010101010101 +011001010101 +011001010101 +011001010101 +011001100110 +011001100111 +011001110111 +011001100111 +011001100110 +011001010110 +010101010110 +010101100110 +011001110111 +011001111000 +011001111000 +011001110111 +011001010110 +010101000100 +011001000100 +011001010101 +011101100101 +011001010101 +011001000011 +011001000011 +011001010101 +011001110111 +011110001000 +011110001000 +011101111000 +011001110111 +011001100110 +011001100101 +011001100110 +011001110111 +011001110111 +011001110111 +011001100110 +011001010100 +010101000011 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100110000110 +100110000110 +100001110110 +100001110110 +100010000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010010110 +101010010110 +101110010110 +101110010110 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110100111 +110010101000 +110010111001 +110110111010 +110111001010 +110111001011 +110010111010 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111000 +110010111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111000 +110010101000 +110010101000 +110010101000 +110010101000 +110010100111 +110010100111 +101110100111 +101110010111 +101110010110 +101110010110 +101010010110 +101010010110 +101010010110 +101010000110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +101010010111 +101010011000 +100110010111 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101010100 +011001010101 +011001010101 +010101010101 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000100 +010001000100 +010001010100 +010001000100 +010001000100 +010001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001101000011 +001101000011 +010001000011 +001101000011 +001100110011 +001100110011 +001100110011 +001101000011 +010001000100 +010001010101 +010101010110 +010101100110 +011001100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101010110 +010101010101 +011001010110 +011001100110 +011001100110 +010101010110 +010001010101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +010001010110 +010001010110 +010101010111 +010101010110 +010101010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +010001000100 +010001000100 +010101000100 +010101000100 +010101000101 +010001000101 +010001000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000100 +010001000011 +010000110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110011 +010000110100 +010000110100 +010000110011 +010000110011 +010000110011 +010000110100 +010001000101 +010001010110 +010001010110 +010101010110 +010101010110 +010101010101 +010001010101 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101010110 +010001000101 +010000110011 +010000110010 +010000110011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010001000101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010110 +010101010110 +010101100111 +010101100111 +010101100111 +010101010110 +010101010101 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +010101000100 +010101000100 +011001010101 +011001100111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001111000 +011001111000 +011001110111 +011001100110 +010101000100 +011001000011 +011001010100 +011001010101 +011001010100 +011001000011 +011001000011 +011001010101 +011001110111 +011110001000 +011110001000 +011101111000 +011001110111 +011001110111 +011101100111 +011101110111 +011101110111 +011101111000 +011101110111 +011101100110 +011001010100 +010101000011 +010101000011 +010101000100 +010101010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +011101010100 +011101010100 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100101 +011101110110 +011101110111 +011101111000 +100010001000 +100010001000 +100001110111 +100001110111 +100001110110 +100001110110 +100010000110 +100110000110 +100001110110 +100001110110 +100010000111 +100110001000 +100110001000 +100110001000 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010010110 +101010010110 +101010010110 +101110010111 +101110010111 +101110010111 +101010010111 +101110010111 +101110100111 +101110100111 +101110010111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010111001 +110011001010 +110111001010 +110111001010 +110010111001 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111000 +110010111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111001 +110010111000 +110010101000 +110010101000 +110010101000 +110010101000 +110010100111 +101110100111 +101110100111 +101110010110 +101110010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010000110 +101010010110 +101010000110 +101010000110 +101010010110 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +101010011000 +101010101001 +101010011001 +101010011000 +100110000111 +100110000110 +100110000101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000100 +011001010101 +011001100110 +011001100110 +011001100110 +011001010101 +010101010101 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +010001000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +010001010101 +010001010101 +010101010110 +010101010110 +010101100110 +010101100111 +010101100111 +010101100111 +010001010111 +010101010111 +010001010111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101010110 +011001100110 +011001100111 +011001100111 +010101100110 +010001010101 +001101000100 +001000110100 +001000110100 +001101000100 +001101000101 +010001010110 +010001010110 +010101010110 +010101010110 +010101010110 +010101010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001101000100 +001101000100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110011 +010001000100 +010101000101 +010101010101 +010101000101 +010001000100 +010001000100 +010101000100 +010101010101 +010101010110 +010101010110 +010101010110 +010101010101 +010101000100 +010000110100 +001101000100 +010001010110 +010001010110 +010101010110 +010001010110 +010001010110 +010001000101 +010000110011 +010000110010 +010000110011 +010101000100 +010101000100 +010100110011 +010000110010 +010000110011 +010001010101 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000101 +010001000101 +010001000101 +010001010110 +010101100110 +010101010110 +010101010101 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010101000011 +010001000011 +010001000011 +010100110011 +010101000100 +010101010101 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +010101100110 +011001100111 +011001110111 +011101111000 +011001111000 +011001110111 +011001100110 +010101000100 +010101000011 +011001000011 +011001010100 +011001010100 +011001000011 +011001000011 +011001010101 +011001100111 +011101111000 +011101111000 +011001111000 +011001111000 +011001110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101100101 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100001110110 +100010000111 +100110001000 +100110011000 +100110001000 +100110001000 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000111 +101010010111 +101010010110 +101010010111 +101010011000 +101110101000 +101010101000 +101010101000 +101010101000 +101010100111 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110101000 +110010111001 +110011001010 +110111001011 +110111001011 +110011001010 +110010111001 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111001 +110010111001 +110010111001 +110110111001 +110110111001 +110110111001 +110110111001 +110010111000 +110010101000 +110010101000 +110010101000 +110010101000 +101110100111 +101110010111 +101110010111 +101110010111 +101110010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010000110 +101010000110 +101010000110 +101010000101 +101010000110 +101010010110 +101010010111 +101010000110 +100110000110 +100110000110 +101010010111 +101010011001 +101010101001 +101010101001 +101010011000 +100110000110 +100110000110 +100010000101 +100001110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010100 +011001100110 +011001100110 +011001010101 +011001010101 +010101010101 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +001101000100 +001101000011 +001100110011 +001100110011 +001100110011 +001101000100 +010001000100 +010001000101 +010001000101 +010001010101 +010001010110 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100110 +011001100111 +011001100111 +011001100111 +010101100111 +010001010110 +001101000100 +001000110011 +001000110011 +001100110100 +001101000101 +010001010101 +010001010110 +010101010110 +010101010110 +010101010110 +010001010110 +010001010101 +010001000101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000100 +001101000100 +001100110011 +001101000011 +001101000011 +001101000011 +001101000100 +001100110100 +001100110100 +001100110100 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110011 +010101000101 +010101100110 +010101100111 +010101100110 +010101010110 +010101010110 +010101010101 +010101010110 +010101010110 +010101100110 +010101010110 +010101010101 +010001000100 +001100110011 +001100110011 +001101000101 +010001010110 +010101100110 +010101100110 +010101100110 +010001010101 +010001000100 +010000110011 +010101000011 +010101000100 +010101000101 +010101000100 +010000110011 +010000110011 +010101010101 +010101100111 +010101100111 +010101100111 +010101100111 +010001010101 +010001000100 +010000110011 +010000110011 +001100110011 +001101000101 +010001010110 +010101100110 +010101010101 +010101000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010101000100 +010101000100 +010101000100 +010101000100 +010100110011 +010101000100 +010101010101 +010101100111 +010101100111 +011001100111 +011001100110 +010101010101 +010101010101 +010101100110 +011001110111 +011001111000 +011001111000 +011001100111 +010101010110 +010101000100 +010101000011 +011001000100 +011001010100 +011001010100 +011001000011 +011001000011 +010101010100 +011001100110 +011001110111 +011001110111 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001110111 +011001100110 +011001010100 +010101000011 +010101000011 +010101000100 +010101000011 +011001000011 +011001000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101100101 +011101100110 +011101110110 +011101110111 +100001110111 +100001110111 +100001110111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100010000111 +100110001000 +100110011000 +100110001000 +100110001000 +100110001000 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100101110110 +100110000110 +100110000110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101010011000 +101110101000 +101110101001 +101110101000 +101110101000 +101010101000 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110101000 +110010111010 +110011001011 +110111001011 +110011001011 +110010111001 +101110111001 +101110101001 +101110101001 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111001 +110010111001 +110110111001 +110010111001 +110110111001 +110010111001 +110010111001 +110010101000 +110010101000 +110010101000 +110010100111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010110 +101010010110 +101010010110 +101010010110 +101010000110 +100110000110 +101010000110 +101010000110 +101010000101 +101010000101 +100110000101 +101010000110 +101010010111 +101010010111 +100110000110 +100110000110 +100110000110 +100110010111 +101010011000 +101010011000 +100110011000 +100110000110 +100110000110 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100100 +011101100101 +011101100100 +011101100100 +011101100100 +011101010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010101010100 +011001100110 +011001100110 +010101010100 +010101000100 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000100 +001101000011 +001101000011 +001100110011 +001100110011 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +010001010110 +001101000100 +001000110011 +001000110011 +001000110100 +001101000100 +010001010101 +010001010101 +010001010101 +010001010101 +010001000101 +001101000101 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010101 +001101000101 +001101000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +010000110011 +010001000101 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010101 +010101010110 +010101010110 +010101010110 +010101010110 +010101010110 +010001000101 +010001000100 +001101000100 +010001010101 +010001010110 +010101100111 +010101100111 +010101100111 +010101010110 +010001000100 +010000110011 +010101000100 +010101000101 +011001010101 +010101000100 +010000110011 +010000110011 +010001000101 +010101010111 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000100 +010000110011 +010001000100 +001101000101 +010001010110 +010101100110 +010101010110 +010101010101 +010101010101 +010101010101 +010001000101 +010001000100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010101000100 +010101010101 +011001100111 +011001100111 +011001100111 +011001100110 +011001010101 +010101010101 +010101010110 +011001110111 +011001111000 +011001111000 +011001100111 +010101010110 +010101000100 +010101000011 +011001010100 +011001010101 +011001010101 +011001000100 +011001000011 +010101010100 +010101100110 +011001100111 +011001100111 +010101100111 +010101010110 +011001010101 +011001010101 +011001010101 +011001100111 +011001100111 +011001110111 +011101100110 +011001010101 +011001000100 +010101010100 +011001010100 +011001010100 +011001000100 +011001010100 +011001010100 +011101010101 +011101010101 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +100001110110 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001110110 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100110000111 +100110000111 +100110000111 +100110000111 +100101110110 +100101110110 +100101110110 +100101110110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +101010000101 +101010000110 +101010000110 +101010010111 +101010010111 +101010010111 +101010010111 +101110011000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110101001 +110010111010 +110011001011 +110111001011 +110011001011 +110010111001 +101110111001 +101110101001 +101110101001 +110010101001 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +110010101000 +110010101000 +110010100111 +101110100111 +101110010111 +101010010111 +101010010111 +101010010111 +101110010110 +101010010110 +101010010110 +101010010110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +100110000101 +100110000101 +100110000110 +100110000110 +101010010111 +100110000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000111 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +100001110100 +100001110100 +100001110100 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101010101 +011001100110 +011001100110 +010101010100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +001101000101 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001101000100 +001000110011 +001000110011 +001000110100 +001101000100 +001101000101 +001101000101 +010001000100 +001101000100 +001101000100 +001100110100 +001000110011 +001000110100 +001101000100 +001101000101 +010001010101 +010001010101 +010001000101 +001101000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110100 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100011 +010001000100 +010101010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101000101 +010101000101 +010101010110 +010001010110 +010001010110 +010101010110 +010001010101 +010001010101 +010001010101 +010001010110 +010101100111 +010101100111 +011001100111 +011001100111 +010101010110 +010001000100 +010000110011 +010100110011 +010101000101 +010101000101 +010101000100 +010100110011 +010000110011 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010101100110 +010001010110 +010101010101 +010101010101 +010101010101 +010101010110 +010101100110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010110 +010001010101 +010001000101 +010101000101 +010101010101 +010101010101 +010101010110 +010101010110 +010101010101 +010101000100 +010101000100 +010101010101 +011001100111 +011001111000 +011001111000 +011001100111 +011001010110 +010101010101 +010101100110 +011001110111 +011001111000 +011001111000 +011001111000 +010101100110 +010101000100 +010101000011 +011001010100 +011001010101 +011001010101 +011001010100 +011001000011 +010101010100 +010101100110 +011001100111 +011001100111 +010101100111 +010101010110 +010101010101 +011001010101 +011001100110 +011001100111 +011001110111 +011001111000 +011101100111 +011001010101 +011001010100 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011101010101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011101010100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110110 +011101110110 +100001110110 +100001110111 +100010001000 +100010001000 +011110001000 +100010001000 +100001110111 +100001110110 +100001110110 +100101110110 +100101110110 +100101110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100101110101 +100101110101 +100101110101 +100101110110 +100110000110 +100110000101 +100101110101 +100101110101 +100110000101 +101010000110 +101010000110 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101110011000 +101110101000 +101110101000 +101110101000 +101110011000 +101110010111 +101110010111 +101110010111 +101110100111 +101110101000 +101110111001 +110011001010 +110111001011 +110111001011 +110011001010 +110010111001 +101110101001 +101110101001 +101110101001 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +110010101000 +110010100111 +101110010111 +101110010111 +101110010110 +101010010111 +101010010111 +101010010111 +101010010110 +101110010110 +101010010110 +101010000110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +100110010111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110101 +100001110101 +100001110100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101010100 +011001010110 +011001010101 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +001101000101 +001101000101 +001101000101 +001101000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110100 +001100110100 +001100110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101000100 +010001000101 +001101000101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110100 +010101000101 +010101000101 +010101000101 +010001000101 +010001000101 +010101000100 +010101000101 +010001010110 +010101010110 +010001010110 +010001010110 +010101010101 +010001010101 +010001010101 +010001010110 +010101100110 +010101100111 +010101100111 +010101100111 +010101010110 +010001000100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110010 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010110 +010101010110 +010101100110 +010101100110 +010101010110 +010101010101 +010101000100 +010101000100 +010101000101 +010001000101 +010001000100 +010001000100 +010101000100 +010101000101 +010101010101 +010101010101 +010101000101 +010001000011 +010101000100 +010101010101 +011001100111 +011001110111 +011001111000 +011001100111 +011001100110 +010101100110 +011001100111 +011001110111 +011001111000 +011001111000 +011001111000 +011001100111 +010101010101 +010101000011 +011001000100 +011001010101 +011001010101 +011001000100 +011001000011 +010101010100 +011001100110 +011001111000 +011001111000 +011001111000 +011001100111 +011001100111 +011001100110 +011001100111 +011001110111 +011001111000 +011001111000 +011101100111 +011001010101 +011001010101 +011001010110 +011001100110 +011001010101 +011001010100 +011101010100 +011101100101 +011001100110 +011001100110 +011001010101 +011001010101 +011001010101 +011101100101 +011101100100 +011101100101 +011101100110 +011101110110 +011101110111 +011101110110 +011101110110 +011101110110 +100001110111 +100010001000 +100010001000 +011110001000 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110110 +100001110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100101110110 +100101110110 +100101110110 +100101110110 +100101110101 +100101110101 +100101110101 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +101010010111 +101010010111 +101010010111 +101110010111 +101110011000 +101010011000 +101010011000 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +101110101000 +110010111001 +110011001010 +110111001011 +110011001011 +110010111010 +101110101001 +101110101001 +110010101001 +110010101001 +101110101000 +110010101000 +110010101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010101000 +110010101000 +110010101000 +101110010111 +101110010111 +101110010111 +101110010110 +101110010111 +101110010111 +101010010110 +101010000110 +101010010110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +100110010110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110101 +100001110101 +100001110101 +100001100100 +100001100100 +011101100100 +011101100101 +011101100101 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010101000100 +010101000100 +010001000011 +010000110011 +010000110011 +001100110011 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +001100110011 +001101000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110010 +001100110011 +001000110011 +001100110011 +001101000011 +001101000011 +001100110011 +001100110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000101 +001101000101 +001101000100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110100 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110100 +010001000100 +010001000101 +010001000100 +010000110100 +010000110100 +010001000100 +010101000101 +010001010101 +010101010110 +010101010110 +010101010110 +010101010101 +010001000101 +010001000101 +010001010101 +010101010110 +010101100111 +010101100111 +010101100110 +010101010110 +010001000100 +010000110011 +010000110010 +010101000011 +010101000100 +010101000011 +010000110011 +010000110010 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010101010110 +010001010110 +010001010101 +010101010101 +010101010110 +010101010110 +010101100110 +010101010110 +010101010101 +010101000100 +010101000100 +010001000101 +010001000100 +010001000100 +010101000100 +010001000100 +010001000100 +010001000101 +010101000101 +010001000100 +010000110011 +010101000011 +010101010101 +010101100110 +010101100111 +011001100111 +011001100111 +010101100110 +010101100110 +011001100110 +011001100111 +011001110111 +011001111000 +011001111000 +011001100111 +011001010101 +010101000011 +011001000100 +011001010101 +011001010100 +011001000011 +011001000011 +010101010100 +011001100110 +011001110111 +011001111000 +011001111000 +011001111000 +011001110111 +011001100111 +011001110111 +011001111000 +011101111000 +011101110111 +011101100110 +011001010101 +011001010100 +011001010101 +011001010101 +011001010101 +011101010100 +011001010100 +011101010101 +011101100101 +011001100110 +011001010101 +011001010101 +011001100101 +011101100101 +011101100100 +011101100101 +011101110110 +011101110110 +011101110111 +011101110110 +011101110110 +011101110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110110 +100001110111 +100001110111 +100010000111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100110000110 +100101110101 +100110000101 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +101010000110 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010011000 +101110010111 +101110010111 +101110010111 +101110010111 +101110101000 +110010101000 +110010111001 +110011001011 +110011001011 +110010111010 +110010101001 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +101110101000 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101010010110 +101010010110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +101010000101 +100110000101 +100110000101 +100110000101 +100110000101 +100110000110 +100110000111 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110010 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001000100011 +001000110011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001100110100 +001101000100 +001100110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110100 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010101000101 +010101010101 +010101010110 +010101100110 +010101010110 +010101010101 +010001000100 +010000110100 +001101000101 +010001010110 +010101100110 +010101100110 +010101100110 +010101010110 +010001000100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110010 +010001000100 +010101010110 +010101100111 +010101100111 +010001010110 +010001000101 +001101000100 +010000110100 +010001000100 +010001000100 +010001010101 +010001010101 +010101010110 +010101010101 +010101000100 +010001000100 +010001000101 +010001000101 +010001010101 +010101000101 +010101000100 +010101000100 +010001000101 +010101000100 +010001000100 +010000110011 +010001000011 +010101000100 +010101010110 +010101100110 +010101100110 +010101100110 +010101010101 +010101010101 +010101010110 +010101100110 +011001100111 +011001100111 +011001100111 +010101100110 +010101010101 +010101000011 +011001000100 +011001010100 +011001010100 +011001000011 +011001000011 +010101000100 +011001010110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100110 +011001100111 +011001100111 +011001100111 +011001100111 +011101100110 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011101010100 +011101010100 +011101010101 +011101100101 +011101100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110101 +100001110101 +100001110101 +100001110110 +100101110110 +100101110110 +100101110101 +100101110101 +100101110101 +100110000101 +100110000110 +100110000110 +100110000110 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010101000 +101010101000 +101010101000 +101110010111 +101110010111 +101110011000 +101110101000 +110010101001 +110010111010 +110011001010 +110011001010 +110010111010 +101110101001 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +101110101000 +101110100111 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +101110100111 +101110100111 +101110010111 +101110010111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010111 +101110010110 +101010010110 +101010000110 +101010000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100110000110 +100101110101 +100101110101 +100110000110 +100110000110 +100110000110 +100110000110 +100101110101 +100101110101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +011101110100 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +010001000011 +010001000011 +010001000011 +001101000011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001100110100 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001101000100 +001101000100 +001100110100 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110010 +001000100011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110100 +010001000101 +010101000101 +010001000101 +010001000101 +010001000101 +010001000101 +010101000101 +010101010110 +010101100110 +010101100110 +010101100110 +010101010110 +010101000101 +010001000100 +001101000101 +010001010110 +010101100110 +010101100110 +010101100110 +010101010110 +010001000101 +010000110011 +010000110011 +010101000100 +010101010101 +010101000100 +010101000011 +010000110010 +010001000100 +010101010110 +010101100111 +010101100111 +010001010110 +001101000101 +001100110011 +001100110011 +010000110011 +010000110011 +001101000100 +001101000101 +010001010110 +010101010110 +010101000101 +010001000101 +010001010101 +010001010110 +010101010110 +010101010110 +010101010101 +010101010101 +010001010101 +010001000101 +010001000101 +010000110100 +010000110011 +010101000100 +010101010110 +010101010110 +010101010110 +010101010110 +010101010100 +010101000100 +010101010101 +010101100110 +010101100111 +010101100111 +010101100111 +010101010110 +010101000100 +010101000011 +011001010100 +011001010101 +011001010101 +011001010100 +011001000011 +011001000100 +010101010101 +010101100110 +010101100110 +010101010110 +010101010110 +010101010101 +011001010101 +011001010101 +011001010110 +011001100110 +011001100110 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011101010100 +011101010100 +011101100101 +011101100101 +011101100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110100 +100101110100 +100101110101 +100110000110 +100110000110 +101010010111 +101010010111 +101010010111 +101010010111 +101010011000 +101010101000 +101110101001 +101110101001 +101010101000 +101110010111 +101110010111 +101110011000 +110010101000 +110010111001 +110010111010 +110011001010 +110010111010 +110010111010 +101110101001 +101110101001 +101110101000 +101110101001 +101110101000 +101110101000 +101110101000 +101110101000 +101110100111 +101110100111 +101110100111 +101110100111 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010110 +101110010110 +101010010110 +101010000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100101110101 +100110000110 +100110000110 +100110000110 +100110000110 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100100 +011101100100 +011101100100 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001101000100 +001101000100 +001100110100 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110010 +001000100011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110011 +010000110100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +010101000101 +010101010110 +010101100110 +010101100111 +011001100111 +010101100110 +010101010110 +010001010110 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010111 +010001000101 +010000110011 +010000110011 +010101000100 +010101010101 +010101000100 +010101000011 +010000110011 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000100 +010001000100 +010001000100 +001101000100 +001101000101 +010001010110 +010101010110 +010101000101 +010001000101 +010001010110 +010001010110 +010101010110 +010101010110 +010101010110 +010001000101 +010001010101 +010001000101 +001101000101 +010001000100 +010000110011 +010101000100 +010101010110 +010101100111 +010101100111 +010101010110 +011001010101 +010101010101 +010101010101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101000100 +010101000011 +011001010100 +011001010101 +011001010101 +011001010100 +011001000011 +011001000100 +010101010101 +010101010110 +010101100110 +010101100110 +010101010110 +010101010101 +011001010101 +011001010100 +011001010101 +010101010110 +010101100110 +011001100110 +011001010101 +011001010101 +011001010110 +011001100110 +011001100110 +011101100101 +011101010100 +011101100101 +011101100110 +011101100110 +011001100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +011101110111 +100001110110 +100001110111 +100010000111 +100010001000 +100010001000 +011101110111 +011101110111 +011101110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001100100 +100001100101 +100001100101 +100001110110 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100101110101 +100101110100 +100101110100 +100101110101 +100110000110 +100110000110 +100110010110 +101010010111 +101010010111 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010011000 +101110010111 +101110010111 +101110101000 +110010101000 +110010111001 +110010111010 +110010111010 +110010111010 +101110101001 +101110101001 +101110101000 +101110101000 +101110101001 +101110101001 +101110101000 +101110101000 +101110100111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +110010100111 +110010100111 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100101110101 +100110000110 +100110000110 +100110000110 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001100101 +011101100101 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110010 +001000110010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001101000100 +001100110100 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100011 +010000110100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +010101000100 +010101010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001000101 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110010 +010001000100 +010101010110 +010101100111 +011001111000 +011001100111 +010101100111 +010101100110 +010101010110 +010101010101 +010101010110 +010001010101 +010001010101 +010101010110 +010101010101 +010101000101 +010001000100 +010001000101 +010001010101 +010101010110 +010101000101 +010101000101 +010001000101 +010001000101 +010001000101 +010001000100 +010000110100 +010001000011 +010101000100 +010101010110 +010101100111 +011001100111 +011001100111 +011001100110 +011001100110 +010101100110 +011001100111 +011001111000 +011001111000 +011001111000 +011001100111 +010101010101 +010101000011 +011001010100 +011001010101 +011001010101 +011001010100 +011001000011 +010101000100 +010101010101 +011001100111 +011001110111 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001010110 +011001100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011001100110 +011001100111 +011101100110 +011101100110 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +011101110111 +100001110110 +100001110111 +100001110111 +100010000111 +100001110111 +011101110111 +011101110111 +011101110110 +100001110101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +011101100101 +100001110110 +100001110111 +100001110111 +100001110110 +100001110101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100101110100 +100101110100 +100101110100 +100101110101 +100110000110 +100110000110 +100110000110 +101010000110 +101010000110 +101010000110 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110101000 +110010101000 +110010111001 +110010111010 +110010111010 +101110101001 +101110101000 +101010101000 +101110100111 +101110101000 +101110101000 +101110101000 +101110101000 +101110011000 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +110010101000 +110010101000 +110010101000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110100111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100110000101 +100101110101 +100110000110 +100101110101 +100101110101 +100110000101 +100101110101 +100101110101 +100101110110 +100110000110 +100110000110 +100110000110 +100110000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100100 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000011 +010001000011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100011 +010000110100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +010101000100 +010101000101 +010001010110 +010001010110 +010001010110 +010101010110 +010101010110 +010101010110 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010001000101 +010000110011 +010000110010 +010100110011 +010101000100 +010101000100 +010101000011 +010000110010 +010000110011 +010001010101 +010101100111 +010101100111 +011001100111 +010101100111 +010101100111 +010101010110 +010101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010101010101 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010101000100 +010100110100 +010000110100 +010001000100 +010000110100 +010000110100 +010000110011 +010000110011 +010101000100 +010101010110 +010101100111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100111 +011001100111 +011001111000 +011001111000 +011001111000 +011001100111 +010101010101 +010101000011 +011001000100 +011001010100 +011001010101 +011001010100 +011001000011 +010101000011 +011001010101 +011001100111 +011001111000 +011101111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011101100110 +011101100110 +011001010101 +011001100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011001100101 +011001100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100010001000 +100001110111 +100001110111 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +100001110110 +100010000111 +100010000111 +100001110110 +011101110101 +011101100101 +011101100101 +011101110110 +100001110110 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100100 +100001100100 +100101110100 +100101110101 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110000111 +101010010111 +101010010111 +101010010111 +101110010111 +101110101000 +110010101001 +110010111001 +110010111001 +101110101001 +101110011000 +101010010111 +101010010111 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010010111 +101110010111 +101010010111 +101010010110 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110010111 +110010101000 +110010101000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100110000101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110110 +100101110110 +100110000110 +100110000110 +100110000110 +100101110101 +100001110101 +100001110101 +100001110100 +100001110100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110011 +001100110011 +001000110011 +001000110010 +001000110010 +001000110010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110011 +001000110011 +001000110010 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001100110100 +001100110100 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +010001000011 +000100100010 +000100100010 +000100100010 +000100010010 +001000100010 +001100100010 +001100100010 +001100110011 +010001000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010101000101 +010001000101 +010001010101 +010001010101 +010001010101 +010001000101 +010001000100 +010001000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001000100 +010000110011 +010000110011 +010101000011 +010101000100 +010101000100 +010101000011 +010000110011 +010000110011 +010001000101 +010001010110 +010001010110 +010101010110 +010101010110 +010001010110 +010001000100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +010001000100 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010101000100 +010101000100 +010001000100 +010001000100 +010001000100 +010000110100 +010000110011 +010000110011 +010101000100 +010101010101 +010101010110 +010101100110 +010101100110 +011001100110 +010101010110 +010101010110 +010101100111 +011001100111 +011001110111 +011001110111 +011001100111 +010101010101 +010101000011 +011001000011 +011001010100 +011001010100 +011001010100 +011001000011 +010101000011 +010101010101 +011001100111 +011001110111 +011001111000 +011001110111 +011001100111 +011001100111 +011001100110 +011001100110 +011001110111 +011001110111 +011101100110 +011001100101 +011001010101 +011001010101 +011001100101 +011101100101 +011101100101 +011101010100 +011101010101 +011101100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001110110 +100001110111 +011110000111 +011101110111 +100001110111 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100001110111 +100001100110 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +011101110101 +100001110111 +100010001000 +100010001000 +100010000111 +100001110110 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100101110100 +100001110101 +100110000110 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +101010011000 +101010011000 +101010011000 +101010010111 +101110011000 +101110101000 +110010111001 +110010111001 +101110101001 +101110101000 +101010011000 +101010011000 +101010010111 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +101010000110 +101010000111 +101010000111 +101010000111 +101010010111 +101110010111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100101110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100110000110 +100110000110 +100110000110 +100001110101 +100001110101 +100001110100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001000110010 +001000110011 +001000110011 +001000110011 +001000110010 +001000110010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000110010 +001000110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +010001000011 +000100100010 +000100100010 +000100010010 +000100010010 +001000100010 +001100100010 +001100100010 +001100110011 +010001000101 +010001010110 +010001010111 +010001010110 +010001010110 +010001010110 +010101000101 +010001000101 +010001010101 +010001010101 +010001010101 +010001000101 +010001000100 +010000110100 +001101000100 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001000100 +010000110011 +010000110011 +010101000100 +010101000101 +011001000101 +010101000100 +010100110011 +010000110011 +010001000100 +010001000101 +010001010101 +010001010101 +010001010101 +001101000100 +001000110011 +001000100010 +001000100001 +001000100001 +001000100010 +001000110011 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001000101 +010101000101 +010101000101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010000110011 +010101000011 +010101000100 +010001010101 +010001010101 +010101010101 +010101010101 +010101000100 +010101010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101000101 +010101000011 +011001000100 +011001010100 +011001010101 +011101010100 +011001000011 +011001000011 +010101010101 +011001100111 +011001110111 +011001110111 +011001100111 +011001100110 +011001010101 +011001010101 +011001100110 +011001100110 +011001100111 +011001100110 +011001100101 +011001010101 +011001010101 +011001100101 +011101100101 +011101100101 +011101010100 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +100001100101 +100001110110 +100001110111 +011101110111 +011101110110 +100001110110 +100001110110 +011101110111 +100001110111 +100001110111 +011101110111 +011101110111 +011101110110 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +011101110101 +100001110111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100101110101 +100001110110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110011000 +101010011000 +101010011001 +101010011000 +101010010111 +101110011000 +101110101001 +110010111001 +110010101001 +101110101001 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +101010000110 +101010000110 +101010000111 +101010000111 +101010010111 +101110010111 +110010100111 +110010101000 +110010101000 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110010111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100110000101 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100110000110 +100110000110 +100101110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +010101000100 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001000110011 +001000110011 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001100110011 +010000110011 +001000100010 +001000100010 +000100010010 +000100010010 +001000100010 +001100100010 +001100100010 +001100100011 +010001000101 +010001010110 +010001010111 +010001010110 +010001010110 +010001010110 +010101000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010000110011 +010000110011 +010101000100 +010101000101 +011001000101 +010101000100 +010000110011 +010000110011 +010001000100 +010001010110 +010001010110 +010001010110 +010001010110 +001101000100 +001100110011 +001000100010 +001000100010 +001000100001 +001000100010 +001000110011 +001100110100 +010001000100 +010001000100 +010001000101 +001101000101 +010001000101 +010001000101 +010101000101 +010001010101 +010001010101 +010001010110 +010001000101 +010001000100 +010001000100 +010101000011 +010001000100 +010001010101 +010001010110 +010101010101 +010101010100 +010101000100 +010101010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100110 +010101010101 +010101000011 +011001000100 +011001010101 +011101100110 +011101010101 +011001000011 +011001000100 +011001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001100111 +011001010101 +011001010101 +011001100110 +011001110111 +011001110111 +011101110111 +011101100110 +011101100101 +011001100110 +011001100110 +011001100110 +011101100101 +011101100100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101100110 +011101100110 +011101100101 +011101100101 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +011101110110 +011101110111 +100001110111 +100001110111 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001110101 +100001110110 +100010000110 +100110000111 +100110000111 +100110000110 +100110000111 +100110000111 +100110011000 +100110011000 +101010011000 +101010011000 +101010010111 +101110101000 +110010101001 +110010101001 +101110101001 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010010111 +101110010111 +110010100111 +110010100111 +101110100111 +101110010111 +101010010111 +101110010111 +101110100111 +101110100111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100110000101 +100110000110 +100110000110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001100110010 +001000100010 +001000010010 +000100010010 +000100010010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110100 +010001000110 +010001010110 +010001010110 +010001000101 +010001000101 +010001000101 +010001000101 +010001010110 +010001010110 +010001100111 +010101010111 +010101010110 +010101010110 +010101010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010000110100 +010000110011 +010000110011 +010101000100 +010101000101 +010101000100 +010000110011 +010000110011 +010001000101 +010101010110 +010101100111 +010101100111 +010101100111 +010001010110 +010001010101 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001000100 +010101000100 +010000110011 +001100110100 +001101000100 +001101000100 +010001000100 +010101000100 +010001000101 +010001010101 +010001010101 +010001000101 +010001000100 +010000110011 +010101000011 +010101000101 +010101010110 +010101100110 +010101100110 +011001010110 +010101010101 +010101010110 +010101100111 +011001100111 +011001110111 +011001110111 +011001100111 +010101010101 +010101000011 +011001000100 +011001010101 +011101100110 +011101010101 +011001000011 +011001000100 +011001010101 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001100111 +011101100110 +011101110111 +011101111000 +011001111000 +011101110111 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101111000 +011101110111 +011101110111 +011101100110 +011101100101 +011101100100 +011101100101 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101100110 +011101100110 +011101100101 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +011101110110 +011101110111 +100001110111 +100001110111 +011101110110 +011101100101 +011101100101 +011101110110 +100001110110 +100001110110 +100001100110 +100001100110 +100001100110 +100001100110 +011101110110 +100001110110 +100001110101 +100001100100 +100001100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000110 +100110000110 +100110000111 +100110000111 +100110011000 +100110011000 +100110010111 +100110010111 +101010011000 +101110101000 +110010101001 +110010101001 +101110101000 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +100110010111 +101010011000 +101010011000 +101010011000 +101010010111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000110 +101010000110 +101010010111 +101110010111 +101110100111 +110010100111 +101110100111 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100110000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100110000110 +100110000110 +100010000110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010011 +010101010011 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001101000011 +001101000011 +001101000011 +001100110011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001100110010 +001100110011 +001000110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000110010 +000100010001 +000100010010 +000100010010 +000100010001 +001000100010 +001100100010 +001100100001 +001100100010 +001100110100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000101 +010001010110 +010001010110 +010001010111 +010101010110 +010101010110 +010101010110 +010101010110 +010101010111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010000110100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000011 +010000110011 +010000110011 +010001000101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010101010101 +010101000100 +010000110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +010000110011 +010101000011 +010101010101 +010101100110 +010101100111 +011001100111 +011001100111 +010101100110 +010101100110 +011001100111 +011001100111 +011001111000 +011001111000 +011001101000 +011001010110 +010101000100 +011001000011 +011001010101 +011101010101 +011101010100 +011001000011 +011001000011 +011001010101 +011001110111 +011101111000 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101100110 +011101100101 +011001100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101100100 +011101100100 +011101100101 +011101110111 +011101110111 +100010001000 +100001110111 +100001110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101110111 +011101110111 +011101100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +100001110111 +100010001000 +100010001000 +100010000111 +100001110110 +011101100101 +011101100101 +011101100110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001100100 +100001100100 +100001100101 +100001110110 +100010000110 +100010000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +100110011000 +100110011000 +101010011000 +101010011000 +101110101001 +110010101001 +101110101001 +101010011000 +101010010111 +100110000111 +100110011000 +101010011000 +100110000111 +100110000111 +100110000111 +100110010111 +101010011000 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +101010000110 +101010010111 +101110010111 +101110100111 +110010100111 +110010100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110110 +100101110101 +100001110101 +100001110101 +100001110101 +100110000110 +100010000110 +100001110110 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101100100 +011001010100 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001100110010 +001100110010 +001100110010 +001101000010 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110011 +001000110011 +001000110011 +001000110010 +001000110010 +001000100010 +001000100010 +001000110010 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001100110011 +001000110011 +001000110010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001100100010 +001100100010 +001100100010 +010000110011 +010001000100 +010001000101 +010001000101 +001101000100 +010000110100 +010001000100 +010001000101 +010001000101 +010001010110 +010001010110 +010001010101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010000110100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000011 +010100110011 +010000110011 +010001000101 +010001010110 +010101100111 +010101100111 +010101100111 +010101010110 +010001010101 +010001000101 +010001010101 +010001010110 +010001010110 +010001010110 +010101010101 +010101000101 +010000110011 +010000110011 +010000110100 +010000110100 +010000110100 +010000110100 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010101000011 +010101000101 +010101010110 +010101100110 +010101100110 +011001100110 +011001100110 +010101010110 +010101100110 +010101100111 +010101100111 +011001100111 +011001100111 +010101010110 +010101000100 +010101000011 +011001010100 +011101010101 +011101010100 +011001000011 +011001000011 +011001010101 +011001100111 +011001111000 +011001111000 +011001111000 +011101110111 +011101110111 +011101100111 +011101110111 +011001110111 +011101110111 +011101110111 +011101100101 +011001100101 +011001010101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011101110110 +011101100110 +011101100110 +011101100101 +011101010100 +011101100100 +011101100101 +100001110111 +011110001000 +011110001000 +100001110111 +100001110111 +011101110111 +011101110111 +100010001000 +100010001000 +011110000111 +100001110111 +100001100110 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +100001110111 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001100101 +011101100101 +011101100110 +100001110110 +100001110101 +100001100101 +100001100100 +100001100100 +100001110101 +100101110110 +100110000111 +100110000111 +100110000111 +100110000111 +100110001000 +100110011000 +100110011000 +101010011001 +101010011000 +101010011000 +101110101001 +101110101001 +110010101001 +101110101001 +101010010111 +100110000111 +100110000111 +101010011000 +101010011000 +101010011000 +100110000111 +100110000111 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +101010000110 +101110010111 +101110010110 +101110010111 +101110010111 +101110100111 +101110100111 +101110100110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110110 +100101110101 +100001110101 +100001110101 +100001110101 +100010000110 +100110000110 +100010000110 +100001110110 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001000110011 +001000110010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100011 +001000100010 +000100100011 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001100100010 +001100100010 +001100110011 +010001000101 +010001010101 +010001000101 +001101000101 +010001000101 +010001000101 +010001000101 +010001000101 +001101000101 +001101000101 +001101000100 +001100110100 +001100110100 +001100110100 +001101000100 +001101000101 +010001010110 +010001010110 +010001010110 +001101000101 +010000110100 +010000110011 +010100110011 +010101000100 +010101000101 +010101000100 +010100110011 +010000110011 +010001000100 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +001101000100 +001100110011 +010000110100 +010001000100 +001101000100 +010001000101 +010001010101 +010101000101 +010101000100 +010001000100 +010001000100 +010001000101 +010001000101 +010101000100 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000011 +010001000100 +010101000101 +010001010101 +010101010101 +010101010101 +010101000100 +010101000100 +010001000101 +010001010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101000011 +011001000011 +011001010100 +011001010100 +011001010100 +011001000011 +011001000011 +011001010101 +011001010110 +010101100111 +010101100110 +011001100111 +011001100111 +011001100110 +011001100101 +011001100110 +011001100110 +011001100111 +011001100110 +011101100101 +011101010101 +011001010101 +011001010101 +011101100101 +011101100101 +100001100101 +011101100101 +011101100110 +011101100110 +011101100110 +011001100101 +011101100101 +011101100101 +011101010100 +011101100100 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001110110 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100100 +100001100100 +100001110101 +100101110110 +100110000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +101010011000 +101010011001 +101010101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110011000 +101010000111 +100110000111 +100110000111 +101010011000 +101010011000 +101010011000 +100110000111 +101010010111 +101010011000 +101010011000 +101010010111 +101010000111 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +101010000110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100110000110 +100001110101 +100001110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100110000110 +100110000110 +100001110110 +100001110110 +100001110101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +001000100001 +001000100001 +001100100010 +001100110011 +001101000101 +001101010101 +010001010101 +001101010101 +010001000101 +010001000101 +010001000101 +010001000101 +001101010101 +001101000101 +001101000101 +010000110100 +001100110100 +001100110100 +001101000100 +001101000101 +010001010110 +010001010110 +010001010110 +010001000101 +010000110100 +010000110011 +010000110100 +010101000101 +010101010101 +010101000101 +010101000011 +010000110011 +010001000100 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001100110100 +001100110011 +010000110011 +001100110011 +001100110100 +001101000101 +010001010101 +010101000101 +010101000101 +010001000101 +010001000101 +010001000101 +010001000101 +010101000101 +010001000100 +001100110100 +001100110100 +001100110011 +001100110011 +010000110011 +010000110011 +010001000100 +010001000101 +010001000101 +010001000100 +010101000100 +010101000100 +010001000100 +010001000101 +010001010101 +010101010110 +010101010110 +010101010101 +010101000100 +010101000011 +010101000011 +011001010100 +011001010101 +011001010100 +011001000011 +011001000011 +011001010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101010110 +011001010101 +011001010100 +011001010101 +010101010110 +010101010110 +011001100110 +011001100110 +011001010101 +011001010101 +011001010101 +011101100101 +011101100110 +100001100101 +100001100110 +011101100110 +011101100110 +011001100110 +011001100101 +011001100101 +011101100101 +011101100100 +011101100100 +011101100101 +011101110110 +011101110111 +011101110111 +011101100110 +011101100101 +011101100110 +011101110110 +011101110110 +011101100110 +011001100110 +011101100110 +011101100101 +011101100100 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +011101100101 +011101110110 +011101100110 +011101110110 +011101110101 +011101100101 +011101100101 +011101110110 +100001110110 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +101010011000 +101010011000 +101110101001 +101110101001 +101110101001 +101110101000 +101010010111 +101010000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +101010000111 +101010011000 +101010011000 +100110010111 +101010000111 +100110000110 +100110000110 +100101110110 +100110000110 +100110000110 +101010000110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100110000110 +100001110101 +100001110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100110000110 +100110000111 +100001110110 +100001110101 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000010001 +000000010001 +000000000001 +000000000000 +000100010001 +001000010001 +001000100001 +001100100010 +001100110011 +001101000100 +001101000101 +010001010101 +001101010101 +010001000101 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010001000101 +010001000101 +010001010110 +010001010111 +010101100111 +010101100111 +010101100111 +010001010110 +010001000100 +010000110011 +010000110100 +010101000101 +010101010101 +010101000101 +010101000011 +010000110011 +010001000100 +010001010110 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000100 +010001000100 +001101000100 +001101000101 +010001010101 +010001010101 +010101010101 +010001000100 +001101000100 +001101000101 +001101000101 +010001000101 +010001000100 +010000110100 +001100110100 +001100110100 +001100110100 +001100110011 +010000110011 +010000110011 +010101000100 +010101010101 +010101010101 +010101010110 +010101010101 +010101010101 +010101010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010101 +010101000100 +010101000100 +011001010101 +011001010101 +011001010101 +011001010100 +011001000100 +011001010101 +011001100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001010110 +011001010101 +011001010101 +011001100110 +011001100110 +011001100111 +011101100110 +011101100101 +011001010101 +011001100101 +011001100110 +011101100110 +100001100101 +011101100101 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011101100101 +011101100100 +011101100100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110110 +011101100101 +011101100101 +011101100110 +011101110111 +011101110110 +011001100110 +011101100110 +011101100101 +011101100100 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +011101100101 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101110110 +011101110110 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100100 +100001100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000111 +100110000110 +100110000111 +100110011000 +100110011000 +100110011000 +101010011000 +101110101000 +101110101001 +101110101001 +101110011000 +101010000111 +100110000110 +100110000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +101010011000 +101010011000 +100110010111 +101010000111 +100110000110 +100110000110 +100101110101 +100101110101 +100110000110 +101010000110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100101110110 +100001110101 +100001110101 +100001110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110110 +100110000111 +100010000110 +100001110101 +100001110101 +100001110101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +010101010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001100110011 +001000110011 +001000110011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000100010001 +001000010001 +001000100001 +001100100010 +001100100011 +001100110100 +001101000100 +010001000101 +010001000101 +010001000100 +010000110100 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010001000100 +010000110011 +010000110011 +010101000100 +011001000101 +010101000100 +010101000011 +010000110011 +010001000100 +010101010110 +010101100111 +010101111000 +010101100111 +010101100111 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010101010110 +010101010101 +010001000100 +010000110011 +001100110100 +001100110100 +010000110100 +010000110100 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110011 +010000110011 +010101000101 +010101010110 +010101100111 +010101100111 +011001100111 +011001100110 +010101100110 +010101100111 +011001100111 +011001110111 +011001111000 +011001111000 +011001100110 +010101000100 +010101000011 +011001010100 +011001010101 +011101010101 +011001010100 +011001010100 +011001010101 +011001100111 +011001111000 +011101111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011101110111 +011101100110 +011101100101 +011001100101 +011001100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011001100110 +011101100110 +011101100101 +011101100100 +011101010100 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100101 +011101100100 +100001100100 +100001100101 +100001100100 +100001100100 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +100001110110 +100001110110 +100001110110 +100001110111 +100001110110 +100001110110 +100001110101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100100 +100001100100 +100001100101 +100001110101 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +101010011000 +101010011000 +101010011000 +101010101001 +101110101001 +101110101001 +101110101001 +101010011000 +101010000111 +100110000110 +100110000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +100110010111 +101010000111 +101010000110 +100110000110 +100101110101 +100101110101 +100110000101 +101010000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100110000110 +100110000111 +100001110110 +100001110101 +100001110101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001100110100 +001100110100 +001100110100 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000000010001 +001000010001 +001000010001 +001000100010 +001100100010 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010000110100 +010001000100 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010101010110 +010001010110 +010001010110 +010001010110 +010001010111 +010101010111 +010001010111 +010001010110 +010001000100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110011 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010101010110 +010101010110 +010101010101 +010101000100 +010000110011 +001100110011 +010000110100 +010000110100 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +010000110010 +010000110011 +010101000101 +010101010110 +010101100111 +010101100111 +011001100111 +011001100111 +010101010110 +010101100110 +011001100111 +011001110111 +011001111000 +011001110111 +011001100110 +010101010100 +010101000011 +011001010100 +011001010101 +011101010101 +011101010100 +011001010100 +011001010101 +011001110111 +011001111000 +011101111000 +011101111000 +011101110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011101010100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101111000 +011110001000 +011101110111 +011101100110 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +011101100101 +011101110110 +100010000111 +100010001000 +100010001000 +100001110111 +100001110111 +100001110111 +100010000111 +100001110111 +100001110110 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100100 +011101100100 +100001100100 +100001100100 +100001100101 +100001110110 +100110000111 +100110001000 +100110001000 +100110000111 +101010011000 +101010011000 +101010011001 +101010101001 +101110101010 +101110101010 +101110101001 +101110011000 +101010010111 +101010000110 +100110000110 +100110000110 +100110000111 +100110000111 +100110001000 +100110000111 +100110000111 +100110000111 +101010011000 +100110011000 +100110010111 +101010000111 +100110000110 +100110000110 +100101110101 +100101110101 +100110000101 +100110000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100110000111 +100010000110 +100001110110 +100001110101 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100010 +001000110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001100110100 +001100110100 +001100110100 +001100110100 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000000010001 +000100010001 +001000010001 +001000100001 +001100100010 +001100110011 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010000110100 +010001000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010000110100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110011 +010001000100 +010001010110 +010101100111 +010101100111 +010101100111 +010001010111 +010001000101 +010001000100 +010001000100 +010001000101 +010001010101 +010001010110 +010001010110 +010101010101 +010101000100 +010000110011 +001100110011 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +001100110011 +001100110011 +010000110011 +010000110011 +010101000101 +010101010110 +010101100110 +010101100111 +010101100110 +010101010101 +010101000101 +010001010101 +010101010110 +010101100110 +010101100110 +010101100110 +010101010101 +010101000100 +010101000011 +011001010100 +011001010101 +011101010101 +011101010100 +011001010100 +011001010101 +011001100110 +011001110111 +011001110111 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011101110111 +011101110110 +011101100110 +011001100101 +011001010101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101100101 +011101100101 +011101010101 +011101010101 +011101010100 +011101010011 +011101100100 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101100100 +011101100100 +100001100100 +100001100100 +011101100100 +011101100101 +011101110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100100 +100001100100 +100001100101 +100101110110 +100110000111 +100110000111 +100110001000 +100110000111 +100110001000 +101010011000 +101010101001 +101110101001 +101110101010 +101110101010 +101110101001 +101010011000 +101010000111 +100110000110 +100110000101 +100110000110 +100110000111 +100110001000 +101010011000 +101010011000 +100110000111 +100110000111 +101010011000 +101010011000 +100110010111 +101010000111 +100110000110 +100101110101 +100101110101 +100101110101 +100101110101 +100110000101 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +011001010100 +010101010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101010100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000100 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001000110011 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001100110100 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000000000000 +000100010001 +001000010001 +001100010001 +001100100010 +001100110011 +001101000100 +001101000101 +001101000100 +001101000100 +010001000100 +010001000100 +010001000101 +010001000101 +001101000101 +001101000101 +010001000100 +010000110100 +001100110100 +001100110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001100110100 +010000110011 +010000110011 +010101000100 +010101000101 +010101000101 +010101000100 +010100110011 +010001000100 +010001010110 +010101100111 +010101100111 +010101100111 +010001010110 +001101000100 +001100110011 +010000110011 +010001000101 +010001010110 +010001010110 +010101010110 +010101010110 +010101000100 +010001000100 +001101000100 +001101000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +001100110100 +001100110011 +010000110011 +010000110011 +010101000100 +010101010110 +010101100110 +010101100110 +010101010110 +010101000100 +010000110011 +010001000100 +010001000101 +010001010110 +010001010110 +010001010110 +010101010101 +010101000100 +011001000100 +011001010100 +011101010101 +011101010101 +011101010100 +011001010100 +011001010101 +011001100110 +010101100111 +010101100111 +010101110111 +010101100110 +011001100110 +011001100101 +011001100101 +011001100110 +011001110111 +011101110111 +011101110110 +011101100110 +011001100101 +011001010101 +011001100101 +011101100101 +011101100101 +100001100101 +011101100101 +011101100110 +011101100110 +011001010101 +011001010101 +011101010100 +011101010011 +011101010011 +011101010100 +011101100101 +011101100110 +011001100110 +011101100101 +011101100101 +011101100110 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011101100101 +011101100100 +011101100100 +011101100100 +100001100100 +011101100100 +011101100100 +011101100110 +011101110111 +011101110111 +011101110111 +011101100110 +011101100101 +011101100101 +011101110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100100 +100001100100 +100001100101 +100001110110 +100110000110 +100010000111 +100110000111 +100110000111 +100110000111 +101010011000 +101010101001 +101110101010 +101110101010 +101110101010 +101110011001 +101010010111 +100110000110 +100110000110 +100110000101 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110010111 +100110000111 +100110000110 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +101010000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101010010110 +101010010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +011101100101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010100 +010101010100 +010101010100 +010101010011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000000000000 +000100010001 +001000010001 +001100100001 +001100100010 +001100110011 +001100110100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001000101 +010001000101 +010001000101 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010000110011 +010000110011 +010101000100 +010101000101 +010101000101 +010101000100 +010100110011 +010001000100 +010001010110 +010101101000 +010101101000 +010101101000 +010001010110 +001101000101 +001100110100 +010001000100 +010001010101 +010101010110 +010101100111 +010101100111 +010101010110 +010101000101 +010001000100 +001101000100 +001101000101 +010001000100 +010001000101 +010001000101 +010001000101 +001101000101 +001101000100 +001100110011 +001100110011 +010000110011 +010101000100 +010101010110 +010101100111 +010101100111 +010101010110 +010101010101 +010001000100 +010001000100 +010001010101 +010101100110 +010101100111 +010101100111 +010101010110 +010101010100 +010101000100 +011001010100 +011101100101 +011101100101 +011101010101 +011001010100 +011001010101 +011001100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +011001100110 +011101110110 +011101110111 +011001111000 +011101110111 +011101100110 +011101100110 +011001010101 +011001010101 +011001100110 +011101100110 +100001100101 +100001100101 +011101100110 +011101100110 +011001100110 +011001010101 +011001010101 +011001010101 +011101010100 +011101010011 +011101010100 +011101100110 +011001110111 +011001100111 +011101100110 +011101100101 +011101100101 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101100101 +011101100101 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100110 +011101110111 +011101111000 +011101110111 +011101100110 +011101100101 +011101100101 +011101110110 +100001110111 +100001110110 +100001110101 +100001100101 +011101100101 +011101100110 +011101100101 +011101100101 +011101100101 +100001100101 +100001100100 +100001110101 +100001110101 +100110000110 +100010000111 +100001110110 +100110000110 +100110000111 +101010011000 +101010101001 +101110101010 +101110101010 +101110101010 +101010011000 +101010000111 +100110000110 +100110000110 +100101110110 +100101110110 +100001110110 +100010000111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000111 +100110011000 +100110000111 +100110000111 +100110000110 +100101110101 +100001110101 +100101110101 +100101110101 +100101110101 +101010000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101110010110 +101110010101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +011101100101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000010001 +001000100001 +001100100010 +001100100011 +001100110100 +001100110100 +001100110100 +001100110100 +001101000100 +010001000101 +010101010110 +010001100111 +010101100111 +010101100111 +010001010111 +010001010110 +010001010110 +010001010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010111 +010001000101 +010000110011 +010000110010 +010101000011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110100 +010101010110 +010101101000 +011001111000 +011001111000 +010101100111 +010001010110 +010001010101 +010001010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101010110 +010101000101 +010000110100 +001100110100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110100 +001100110011 +001100110011 +010000110011 +010101000100 +010101010101 +010101100111 +010101100111 +010101100111 +010101010110 +010101010110 +010101100110 +010101100111 +011001110111 +011001111000 +011001111000 +011001100111 +011001010101 +010101000100 +011001010100 +011001010101 +011101100101 +011101010100 +011001010100 +011001010101 +011001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011101111000 +011001111000 +011101111000 +011101110110 +011101100110 +011001100101 +011001100110 +011001100110 +011101100110 +011101100101 +100001100101 +011101100101 +011101100110 +011101100110 +011001010101 +011001010101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100110 +011101110111 +011101111000 +100010001000 +100001111000 +100001110111 +100001100110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100101 +011101100110 +100001110111 +100001111000 +100001110111 +100001110111 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100100 +100001110101 +100001110110 +100110000111 +100010000111 +100010000111 +100110000111 +100110000111 +101010011001 +101110101001 +101110111010 +101110111010 +101110101010 +101010011000 +100110000111 +100110000110 +100101110110 +100101110101 +100101110101 +100001110110 +100110000111 +100110000111 +100110000111 +100110000110 +100110000111 +100110000111 +100110011000 +100110000111 +100110000110 +100110000110 +100101110101 +100001110100 +100001110101 +100001110101 +100101110101 +100110000101 +101110010110 +101110010101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +100110000101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001110101 +100001100101 +011101100101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +001000010001 +001000100001 +001000100010 +001100100011 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010001000101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010001010110 +010001010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010111 +010001000101 +010000110011 +010000110010 +010100110011 +010101000100 +010101000100 +010101000011 +010100110011 +010000110011 +010001010110 +010101100111 +011001111000 +011001111000 +010101100111 +010101100111 +010001010110 +010001010101 +010101010110 +010101100110 +010101100110 +010101100110 +010101010110 +010101000100 +010000110011 +010000110100 +001100110100 +010001000100 +010000110100 +010000110100 +010000110100 +010001000100 +010000110100 +001100110011 +001100110010 +010000110010 +010001000011 +010001000100 +010101010110 +010101100111 +011001100111 +010101100110 +010101100110 +010101100110 +011001100111 +011001111000 +011001111000 +011001111000 +011001100111 +011001010101 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001110111 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101100101 +011001010101 +011001010101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101010101 +011101100101 +011101100101 +100001100110 +100001100110 +100001110110 +011101110111 +100001110110 +011101100110 +011101110110 +011101100110 +011101100110 +011101110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100101 +011101100110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001110101 +100001110110 +100010000111 +100110000111 +100110000111 +100110000111 +100110011000 +101010101001 +101110101010 +101110111010 +101110111010 +101110101001 +101010011000 +100110000110 +100101110110 +100101110101 +100101110101 +100101110110 +100110000111 +100110001000 +100110001000 +100110001000 +100110000111 +100110000111 +100110011000 +100110011000 +100110000111 +100110000111 +100110000110 +100001110101 +100001110100 +100001110100 +100001110101 +100001110100 +100101110100 +101010000101 +101010010101 +101010000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +101010000110 +101010000110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001110101 +100001100101 +011101110101 +011101110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +010000110010 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +001000010001 +001000010001 +001100100010 +001100110011 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101000100 +010000110011 +010000110011 +010100110011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110011 +010001000101 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001010101 +010001000101 +010001000101 +010001010101 +010001010110 +010001010110 +010101010110 +010101000101 +010000110100 +001100110100 +001101000100 +001100110100 +001100110011 +010000110011 +010000110011 +010000110100 +010000110100 +001100110011 +001100100010 +001100110010 +010000110010 +010001000011 +010001000100 +010101010101 +010101100110 +011001100110 +010101010101 +010101010110 +010101100110 +011001100111 +011001100111 +011001100111 +011001100110 +010101010101 +010101000011 +010101000011 +010101010100 +011001010100 +011001010011 +011001010011 +011001010101 +011001100111 +011101111000 +011110001000 +011110001000 +011001110111 +011001110111 +011001100110 +011001100110 +011001110111 +011001110111 +011101110111 +011101100111 +011101100101 +011101010101 +011101010101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101100101 +011101100101 +100001100101 +100001100110 +100001100110 +011101100110 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001110110 +100010000111 +100110000111 +100110000111 +100110001000 +101010011000 +101010101001 +101110101010 +101110101010 +101110101001 +101010011001 +101010000111 +100110000110 +100101110110 +100101110101 +100101110101 +100101110110 +100110000111 +100110000111 +100110001000 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +100110000111 +100110000110 +100101110101 +100101110101 +100001100100 +100001110100 +100001110100 +100001110100 +100101110100 +100101110101 +101010010101 +101110010101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +101010000101 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100100 +100001100100 +100001100101 +100001110101 +100001110101 +011101100101 +100001110101 +100001110101 +100001100101 +100001110101 +100001100101 +011101100101 +011101100101 +011101110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001100110011 +010000110011 +001100110011 +001000110010 +001100110011 +010001000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +001000010001 +001000010001 +001100100010 +001100110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001000101 +001101000100 +001101000100 +001101000100 +010001000101 +010001000101 +010001000100 +001101000101 +010001010110 +010001010110 +010001010110 +001101000101 +010001010101 +001101000100 +010000110011 +010000110011 +010101000011 +010101000101 +011001010101 +011001000100 +010100110011 +010000110011 +010001000101 +010101010110 +010101100111 +010101100111 +010101100111 +010001010110 +001101000100 +010000110100 +010001000101 +010001010101 +010001010110 +010001010110 +010101010110 +010101000101 +010001000100 +001101000100 +001101000101 +001101000100 +001100110011 +001100110011 +010000110100 +001101000100 +001101000100 +001100110100 +001100110011 +010000110011 +010000110011 +010001000100 +010001000100 +010001010101 +010101010101 +010101010101 +010101010100 +010101010101 +010101100110 +011001100111 +011001100111 +011001100111 +011001100110 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010101 +011001100110 +011101111000 +011101111000 +011101111000 +011001110111 +010101100101 +010101010101 +011001100110 +011001100110 +011001100111 +011001100111 +011101100110 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +011101100101 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100100 +011101100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000111 +100110000111 +101010011000 +101010101001 +101010101001 +101010101001 +101010011000 +100110011000 +100110000110 +100101110110 +100101110110 +100101110110 +100101110101 +100101110110 +100101110110 +100001110111 +100010000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100101110101 +100101110101 +100001110100 +100001100100 +100001110100 +100001110100 +100001110100 +100101110100 +100110000101 +101010000101 +101110010110 +101110010110 +101110010101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001110101 +011101100101 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101110101 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +010101010011 +010101010011 +010101010100 +010101010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +001000100010 +001100110011 +010000110011 +001100110011 +001100110011 +010000110011 +010101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +001000010001 +001000010001 +001000100010 +001100110011 +001101000101 +001101000101 +001101000110 +001101000110 +010001010110 +010001000110 +010001000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001000110 +010001000101 +010001010110 +010001010111 +010001010111 +010001010111 +010001010110 +010001010110 +010001000101 +010000110100 +010000110011 +010101000100 +010101010101 +011001010110 +011001000101 +010101000011 +010000110011 +010001000101 +010101010111 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010101010110 +010101010110 +010101010101 +010001010101 +010001010110 +010001010101 +010001000101 +010001000100 +010001000100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000100 +010101000100 +010101000101 +010001000101 +010101010101 +010101010101 +011001010101 +010101010101 +010101010101 +010101100110 +011001100111 +011101100111 +011001100111 +011001100110 +011001010100 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101010011 +011001010101 +011001100110 +011101110111 +011101111000 +011101111000 +011001100111 +011001100101 +011001010101 +011001100101 +011001100110 +011001100110 +011101100111 +011101100110 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001010100 +100001010100 +100001010100 +011101010100 +011101010011 +011101010100 +100001010100 +100001100100 +100001100100 +100001100100 +100001010100 +100001010100 +100001010100 +100001010100 +100001010100 +100001010100 +100001010100 +100001100100 +100001100100 +100001010100 +100001010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100101100100 +100101100101 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100001100100 +011101100100 +011101100100 +011101100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000111 +100110000111 +101010011000 +101010101001 +101010101001 +101010011001 +101010011000 +100110000111 +100101110110 +100101110110 +100101110110 +100101110110 +100101110101 +100101110110 +100001110110 +100001110111 +100001110111 +100001110111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100101110110 +100001110101 +100001100100 +100001100100 +100001100100 +100001110100 +100001110100 +100001110100 +100101110100 +100101110101 +101010000110 +101010010110 +101110010101 +101110010110 +101110010110 +101010010110 +101110010110 +101010010111 +101010010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +101010000101 +100101110101 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001110101 +100001110101 +011101100101 +100001100100 +100001100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +100001110101 +100001110110 +100001110110 +100001110110 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001000011 +010101000011 +010101010011 +010101010011 +010101010100 +010101010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000110011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100011 +001000100010 +001100110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101010100 +000100010001 +000100010001 +000000000000 +000000010000 +000000010000 +000100010001 +000100010001 +001000100001 +001000100010 +001100110100 +001101000101 +010001010110 +010001010111 +010101010111 +010101100111 +010101100111 +010101100111 +010001100111 +010001010111 +010001010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101101000 +010101101000 +011001101000 +011001101000 +010101101000 +010101100111 +010101010110 +010101000101 +010101010110 +010101010110 +011001010110 +010101010101 +010101000100 +010101000100 +010101010110 +010101100111 +011001111000 +011001111000 +011001101000 +010101100111 +010101100111 +010101010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010001010101 +001101000100 +001101000011 +010001000100 +010101000100 +010101000100 +010101000101 +011001010101 +011001010110 +011001010110 +011001010110 +011001010101 +011001010101 +011001010101 +011001100110 +011101100111 +011101100111 +011101100111 +011101100110 +011001010101 +010101000011 +010001000011 +010001000011 +010001000011 +010101010011 +010101010100 +011001010100 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100100 +100001100100 +100001100101 +100001110101 +100001110110 +100001110110 +100010000111 +100010000111 +100110000111 +101010010111 +101010011000 +101010101001 +101010101001 +101010011000 +101010011000 +100110000111 +100101110110 +100101110110 +100101110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001110111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100101110110 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100101110100 +100101110101 +101010000101 +101110010101 +101110010110 +101110010110 +101010000110 +101110010110 +101010010111 +101010010111 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101110010110 +101010000110 +101010000101 +100101110101 +100001110100 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000110010 +001000110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100011 +001000110011 +010000110011 +010101000100 +010101010100 +010101010100 +010101000011 +010101010011 +001100100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +001000110011 +001101000101 +010001010110 +010001010111 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +011001111000 +011001111000 +010101111000 +010101101000 +010101100111 +010101010111 +010101010111 +010101100111 +010101100111 +010101010110 +010101000101 +010001000101 +010001010110 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010111 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010101 +010001000100 +001101000011 +010001000011 +010001000011 +010101000100 +010101000101 +011001010110 +011001100111 +011001100111 +011001100111 +011001010101 +011001010101 +011001010110 +011101100110 +011101100111 +011101100111 +011101100111 +011101100110 +011001010101 +010101000011 +010001000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010101 +011101100111 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100100 +100001100100 +100001100101 +100001110110 +100001110110 +100110000111 +100110000111 +100110000111 +101010011000 +101010011000 +101010101001 +101110101001 +101010101001 +101010011000 +101010011000 +100110000111 +100110000111 +100110000110 +100101110110 +100101110110 +100101110110 +100101110110 +100101110110 +100101110110 +100110000111 +101010001000 +101010011000 +101010011000 +101010011000 +101010001000 +100110001000 +100110000111 +100101110110 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100101110100 +101010000101 +101110010101 +101110010110 +101010000110 +101010000110 +101110010110 +101010010111 +101110010110 +101110010110 +101110010110 +101010010110 +101010000101 +101010000101 +101010000101 +100101110101 +100001110101 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100101 +100001110101 +011101100100 +011101100100 +011101100101 +011101100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000100010 +001000100011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +001000110011 +010001000011 +010101010100 +010101010100 +010101010100 +010001000011 +010101000011 +010000110011 +010000110011 +001100100010 +001000100010 +000100010001 +000000010001 +000100010001 +000000010001 +000100100010 +001000110011 +001000110100 +001101000101 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001100110100 +001100110011 +001100110100 +001101000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010101010101 +010001010101 +010001010101 +010001000101 +010001010101 +010001000101 +001101000011 +001100110010 +001100110011 +010001000011 +010101000100 +010101010101 +011001100110 +011001100111 +011001100111 +011001100110 +011001010110 +011001010101 +011001010110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +010101010100 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +011001010100 +011101100111 +011101111000 +011110001000 +011110001000 +100010001000 +011101111000 +011101110111 +011101100110 +011101100101 +011101100101 +011101100101 +011101010101 +011101010101 +011101100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100100 +011101100100 +011101100100 +100001110101 +100001110110 +100110000111 +100110000111 +100110001000 +101010011000 +101010011001 +101110101001 +101110101001 +101010011000 +101010011000 +100110001000 +100110000111 +100110000111 +100001110110 +100001110110 +100001110110 +100101110110 +100001110110 +100001110101 +100001110101 +100101110110 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110000111 +100101110110 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100101110100 +101010000101 +101010000101 +101010000101 +101010000101 +101010010110 +101110010110 +101010010110 +101110010110 +101010010110 +101010000110 +101010000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000110010 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001000011 +010101010100 +010101010100 +010001000011 +010001000011 +010101010011 +010101000100 +010101000011 +010000110011 +001100100010 +001000100001 +000100010001 +000000010000 +000000010001 +000100010001 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001000110100 +001000110100 +001100110100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000101 +001101000100 +001101000100 +001100110100 +001100110100 +001100110100 +001101000101 +001101000101 +001101000100 +001000100011 +000100010001 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001000110011 +001100110011 +001100110011 +001100110010 +001100110011 +010001000011 +010001000100 +010101010101 +010101010110 +010101010110 +010101010110 +010101010110 +011001010110 +011001010110 +011001100110 +011001100111 +011001100111 +011001100111 +011001110111 +011001100111 +011001100110 +011001010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +011001100110 +011001110111 +011001111000 +011001111000 +011101111000 +011101110111 +011101110111 +011101100111 +011001100110 +011001100110 +011001100101 +011101010101 +011101010100 +011101010100 +011101010100 +011101010100 +100001100100 +100001100100 +100001100100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010100 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100101 +011101100100 +011101100100 +011101100101 +100001110110 +100001110111 +100110000111 +100110000111 +100110011000 +101010011001 +101010011001 +101010011000 +100110011000 +100110000111 +100110000111 +100110000111 +100001110111 +100001110110 +100001110110 +100001110101 +100001110110 +100001110101 +100001100100 +100001100100 +100001110110 +100001110110 +100001110111 +100101110111 +100110000111 +100001110111 +100101110111 +100110000111 +100101110110 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +011101100011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100101110101 +100110000101 +100110000101 +101001110101 +101010000101 +101010000101 +101010000101 +101010000101 +101010000101 +100110000101 +100101110101 +100101110101 +100001110100 +100001110100 +100001110100 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101110101 +100001110110 +100001110110 +011101100101 +011101100101 +011101100101 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001000011 +010101010100 +010101010100 +010101010100 +010101000100 +010101010011 +010101000100 +010101000100 +010000110011 +001100110010 +001000100010 +000100010001 +000100010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000110011 +001000110011 +001100110100 +001100110100 +001100110100 +001000110100 +001000110100 +001000110100 +001000110100 +001100110100 +001100110100 +001000110011 +001000110100 +001101000100 +001101000101 +001101000100 +001000100011 +000100100010 +001000100010 +001000110011 +001100110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +010000110100 +010000110100 +010000110011 +001100110011 +001000110011 +001100110011 +001100110011 +001100110010 +001100110011 +010001000100 +010001000100 +010001000100 +010101010101 +010101010101 +010001010101 +010001010101 +010101010110 +011001100110 +010101100110 +010101100110 +010101100111 +010101100111 +011001100111 +011001100111 +011001100110 +011001100110 +010101010101 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010101010101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +011101010101 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +100001100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +100001010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010011 +011001010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100001110101 +011101100110 +011101110110 +100001110110 +100001110111 +100010000111 +100110000111 +100110001000 +100110011000 +101010011000 +101010011001 +100110011000 +100110000111 +100010000111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110110 +100001110110 +100001110111 +100101110111 +100001110111 +100001110111 +100001110111 +100101110111 +100101110110 +100001110110 +100001100101 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100001110101 +100001110100 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110100 +100001110100 +100001100100 +100001110101 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011001100101 +011101100101 +011101110110 +100001110110 +011101110101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000100 +010001000100 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100010010 +000100010010 +000100100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +000100100010 +000100100010 +001000110011 +010001000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010100110011 +010101000100 +010000110011 +001100110010 +001000100010 +000100100001 +000100010001 +000000010001 +000000010001 +000100010010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000110011 +001000110011 +001000110100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +001101010101 +001101000101 +001101000100 +001100110100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001000101 +010001000101 +010001000101 +001101000101 +001101000100 +001101000100 +001101000011 +001101000011 +001101000100 +010001000101 +010001000101 +010001010101 +010001010101 +010101010101 +010001010110 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +011001100111 +011001100111 +011001100111 +010101100110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100110 +011001100111 +011001100111 +011001110111 +011001110111 +011001100110 +011101010101 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +100001100100 +100001100100 +011101100100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +100001010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010100 +011101010011 +011101010100 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110110 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100110000111 +100110001000 +100110001000 +101010011000 +101010011001 +101010011000 +100110001000 +100110000111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100010000111 +100110000111 +100110000111 +100001110111 +100101110111 +100110000111 +100101110111 +100001110110 +100001110101 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001100100 +100001100100 +100001110100 +100001110100 +100001110101 +100001110101 +100001110101 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011001100100 +011001100100 +011101100101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +010101000100 +010101000100 +010001000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000100 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +010000110010 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100010 +000100100010 +001100110011 +010001000011 +010101010100 +010101010100 +010001000011 +010001000011 +010101000011 +010000110011 +010000110011 +010000110011 +001100100010 +001000010001 +000100010001 +000100010001 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001100110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001010101 +010001000101 +010001000101 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001010101 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010101 +010001000101 +001101000101 +001101000100 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001010101 +010001010101 +010101010110 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101100101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010110 +011001010101 +011001010101 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +100001010100 +100001100100 +100001100100 +100001010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010100 +100001100100 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100101100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110110 +100101110110 +100001110110 +100001110110 +100001110111 +100001110111 +100010000111 +100010000111 +100110000111 +100110001000 +100110001000 +100110001000 +100110000111 +100110000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100001110110 +100001110101 +100001100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011101100100 +011101100101 +011101110110 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +000100100010 +000100100010 +001100110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010011 +001100100010 +001100100010 +001100100010 +001000100001 +000100010001 +000100010001 +000000010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110100 +001100110100 +001100110100 +001000110100 +001000110011 +001100110100 +001101000100 +001101000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001101000100 +001101000100 +001100110100 +001100110011 +001100110011 +001100110100 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010100 +010101010101 +010101010101 +010101010101 +010101010100 +010001010101 +010101010100 +010101010100 +010101000100 +010001000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +011001000011 +011101010011 +011101010100 +011101010100 +011101010100 +100001010100 +100001010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100100 +100001100101 +100001100101 +100101100101 +100101100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +011101100101 +011101100101 +100001110101 +100001110110 +100001110110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000110 +100001110110 +100001110101 +100001100101 +100001100101 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001110110 +100101110110 +100101110110 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011101100100 +011101100101 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +001100110011 +010001000011 +001101000011 +001101000011 +010101010100 +011001100101 +011101110101 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010000 +000000000000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100011 +001000110011 +001100110011 +001100100010 +001000100010 +001000100001 +001000100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110010 +001100110010 +001100110011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010000110010 +010000110010 +010101000011 +011001000011 +011101010100 +011101010100 +011101010100 +011101010100 +100001010100 +100001010100 +100001100100 +100001010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +100001010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100100 +100101100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101110101 +100101110101 +100101100101 +100001100101 +100001100100 +100101110101 +100101110101 +100001100100 +011101100011 +011101100100 +011101100100 +100001110101 +100001110101 +100110000110 +100110000111 +100110000111 +100110000110 +100101110110 +100001110101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101010100 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011101010100 +011101100100 +011001010100 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001100110011 +001101000011 +001101000011 +010001010101 +011001100111 +100010000111 +100110011000 +001100100001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010000 +000100000000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100110010 +001100110011 +001100100010 +001100110011 +001100110100 +001100110011 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001000011 +011001010011 +011101010100 +011101010100 +011101010100 +011101010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101110101 +100101110101 +100001100101 +011101100100 +011101010011 +100001100100 +100001110101 +100001100100 +011101100011 +011101100100 +011101100100 +100001110101 +100001110110 +100110000110 +100110000110 +100110000110 +100110000110 +100101110110 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100011 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +001000100010 +001100110011 +001101000011 +010001000100 +010101100110 +011101111000 +100010011001 +101010101010 +001100100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +010000110100 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +011001000100 +011001010100 +011001010100 +011001010100 +011001010011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011101010011 +011101010100 +011101010100 +011101010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101000011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101110101 +100101110101 +100001100100 +011101010011 +011001010011 +100001100100 +100001110101 +100001100100 +011101100011 +011101100011 +011101100100 +100001110101 +100101110110 +100110000110 +100110000110 +100110000110 +100101110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001110101 +100001100101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110100 +100001110100 +100001110101 +100101110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +100001100100 +011101100100 +011101100011 +011101100100 +011101100100 +100001100100 +100001110100 +100001110100 +100001100100 +100001100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010101000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001100110011 +010001000100 +010001000100 +010101100111 +011110001000 +100110011001 +101110111001 +001100100010 +001100100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +001000010010 +001000100010 +001000100001 +001000010001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010101000011 +010101000011 +010101000100 +011001000100 +011001000100 +011001000100 +011001000100 +011001000100 +011001000100 +010101000100 +010001000011 +010000110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +011001000011 +011001010100 +011001010100 +011001010011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001010011 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101000011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100100 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100001100100 +011101010100 +011101010011 +100001100100 +100001110101 +100001100100 +011101010011 +011101100011 +011101100100 +100001110101 +100001110110 +100110000110 +100110000110 +100001110101 +100001110101 +100001100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001110101 +100001110100 +100001100100 +011101100011 +011101100011 +100001110100 +100001110100 +100001110100 +100001100100 +011101100011 +011101100100 +011101110100 +100001110100 +100001110100 +011101100011 +011101100011 +011101100100 +100001110100 +100001110100 +100001110100 +100001110100 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101100100 +011101010100 +011101100100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010101000100 +010101000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110010 +001000100010 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +001000100010 +001100110011 +010001000011 +010001010100 +011001100111 +100010001001 +100110011001 +101110111010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110011 +010000110011 +010001000011 +010101000011 +011001000011 +011001000011 +011001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101000011 +011101000011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100001100101 +100001100100 +100001100100 +100001100101 +100001110101 +100001100100 +011101100011 +011101100100 +011101100100 +100001110101 +100001110110 +100001110110 +100001110101 +100001110101 +100001100100 +011101100100 +011101100011 +011101100011 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +100001100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101110011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101110100 +011101110100 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001100100 +011001100101 +011001100101 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010001000011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100011 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +001000100010 +001100110011 +010001000011 +010001010100 +011001110111 +100010001001 +100110011001 +101110111010 +001100100011 +010000110011 +001100100011 +001100100010 +001000100001 +001000010001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +011001000011 +011001010100 +011001010100 +011101010100 +011101100101 +011101010100 +011101010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010011 +011101000011 +011101010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100001110101 +100101110101 +100101110101 +100001100101 +011101100100 +011101100100 +100001110101 +100001110101 +100001110110 +100001110110 +100001110101 +100001100101 +011101100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100011 +011101010011 +011001010011 +011001010011 +011101010011 +011101100011 +011101010011 +011101010011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011101100101 +011101110101 +011101100101 +011101100100 +011101100100 +011001100100 +011001100100 +011001100101 +011001100101 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100011 +001100110011 +001100110011 +001000100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +001000100010 +001100110011 +010001000011 +010001010100 +011001110111 +100010011001 +100110011001 +110010111010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010101000011 +011001010100 +011001010100 +011101010100 +011101100101 +011101010100 +011101010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101000011 +011101010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101100101 +100001100101 +100001100101 +100101110101 +100101110101 +100001110101 +011101100100 +011101100101 +100001110101 +100001110110 +100001110110 +100001110101 +100001110101 +011101100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100011 +011101010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101010011 +011101010011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +000100100010 +001000100010 +001100110011 +001100110100 +001100110011 +001000100011 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001100110011 +010001000011 +010001010101 +011001110111 +100010001001 +100110011001 +110010111010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100010 +001000100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110001 +010000110010 +010101000011 +011001000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100001100101 +100001010100 +011101010011 +100001100100 +100101110101 +100001110101 +100001100101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110101 +100001100101 +011101100100 +011001010011 +011001010011 +011101010011 +011101010011 +100001010100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +011101100100 +011101010011 +011001010011 +011001010011 +011001010011 +011101010011 +011001010011 +011101100011 +011101100011 +011101100011 +011101100011 +011101010011 +011101010011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010100 +011001100100 +011001100100 +011001100011 +011001010011 +011001100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110100 +001100110011 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +001000110010 +010001000011 +010001000011 +010101010100 +011001100110 +011101110111 +100010001000 +101110101000 +001100100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000010010 +001000100010 +001000100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +001000100001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100100001 +001100100001 +001100110010 +010101000011 +011001000011 +011001000011 +011001000011 +011101010100 +011101010100 +011101010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100001100101 +011101010011 +011001000011 +100001100100 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101010011 +011001010011 +011001010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101010011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101010100 +011101010011 +011101010100 +011101010100 +011101010100 +011101010100 +011001010011 +011001010011 +011101010100 +011101010100 +011101100100 +011101010100 +011101010011 +011101010011 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001100100 +011101100100 +011001100100 +011001010011 +011001010011 +011001010011 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100001 +001000100010 +001000110011 +001000110100 +001000110101 +001000110100 +000100110011 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +001000110010 +010001000011 +010101010100 +010101010100 +011001100101 +011001100101 +011001100101 +100001110110 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100001 +001100100010 +001100100010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +001100110010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +011001000011 +011001000011 +011001000011 +011101010100 +011101010100 +100001010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010011 +011001010011 +011001010011 +011101010100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100001100101 +011101010100 +011101010011 +100001100100 +100101110101 +100101110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101100100 +011101010100 +011001010011 +011001010011 +011001000011 +011001000011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101010100 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101010011 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101010100 +011101010011 +011101010011 +011101010100 +011101010100 +011101010100 +011001010011 +011001010011 +011101010011 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001100011 +011001100011 +011001010011 +010101010011 +010101010011 +011001010011 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000110100 +001001000110 +001101011000 +001001011000 +001001011000 +001001000111 +001001000110 +000100110100 +000100100011 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +001000110010 +010001000011 +010101010100 +011001100100 +011001010100 +011001010100 +010101010100 +011001010100 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +001000010001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010010 +001000010010 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +010000110010 +010000110011 +010000110011 +010000110010 +001100110010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +011001000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011001010011 +011001010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100101110110 +100101110110 +100001110110 +100001110101 +100001110101 +100001110101 +011101100101 +011101100100 +011001010011 +011001010011 +010101000011 +011001000011 +011001000010 +011001000011 +011101010011 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101100011 +011101100100 +100001100100 +011101100011 +011101010011 +011101010011 +011101100011 +011101100011 +011101100100 +011101100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010100 +011101010100 +011101010100 +011001010100 +011001010100 +011101010011 +011101010100 +011001010011 +011001010011 +011101010011 +011101010011 +011101010100 +011101010100 +011001010100 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +010101010011 +011001010011 +010101010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +000100100010 +001000110100 +001101011000 +001101101010 +001101111011 +001101111011 +001101111011 +001101111011 +001101101010 +001001011000 +001000110101 +001000100010 +001000100010 +001000100010 +000100100010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110010 +010001000011 +011001010100 +011001100101 +011001100100 +011001010100 +010101010100 +011001010100 +001000010001 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010010 +001000100010 +001000100010 +001000100001 +001000010010 +001000100010 +001000100010 +001000100010 +001000010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +010000110011 +010000110011 +010000110011 +001100110010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010000110011 +011001000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011001000011 +011001000011 +011101010011 +011101010100 +011101010100 +011101010100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100101110110 +100101110110 +100101110110 +100001110110 +100001110110 +100001110101 +100001110101 +011101100101 +011101100100 +011001010011 +010101000011 +010101000010 +010101000010 +011001000011 +011001000011 +011101010011 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +011101010100 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101100011 +011101100100 +100001100100 +011101100100 +011101010011 +011101010011 +011101010011 +011101100011 +011101100100 +011101100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010011 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010011 +011001010011 +011001010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001100100 +011001100100 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +011001010011 +011001010100 +011001100100 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +000100100010 +001000100011 +001101000110 +010001101010 +010010001011 +010010001100 +010010001100 +010010001100 +010010001100 +010010001100 +001101111011 +001001011000 +001000110011 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +000000010001 +000100010001 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110010 +010001000011 +011001100100 +011001100101 +011001010100 +010101010100 +010101010100 +011001010100 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100010 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100001 +001100100001 +001100100010 +010000110010 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011001000011 +011001000011 +011001000011 +011001010011 +011001010011 +011001010011 +011101010100 +011101010100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100110 +100001100101 +100001100101 +100101110110 +100101110110 +100110000110 +100101110110 +100001110110 +100001110110 +100001100101 +011101100100 +011101010100 +011001010011 +010101000011 +010101000011 +010101000011 +011001000011 +011101010011 +011101010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +011001010100 +011001010100 +011101010011 +011101010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010011 +011001010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100011 +001001000111 +001101101011 +010010001100 +010110001100 +010110011100 +010110001100 +010110001100 +010110001100 +010010001011 +001101011001 +001000110101 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +001100110010 +010001000011 +011001010100 +011001100100 +010101010100 +010101010100 +010101010100 +011001100100 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100010 +001000100010 +001000010010 +001000010010 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001100100010 +010000110010 +010101000011 +011001000011 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +011001000011 +010101000011 +010101000010 +010101000011 +010101000011 +011001010011 +011101010100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101010100 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100101 +100001100100 +100001110101 +100101110110 +100110000110 +100101110110 +100001110110 +100001110101 +011101100101 +011101100100 +011001010011 +011001010011 +010101010011 +011001010011 +011001000011 +011001010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001000011 +011001000011 +011001010011 +011001010011 +011001010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011001010100 +011001010100 +011101010100 +011101100100 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011101100100 +011101100100 +011101100100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101010010 +010101010011 +011001010011 +011001010100 +011001010100 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100110010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100011 +001001000110 +001101101001 +010010001011 +011010011100 +011010011101 +011010011101 +011010011101 +010110011100 +010010001011 +001101011001 +001000110101 +001000100011 +001000100010 +001000100010 +001000110010 +000100100010 +000100100010 +000100010001 +000100010010 +000100100010 +001000100011 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +000100010010 +000100100010 +001100110011 +010101000011 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +011001100100 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010010 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100100010 +001100100001 +001100100001 +010000110010 +010101000011 +011001000011 +011001000011 +011001000011 +011001010011 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +010101000011 +010101000010 +010000110010 +010000110010 +010001000010 +010101000011 +011001010100 +011101010100 +100001100101 +100001100101 +100001100101 +011101100100 +011001010100 +011001000011 +011101010100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100100 +011101100100 +100001100101 +100101110110 +100110000111 +100001110110 +100001110110 +100001100101 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001000011 +011001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010011 +011001010011 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101010100 +011001010100 +011101010100 +011101100100 +011101010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101010011 +011001010011 +011001010100 +010101010100 +010101000011 +010101000011 +011001010100 +011001010100 +011001010101 +011001010101 +011001010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001100110010 +010001000011 +010101000100 +010101010100 +010101010100 +010101010100 +010001010101 +010001010101 +010001000101 +010001000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000110 +001101011000 +010001111010 +010110001100 +011010001100 +011010011100 +011010011100 +010110001011 +010001111010 +001101010111 +001101000101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110100 +001101000100 +001101000100 +001101000100 +001101000100 +001000110100 +000100100010 +000100010001 +000100010001 +000100100010 +001100110011 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000010001 +000100010001 +000000010000 +000000010001 +000000010001 +000000010001 +000000010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110010 +001100110010 +001100100010 +001100100001 +001100100001 +010000110010 +010101000011 +011001000011 +011001000011 +011001000011 +011001010011 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +010101000011 +010101000011 +010000110010 +010000110010 +010101000011 +010101000011 +011001010100 +011101010100 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +011101010100 +011101010100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +011101100101 +011101100100 +100001110101 +100101110110 +100110000111 +100001110110 +100001110110 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010011 +011101010011 +011001010011 +011001010011 +011001000011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010011 +011101010100 +011101100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101010101 +011001010101 +011101010101 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101100100 +011101100100 +011101100100 +011101010100 +011101100100 +011101100100 +011101100101 +011101100101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000011 +011001010011 +011001010100 +011001010100 +010101010100 +010101010011 +011001010100 +011001010100 +011001100101 +011001010101 +011001010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001100110011 +001000100011 +001000100011 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011101100101 +011101100101 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010111 +010001101000 +010001101001 +010001111010 +010101111010 +011001111010 +010101111001 +010001101000 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001101000100 +001101000100 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001000110011 +000100100010 +000100010010 +001000100010 +001100110011 +010101010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001100100 +011001010100 +001100100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000000010000 +000000010001 +000000010001 +000000010001 +000000010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100100010 +001100100001 +001100100001 +010000110010 +010101000011 +011001000100 +011001000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +011001000011 +011001000011 +011001000011 +010101000011 +011001000011 +011001010100 +011101010100 +011101100101 +100001100101 +100001100101 +011101100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001110101 +011101110110 +100001110110 +100110000111 +100110000111 +100001110110 +100001110110 +011101100101 +011101100101 +011101010100 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001000011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +011001000011 +011001010100 +011101010100 +011101100101 +011101100101 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001000010 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010100 +011101100100 +011101010100 +011001010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101110100 +011101110101 +011101110101 +011101110100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001010100 +011001010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110010 +001000100010 +001000100010 +001000100010 +001100110011 +011001010100 +011101110101 +011101110101 +011101110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +010101100110 +010101010110 +010001010110 +001101010110 +001101010110 +001101010110 +010001010110 +010101010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +001101000101 +001101000101 +001101000100 +001101000100 +001101000101 +001101000101 +010001010110 +010001010110 +010001010110 +001101000101 +001000110011 +000100100010 +000100100010 +001000100010 +001100110011 +010001000011 +010101010100 +010101010100 +011001010100 +011001100100 +011001100100 +011001010100 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000000010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100001 +001100100001 +010000110010 +010101000011 +011001000011 +011001000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +011001000011 +011001000011 +011001010100 +011001010100 +011001010100 +011101010100 +011101010100 +011101100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110110 +100001110110 +100010000111 +100110001000 +100110000111 +100110000111 +100001110110 +011101100110 +011101100101 +011101100101 +011101010100 +011001010011 +011001000011 +011001010100 +011001010100 +011001010011 +011001010011 +011001000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +011001010011 +010101010010 +010101000010 +011001000010 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101100100 +011101010011 +011001010011 +011001100100 +011101100100 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011101100101 +011101100101 +011101100100 +011101100100 +011001100011 +011001100011 +011001100011 +011001100011 +011001100100 +011001010011 +010101010011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +011001100101 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110101 +011101110101 +011101110110 +011101110110 +011101110110 +011001100110 +010101010101 +010001010101 +001101000101 +001001000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001001000100 +001000110100 +001001000100 +001001000100 +001001000100 +001001000100 +001001000100 +001001000100 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +001000110010 +001000110011 +001101000011 +010001010100 +010101010100 +011001010100 +011001010100 +010101010100 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000100010 +001000110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000110001 +001000110010 +001000100001 +001000100010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001100100001 +001100100010 +010000110010 +010101000011 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001010100 +011001000011 +011001000011 +011001000011 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +100001010101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +011101100100 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100101110110 +100001110111 +100010000111 +100110001000 +100110000111 +100110000111 +100001110110 +011101100101 +011101100101 +011001010100 +011001010100 +010101000011 +010101000011 +011001000100 +011001000011 +011001010011 +011001000011 +010101000011 +010101000010 +010101000010 +010000110010 +010000110010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101000010 +010101000010 +011001000010 +011001000011 +011001010011 +010101000011 +010101000011 +011001010011 +011001010011 +011001010011 +011101010100 +011001010011 +011001010011 +011001100011 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100011 +011001100011 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010100 +011001010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101010100 +010101010100 +010001000011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010000110011 +011001100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011001100101 +010101010101 +010001010101 +001101000101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000011 +010001010100 +010101010100 +010101010100 +010101010100 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100100001 +000100100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000110001 +001000100001 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001010100 +011001010100 +011001000011 +010101000011 +011001000100 +011001010100 +011001010100 +011101010100 +011101010101 +011101010101 +011101010101 +011101010101 +100001010101 +100001100100 +100001100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001110101 +100101110110 +100101110110 +100001110110 +100110000111 +100110000111 +100110000111 +100101110110 +100001110110 +011001100101 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +010101000011 +010101000010 +010101000010 +011001000011 +010101000011 +010101000011 +010101010010 +011001010011 +011001010011 +011001010011 +011101010011 +011001010011 +011001010011 +011001010100 +011101100100 +011101100101 +011101110110 +011101100101 +011101100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011001100011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101010010 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101000100 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110010 +001100100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011001100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +011001100101 +010101010101 +010001010101 +010001010101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001001000011 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001101000011 +010001000100 +010001010100 +010101010100 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001100110010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000100 +011001000011 +010101000011 +010101000011 +011001000011 +011001010100 +011001010100 +011001010100 +011101010101 +011101010101 +011101010100 +011101010100 +100001010101 +100001010100 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100101110110 +100101110110 +100001110110 +100101110111 +100101110111 +100110000111 +100101110110 +011101100110 +011001010101 +010101010100 +010101000011 +010101000011 +010101000011 +010001000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010010 +011001010011 +011001010011 +011001010011 +011101100100 +011101100100 +011001100100 +011001100100 +011101100101 +011101100101 +011101110101 +011101100101 +011101100101 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010010 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010100 +011001010011 +011001010011 +010101010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101010011 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011001100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110101 +010101100101 +010001010101 +001101010101 +001101000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001001000100 +001000110011 +001000110011 +001000110011 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000110011 +001000110011 +001101000011 +001101000011 +010001000100 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110001 +001100110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +011001000011 +011101010100 +011101010100 +011101010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +100001010101 +100001010101 +011101100101 +011101010101 +011001010101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110110 +100101110110 +100001110111 +100001110111 +100110000111 +100101110110 +011101100110 +011001010101 +010101010100 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010001000010 +010101000011 +011001010101 +011001100101 +011001010100 +010101000011 +010101000010 +010101000010 +010101000011 +010101010011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001100011 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001100100 +011001010100 +011001100100 +011001100100 +011001100011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +011001010011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101010011 +010101010100 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000011 +010001000011 +010000110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001100110010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011101100101 +100001110110 +100001110110 +100010000110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011101110101 +010101010101 +001101000100 +001101000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001001000100 +001001000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001101000011 +001101000011 +001100100010 +001000100010 +001000100010 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110010 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010101000011 +010101000011 +010101000011 +011001000011 +011001010100 +011001010100 +011101010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010101 +011101010100 +011101010101 +011001010101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110110 +100101110110 +100101110111 +100101110111 +100101110110 +100001110110 +011101100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010100 +011101100111 +011101111000 +011101100110 +011001010100 +010101000011 +010101000010 +010101000011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011101100100 +011101100100 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011101100101 +100001110110 +100010000110 +100010000110 +100010000110 +100001110110 +100010000110 +100010000110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011001100101 +010001010101 +001101000100 +001001000011 +001001000011 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001001000100 +001001000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001101000011 +001000100010 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110010 +001000110010 +001000100001 +001000100001 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110001 +001100110001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100001 +001100100001 +001100110010 +010000110011 +010101000011 +010101000011 +011001000100 +011001010100 +011001010100 +011001010100 +011001000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +100001100101 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110111 +100101110110 +100101110110 +100101110110 +100101110110 +100001110110 +011101100101 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010101010010 +010101010010 +010101010011 +010101010011 +010101000011 +011001010100 +011101100110 +011101110111 +011001100110 +010101010100 +010101010011 +010101010010 +010101000010 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +011001010011 +011001010011 +011001010100 +011101100100 +011101100100 +011101100101 +011101110110 +011101110101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +010001000011 +011101100101 +100001110110 +100010000110 +100010000110 +100010000110 +100010000110 +100010000110 +100010000110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011001100101 +010001010100 +001101000100 +001001000011 +001001000100 +001001000100 +001000110100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110010 +000100100011 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001001000011 +001000010001 +001000100010 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000100010001 +000100010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110010 +001000110010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100100001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110001 +001100110001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100001 +001100100001 +001100100001 +010000110010 +010000110011 +010101000011 +011001000100 +011001010100 +011001010100 +011001000100 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101010100 +100001100100 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100110000111 +100110000110 +100101110110 +100101110110 +100101110110 +100001100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101010010 +010101010010 +011001010011 +010101010011 +010101000011 +010101000011 +011001010100 +010101010100 +010101010011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +011001010011 +011001010100 +011101100100 +011101110101 +011101110101 +011101110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +010001000011 +011101110101 +100010000110 +100010000110 +100010000110 +100010000110 +100010000110 +100110000110 +100110000111 +100010000110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011001100101 +010001010100 +001101000100 +001000110011 +001001000100 +001000110100 +001000110100 +001000110100 +001000110100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000010001 +001000100010 +001000100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110010 +001000110010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110001 +001100110001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +001100110010 +010000110010 +010101000011 +011001000011 +011001000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011101010100 +011101100101 +100001100101 +100001100101 +100001100101 +011101010100 +011101010100 +100001100101 +100001100101 +100001100110 +100101110110 +100101110110 +100101110111 +100101110110 +100101110110 +100001110110 +100001110110 +100001110110 +100001100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010000110010 +010001000010 +010101000010 +010101010010 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101010010 +011001010011 +011101010100 +011101100100 +011101110101 +011101110101 +011101110101 +011001100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010001000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010001000010 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110010 +001100110010 +001100100010 +001100110010 +010000110010 +010000110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100010 +010101000100 +011101110110 +100010000110 +100001110110 +100001110110 +100010000110 +100010000110 +100110000111 +100110000111 +100010000111 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001010100 +001001000011 +001000110011 +001000110100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000010001 +001000100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101010101 +011101100101 +100001100101 +100001100101 +011101010100 +011101010100 +011101100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110111 +100101110110 +100001110110 +011101100101 +011101100101 +100001100101 +100001100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000010 +010101010010 +010101010010 +010101010010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010000110010 +010000110010 +010000110010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101010010 +011001010011 +011001010011 +011101100100 +011101100100 +011101100100 +011101110101 +011101110100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011101100100 +011101100100 +011001100100 +011001010011 +011001010011 +011001010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110010 +010000110010 +010001000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101000011 +010001000011 +010001000011 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +010101010100 +011101110110 +100010000111 +100010000110 +100001110110 +100010000110 +100010000110 +100110000111 +100110000111 +100110000111 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001010100 +001001000011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100010010 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001100100001 +010000110010 +010100110011 +010101000011 +010101000011 +010101000011 +010101000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101010100 +010101000100 +011001010100 +011001010100 +011001010100 +011101010101 +011101010101 +011101010101 +011101010101 +011101010100 +011101010100 +011101100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110111 +100101110110 +100001110110 +011101100100 +011101100100 +100001100101 +100001100101 +011001010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +011001010100 +010101010100 +010101000011 +010101000010 +010001000010 +010000110010 +010000110010 +010001000010 +010101000010 +010101010010 +010101010011 +010101010010 +010101000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010010 +011001010011 +011001010011 +011101100011 +011101100100 +011101100100 +011101110100 +011101100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011101100011 +011001100011 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000010 +010000110010 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +010001000011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010101010100 +011101110110 +100010000111 +100010000110 +100001110110 +100010000110 +100010000111 +100110000111 +100110000111 +100010000111 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001010100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +010000110010 +010000110011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +010101000011 +010101000011 +011001010100 +011001010100 +011101010100 +011101010100 +011101010101 +011101100101 +011101010101 +011101010101 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110111 +100101110110 +100001110110 +011101100100 +011101100100 +011101100101 +011101100101 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001010101 +011001010100 +011001010100 +010101000011 +010101000011 +010001000010 +010001000010 +010101000010 +010101000010 +010101010010 +010101010010 +010101010010 +010101000010 +010101000010 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010010 +010101010010 +010101010010 +010101010010 +010101010011 +011001010011 +011001100011 +011001100011 +011101100100 +011101110101 +011101110101 +011001100100 +011001100011 +011001100011 +011001100011 +011001100011 +011001100100 +011101100100 +011101100100 +011101100011 +011001100011 +011001010011 +011001100011 +011001100011 +011001010011 +011001010011 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010001000010 +010001000010 +010001000011 +010101000011 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000011 +010001000010 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +010101010100 +011101110110 +100010000110 +100010000110 +100001110110 +100010000110 +100010000111 +100110000111 +100110000111 +100010000110 +100001110110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001010100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001100100010 +001100100010 +001000100010 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +010000110010 +010000110010 +010000110011 +010100110011 +010100110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000100 +010101000011 +010101000011 +011001010011 +011001010100 +011101010100 +011101010100 +011101010101 +011101100101 +011101010101 +011101010101 +100001100101 +100001100110 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001100101 +011101100100 +011101100101 +011101010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101000011 +010101010011 +010101010011 +011001010100 +011001010101 +011001010101 +011001010100 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101010010 +010101010010 +010101000010 +010101000010 +010101000011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101010011 +011001010011 +011001100011 +011101100100 +011101110101 +011101100101 +011001100100 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000011 +010001000010 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011101110110 +100010000110 +100010000110 +100001110110 +100010000110 +100110000111 +100110010111 +100110000111 +100010000111 +100001110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001100100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100001 +001100110001 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +011001010011 +011001010100 +011101010100 +011101010101 +011101100101 +011101100101 +011101010101 +011101100101 +100001100101 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001100101 +011101100101 +011101010100 +011101010100 +011001010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010101 +011001010100 +010101010100 +010101000011 +010001000010 +010101000010 +010101000010 +010101000010 +010001000010 +010101000010 +010101000010 +010101010010 +010101000010 +010101000010 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101010011 +011001010011 +011001100011 +011101100100 +011101110100 +011101100100 +011001100100 +011001100100 +011001100011 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010001000011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +100001110110 +100010000110 +100010000110 +100001110110 +100010000110 +100110000111 +100110010111 +100110010111 +100010000111 +100001110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100001 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000011 +011001010011 +011001010100 +011101010100 +011101010101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001100101 +011101100101 +011001010100 +011001010100 +011001010100 +010101000011 +010101000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000100 +010101010100 +010101010100 +010101010100 +010101000011 +010001000011 +010101000010 +010101000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +011001010011 +011001100011 +011001100100 +011101100100 +011101100100 +011001100100 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000011 +010001000011 +010001000011 +010001000011 +010001000010 +010000110010 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101010100 +010101010100 +010101000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010000110010 +001100110010 +001100110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100100010 +001100100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +001000100010 +001000100010 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +100001110110 +100010000110 +100001110110 +100001110110 +100001110110 +100010000111 +100110000111 +100110010111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110011 +001000110011 +001000110011 +000100110011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100010010 +000100010001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010001 +001000010000 +000100100001 +000100100000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001100110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010101000011 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001110110 +100001110110 +100101110110 +100101110110 +100001110110 +100001110110 +011101100101 +011001010100 +010101000011 +010101000011 +011001000011 +010101000011 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000011 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +011001010011 +011001100011 +011001100011 +011101100100 +011001100100 +011001100011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +010101010011 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010001000010 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010011 +010001010011 +010001010100 +010001010100 +010101010100 +010101010100 +010101010011 +010001000011 +010001000011 +010001000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000010 +010001000010 +001100110010 +010000110010 +010000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000110 +100110000111 +100110000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110010 +000100110011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +001000010001 +001000010001 +001000010001 +001000100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010101000011 +011001000011 +011001010100 +011101010100 +011101010100 +011101010100 +011101010101 +011101100101 +011101100101 +100001100101 +100001100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +011101010100 +010101000011 +010000110010 +010101000011 +010101000011 +010101000011 +010001000010 +010000110010 +010001000010 +010001000011 +010001000011 +010001000011 +010001000010 +010000110010 +010000110010 +010001000011 +010001000011 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +011001100011 +011001100011 +011001100011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +011001010101 +010101010101 +010101010101 +010101010100 +011001010100 +011001100101 +010101100101 +010101100101 +010101110101 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +010101100101 +010101010101 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000010 +010001000010 +010001000010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000110 +100010000111 +100010000110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110011 +001000110011 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100110010 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100010 +000100010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110001 +001100110001 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +010000110011 +010001000011 +010101000011 +011001000011 +011101010100 +011101010100 +011101010100 +011101010100 +011101100101 +011101100101 +100001100101 +100001100101 +100001110110 +100001110110 +100001110110 +100001100110 +100001100110 +011101100101 +011101010100 +010101000011 +010000110010 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +011001100011 +011001100011 +011001100011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +011001010100 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110110 +011001110110 +011101110110 +011101110110 +011001110110 +011001110110 +011001110110 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011101110111 +011101110111 +011101110111 +011001110110 +010101010101 +010001000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +011101100101 +011101110110 +011101110110 +011101110101 +011101100101 +011101110101 +011101110110 +100001110110 +100001110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +010101100101 +001101000011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110011 +001000110011 +001101000100 +001101000100 +001000110011 +001000110011 +001101000100 +001000110011 +001000110011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100110011 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001100110010 +001100110001 +001100100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110001 +001100110001 +001100110001 +001100100001 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000011 +011001000011 +011001010100 +011101010100 +011101010100 +011101010101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100110 +100001110110 +100001100110 +100001100101 +011101100101 +011101100101 +011001010100 +011001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000010 +010001000010 +010001000010 +010001000010 +010000110010 +010000110010 +010001000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001100011 +011001100100 +011001100011 +011001010011 +011001010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010001000010 +010001000011 +010101000011 +010101010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +011001010100 +011001010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +100010000111 +100010001000 +100010000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +011101111000 +011001100110 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001000100010 +001100110010 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101000100 +011001100100 +011001100100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010100 +011001100100 +011001100101 +011101110101 +011101110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +010101100101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001001000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100110011 +001100100010 +001000100010 +001000100001 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +001000100001 +001000100001 +001000110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010101000011 +010101000011 +011001000011 +011001000100 +011001000100 +011001010100 +011101010100 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001000100 +011001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101010100 +011001010100 +011001100100 +011001100011 +011001100100 +011001100011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000011 +010101010011 +010101100100 +010101100101 +010101100101 +011001100101 +011001100101 +011001010100 +011001010100 +011001010100 +010101010011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +011001010101 +011001100101 +011001010101 +010101010101 +010101010100 +010101000100 +010101000100 +011001010101 +011101110110 +100001110111 +100010001000 +100001110111 +100010000111 +100010001000 +100010001000 +011101110111 +011101110111 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100001111000 +011001100110 +010001000011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101000011 +010101010100 +010101010100 +010101000011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +010101100101 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +010101010100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001001000100 +001000110011 +000100100010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +001100100010 +001000100010 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011101010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +011001010100 +011001010100 +011001100100 +011001100011 +011001100011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001010100 +011001010100 +011001010100 +011001100101 +010101100101 +010101100101 +010101100101 +011001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001110110 +011001110110 +011001100110 +010101010101 +010101010100 +010001000011 +010000110011 +010101000100 +011101100110 +100001111000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +011101110111 +100010001000 +100110001000 +100110001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100110001001 +100001111000 +011001100110 +010001000011 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011001010101 +010001000100 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000110011 +010001010100 +011001100101 +011101110110 +011101110110 +011101110110 +011101110110 +011001110101 +010101010100 +001101000011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001101000100 +001101000100 +001101010101 +001101010101 +001101000100 +001000110011 +001000110011 +001000100010 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +001100100010 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100001 +001100100001 +001000100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011101010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +011001010100 +011001010100 +011001100100 +011001100011 +011001100011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001100100 +011001100101 +011001100101 +011101100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011001110110 +011001110110 +011001110110 +011001110110 +011110000111 +011110000111 +100010011000 +100010011000 +100010011000 +100010011000 +100010001000 +011110001000 +011110000111 +011110001000 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011101110111 +011001110110 +011001100110 +010101010101 +010101010101 +011001100110 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100010001000 +011101110111 +011001100110 +011101110111 +100010001000 +100110001000 +100110001001 +100110001000 +100110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100110001001 +100001111000 +011001100110 +010001000011 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011001100101 +010101010100 +001101000011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +010101100101 +011001110110 +011101110110 +011101110110 +011101110110 +011001110101 +010101010100 +001101000011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001000110011 +001101000100 +001101000100 +010001010101 +001101000101 +001101000100 +001000110011 +001000110011 +001000100010 +001000100010 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001000100010 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +011001100101 +011001010100 +011001010100 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101010100 +011001010100 +011001100100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011101100101 +011101110110 +011101110101 +011101110101 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +100010001000 +100010011000 +100010011000 +100010011000 +100010011000 +100010011000 +100010011000 +100010011000 +100010011001 +100010011000 +100010011000 +100010011000 +100010011000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100111 +011001110111 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +011101110111 +100010001000 +100110001001 +100110001001 +100110001001 +100110001000 +100110001000 +100010001001 +100010001001 +100110001001 +100001111000 +100010001000 +100010001000 +100110001001 +100001111000 +011001100110 +010001000011 +001100110010 +001100110010 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +001000010010 +001000010010 +001000010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011001100101 +010101010100 +001101000011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001101000100 +010001010101 +011001100110 +011101110110 +011101110110 +011101110110 +011101110101 +010101010100 +001001000011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001101000100 +001101000100 +001101000100 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +011001100101 +011001100100 +011001010100 +011001010100 +010101010100 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010001000011 +010001000011 +010001000010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011101100110 +011101110110 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011000 +100010011000 +100010011000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100111 +011101110111 +100001111000 +100001111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100111 +011101110111 +100010001000 +100110001000 +100110001001 +100110001001 +100110001000 +100110001000 +100110001000 +100110001001 +100110001001 +100010001001 +100010001001 +100010001001 +100110001001 +100001111000 +011001100110 +010001000011 +001100110010 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011001100101 +010001010100 +001101000011 +001000110011 +001000110011 +000100110011 +000100100010 +001000110011 +001001000011 +001101010101 +010101100101 +011001110110 +011101110110 +011101110110 +011101110101 +010001010100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010010 +001000100010 +001000010010 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +001000100001 +000100010001 +000100010000 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100001 +001000100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000100 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010000110010 +010001000010 +010101000011 +010101000011 +010101010011 +011001010100 +011001010011 +011001010011 +011001100011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011101100110 +011101110110 +011001100101 +011001010100 +010101010011 +010101010011 +010101010011 +011001010100 +011101100110 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100110001000 +100110001000 +100010001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001000 +100001111000 +011001100111 +011001100110 +011101110111 +100001111000 +100001111000 +100001111000 +100010001000 +100010001000 +100010001000 +011101111000 +011001110111 +011101111000 +100010001000 +100110001000 +100110001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +011110001000 +011101111000 +011001100110 +010001000100 +010001000100 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010101 +011001100101 +011001100101 +010001000100 +001000110011 +001000110011 +000100110011 +000100110010 +000100100010 +001000100010 +001000110011 +001101010101 +010001010101 +010101100110 +011001110110 +011101110110 +011001110101 +010001010100 +001001000011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +010101010100 +010101010100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101010011 +010101010100 +011001010100 +011001100100 +011001100100 +011001100011 +011001010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001100100 +011001100110 +011101110110 +011001100101 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +011101100101 +100010000111 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100010001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100001111000 +011101110111 +011001100110 +011001100110 +011001100111 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011101111000 +011101111000 +011101111000 +100001111000 +100001110111 +100001110111 +100001111000 +100110011001 +101010101010 +100110011010 +100010011001 +100010011010 +100110011010 +100110101010 +100010011010 +100010011010 +100010001001 +011101111000 +011001111000 +011001110111 +011001100111 +011001100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101010110 +010101010110 +010101010101 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +011001010101 +011101100101 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100110010 +001001000011 +001101010100 +010001010101 +010101100101 +010101100110 +011001110110 +011001100101 +010001010100 +001001000100 +001000110011 +001000110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001100100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000110001 +001000110001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100000 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100001 +001100100001 +001100100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010100 +011001100100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011001100110 +011101110110 +011001100101 +010101010011 +010101000011 +010101000011 +010101000010 +010101000011 +011101100101 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001000 +100110001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100001111000 +011101100110 +010101010101 +010101010101 +010101100101 +011001100110 +011001100110 +011001100110 +011001100111 +011101111000 +011101111000 +011101111000 +011101111000 +011101110111 +011101100110 +011101100101 +100001110111 +101010101010 +101110111100 +101010101011 +100110011010 +100110011010 +101010111100 +101111001101 +101010111101 +101010111100 +101010111100 +100110101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110011011 +100010011010 +100010001010 +011110001001 +011110001010 +011110001001 +011101111001 +011001111000 +011001100111 +011001100110 +011001100110 +011001100110 +010101010101 +010001000100 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +011001100101 +011101110110 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100110010 +001001000011 +001101010100 +010001010101 +010001100101 +010101100101 +011001100110 +010101100101 +010001010100 +001001000011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001100100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000000000000 +000000010000 +000000010000 +000100010000 +000100100001 +000100100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000100 +011001010100 +011001010100 +011001010101 +011001010100 +011001010100 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010010 +010101000010 +010101010010 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001100101 +011101110110 +011101110110 +011001100101 +010101010100 +010101000011 +010101000011 +010101000011 +010101010011 +011101100110 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001000 +100110001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100001111000 +011101100111 +011001100101 +010101010101 +010101010101 +011001100110 +011001100110 +011001100110 +011001100111 +011101110111 +011101111000 +011001111000 +011001110111 +011001100110 +011001010101 +010101010100 +011101110111 +101010101011 +101111001101 +101010111100 +100110101010 +100110101011 +101010111100 +101111001101 +110011011110 +110011001110 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101010111101 +101010111100 +100010011011 +100010001010 +011110001010 +100010001010 +011110001001 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011001100111 +010001000100 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011001100101 +011101110110 +011001100101 +010001000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110010 +000100110010 +000100110010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001100100010 +001100100010 +001000010001 +001000010001 +001000010001 +001000010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100100010 +001000100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010100 +011001100100 +011001100100 +011001010011 +010101010011 +010101000010 +010101000010 +010101000010 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001100101 +011101110110 +011101110111 +011001100101 +010101010100 +010101010100 +010101010011 +010101010011 +011001010100 +011101100110 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100010001001 +100010001001 +011110001001 +011101111000 +011001111000 +011101111000 +011101110111 +011101110111 +011101111000 +100010001001 +100010001001 +011101111000 +011001110111 +011001100111 +011001100110 +011001010110 +011101110111 +100110011010 +101010111011 +101010101011 +100110101011 +101010111100 +101111001101 +110011011110 +110111011110 +110111011110 +110111011110 +110111101110 +110111101110 +110011011110 +101111001101 +101110111100 +101010111100 +101010111100 +100110101011 +011110001001 +011001110111 +010101100111 +011001100111 +011001100111 +011001110111 +011101111000 +011110001000 +100010001001 +011110001000 +011001100111 +010001000100 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001100110011 +001100110011 +001100110011 +010001000100 +011001100101 +011101110110 +011001100101 +010001000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010101 +010001010101 +010001010101 +010001010100 +010001010101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110010 +000100110010 +000100110010 +000100110010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010001 +000000010001 +000000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001100100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +011001010100 +011001100100 +011001100100 +011001010011 +010101010011 +010101000010 +010001000010 +010101000010 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011101110110 +011101110111 +011101100110 +011001100101 +011001100101 +011001100101 +011001010101 +011001100101 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100110001000 +100110001000 +100110001001 +100010001000 +100010001000 +100010001000 +100010001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110011001 +100110011001 +100110011001 +100110011010 +101010101010 +101010101011 +101010101011 +101010101011 +100110101011 +100110011011 +100010011010 +100010011010 +100010011010 +100110011011 +100110101011 +100110011011 +100010011010 +011110001001 +011101111000 +011101111000 +011101111000 +011101111000 +100010011001 +100110101011 +100110101011 +101010101100 +101111001101 +110011011101 +110011011110 +110111011110 +110111011110 +110111101110 +110111101110 +110111011110 +101111001101 +100110101011 +100010011010 +100010011010 +100010011001 +100010001001 +011001110111 +010101010101 +010001010100 +010001010101 +010001010101 +010101100110 +011101110111 +100010001001 +100010001001 +011110001000 +011001100111 +010001000100 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010001000100 +011001100101 +011101100110 +011001100101 +010001000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100110010 +001001000100 +010001010110 +010001010110 +001100110011 +001100110011 +001101000100 +010001010100 +010001010100 +001101010100 +001101000100 +001101000100 +001101000100 +001001000100 +001001000100 +001001000100 +001001000100 +001001000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +011001010011 +011001010100 +011001100100 +011001010011 +010101010011 +010001000010 +010001000010 +010101000010 +010101000011 +010101010011 +010101010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011001100110 +011101110110 +011101100110 +011001100110 +011001100110 +011101100110 +011101100110 +011001100110 +011101110110 +011101110111 +100001111000 +100001111000 +100001111000 +100001111000 +100001111000 +100001111000 +100010001000 +100010001000 +100010001000 +100010001000 +100001111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100110011001 +100110011010 +100110011010 +100110011010 +101010101011 +101010111011 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +100110111100 +100110101100 +100110101100 +100110111100 +100110111100 +100110101100 +100010011011 +100010011010 +100010011010 +011110001010 +011110001001 +100010011010 +100010011010 +100110101011 +100110101011 +101010111011 +101110111100 +101111001100 +101111001100 +101111001100 +101111001100 +101111001101 +101010111100 +100110101010 +011110001000 +011110001000 +100010001000 +100010001001 +011110001000 +011101110111 +010101100110 +010101010101 +010101010101 +010101010101 +011001100110 +011110001000 +011110001001 +011110001000 +011101111000 +011001100110 +010001000100 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100110010 +010001000100 +011001100101 +011001100110 +010101100101 +010001000100 +001000110011 +000100100011 +001000110011 +000100100010 +000100100010 +001101000100 +010101100110 +010001010110 +001000100010 +000100010001 +001000100010 +001000110010 +001101000011 +010001100110 +010101100111 +010001100110 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001100110100 +001100110011 +001000110011 +001000110011 +001101000100 +001001000100 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001100100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010101000100 +010001000011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +011001100101 +011001100101 +011001100100 +011001010011 +011001010011 +011001010011 +010101010011 +011001010011 +011001100100 +011001100101 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100110 +011001100110 +011001100110 +011101110110 +011101110110 +011101110111 +011101111000 +100010001001 +100010011001 +100010011010 +100110011010 +100110101011 +101010111100 +101010111011 +100110101011 +100110101011 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111101 +101010111101 +100110111100 +100110101100 +100110101100 +100110101100 +100110101011 +100010011010 +011110001001 +100010001001 +100010011010 +100110011010 +100010011010 +100010011001 +011110001000 +011001110111 +011001110111 +011001110111 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010001000100 +010001000101 +010101100110 +011001110111 +011001110111 +011001100111 +010101100110 +010001010101 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +010001010100 +011001100101 +011101100110 +010101100101 +010001000100 +001000110011 +001000110011 +001000110011 +001000110011 +000100110010 +001101000100 +010101100111 +010001010110 +001000100010 +000100010000 +000100010000 +000100010001 +001100110011 +011001110111 +011110001000 +010101100111 +001101000100 +001000110011 +001100110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001101000101 +001101000101 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010010 +000000010001 +000100100010 +001000110011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +010101010100 +011001100110 +011001100110 +010101000100 +001100110010 +001100110010 +001100110001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101000011 +010101000010 +010101000011 +010101010011 +010101010100 +011001100101 +011001100101 +011001100100 +011001010011 +011001010011 +011001010011 +011001010011 +011101100100 +011101110101 +011101110110 +011101100110 +011101100101 +011101110110 +100001110110 +011101110110 +011101110110 +011101100101 +011001010101 +010101010100 +010101010100 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011101100110 +011101110111 +011101100110 +011001010100 +010101010100 +010101000100 +010001000100 +010001000011 +010001000100 +010101010100 +010101010101 +011001100110 +011101110111 +011110001000 +100010011001 +100010011010 +100110101011 +101010111100 +101010111011 +100110111011 +101010111100 +101010111100 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101110111101 +101010111100 +100110101100 +100110101100 +101010111100 +101010111101 +101010111100 +100110101011 +100010001001 +100010001000 +100010001000 +100010011001 +100010001001 +011110001000 +011001110110 +010101010101 +010101010101 +010101010101 +010101010101 +010101100110 +011001110111 +011110001000 +100010001001 +100010011001 +100010001001 +011001110111 +010101100110 +010001010101 +010001000100 +001101000100 +001101000100 +010001010101 +010001010110 +010001010101 +010001010101 +010001010101 +001101000100 +001100110011 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010101000100 +011001100101 +011101100110 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +010001010101 +010101100111 +010101100110 +001000100011 +000100010001 +000100010001 +000100010001 +001100110011 +011001111000 +011110001001 +010101100111 +001000100010 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100010 +001101000101 +010001010110 +001000110100 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010010 +000000100010 +001000110011 +001000110011 +001000100011 +001000110011 +001101000100 +001101000100 +001000110100 +001100110100 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010101000100 +010101000100 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100110 +011101111000 +011101111000 +011001100110 +010000110011 +001100110010 +001100100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +011001100101 +011001100110 +011001100101 +011001010100 +011001010011 +011001010011 +011001010011 +011001010100 +011101100100 +011101100101 +011101100101 +011001100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +010101010101 +010101010100 +010101010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010101 +011101100110 +011101110110 +011101100110 +011001010100 +010101000011 +010000110011 +010000110011 +010001000011 +010001000100 +010101010101 +011001100110 +011101110111 +011110001000 +011110001001 +100010011010 +100110101011 +101010111100 +101110111100 +101111001100 +101111001100 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101110111100 +101010111100 +101010111100 +100110101011 +011110001001 +011110001001 +100010011010 +100110101011 +100110101011 +100110011010 +100010001001 +100010001000 +100010001000 +100110011001 +100010001000 +011101111000 +011101110111 +011001100110 +010101010101 +010101010101 +010101010101 +011001100110 +011101110111 +011110001000 +011110001000 +011110001000 +011101111000 +011001100111 +010101100110 +010101010101 +010001010101 +010001000101 +010001010101 +010001010110 +010101010110 +010101010110 +010101100110 +010101100111 +010101010110 +010001000100 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +001000010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011001100101 +011101100110 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +000100100010 +001000110011 +010101100110 +011001111000 +011001111000 +001101000101 +001000100010 +000100010000 +000100010000 +001100110011 +011001111000 +011110001010 +010101100111 +000100100001 +000100010000 +000100010000 +000100010000 +000100000000 +000000000000 +000000000000 +000100000000 +000100010000 +000100000000 +000000000000 +000100100010 +001101000101 +010001010110 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010010 +000000010010 +000100100010 +001000110011 +001000110011 +000100100011 +001000110100 +001101000101 +001100110100 +000100100010 +001000110011 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000010001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010000110011 +010101010101 +011001100110 +011001100110 +010101010100 +010101000011 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +011001100110 +011101111000 +011101111000 +011001100110 +010101000100 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +011001010100 +011001010100 +011001100101 +011101110110 +011101110110 +011001100101 +010101010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001100101 +011101100101 +011001100101 +011001100110 +011001110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011101111000 +011101110111 +011101110111 +011101110110 +011101100110 +011101100101 +011001100101 +011001100101 +011001100101 +011001100110 +011101110111 +011101110111 +011001010101 +010101010100 +010101010101 +010101010101 +011001100110 +011001100111 +011101111000 +011110001000 +011110001001 +011110001001 +100010001001 +100010011001 +100110101010 +101010111100 +110011001100 +110011001101 +110011001101 +110011001101 +101111001101 +101111001100 +101110111100 +101010111011 +100110101011 +100110011010 +100010011001 +011001110111 +010101010101 +010101010101 +011001100110 +011001100110 +011001110111 +011110001000 +100010001000 +100010001000 +100010011001 +100110011001 +100010011001 +011101110111 +011001100110 +011001100101 +010101010100 +010001000100 +010001000100 +010101100110 +011001100111 +011001110111 +010101100111 +010101100110 +010101100110 +011001100110 +011001100110 +010101100110 +010101100110 +010101010110 +010101010110 +010101100111 +011001110111 +011101111000 +011110001000 +011110001000 +011001110111 +010001010100 +001100110010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010101000100 +011001100101 +011101100110 +010101100101 +001101000011 +001000110011 +001000110011 +000100100010 +000100100010 +001000110011 +010001100110 +011110001000 +011110001001 +011001111000 +010101100110 +010001000100 +001000100001 +001101000100 +011001111001 +011110001010 +010101010110 +000100100001 +000100010000 +000100010001 +000100010000 +000100000000 +000000000000 +000000000000 +000100000000 +000100000000 +000100010000 +000100010000 +001000100010 +001101010101 +010001010110 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010010 +000100100010 +001000110100 +001000110011 +000100110011 +001001000100 +001101000101 +001000100011 +000000000000 +000000000000 +001000100010 +001000100010 +001000010001 +001000010001 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +001000010001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010001000011 +011001100111 +011110001001 +011101111000 +011001100101 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001100110 +011001100110 +011101110111 +011101110110 +011101100110 +011001010101 +010101010100 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +011001010100 +011001100100 +011101100110 +011101110111 +011101110111 +011001100101 +011001100100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +011001100101 +011001100110 +011001110111 +011101111000 +011110001001 +100010011010 +100010011010 +100010011011 +100010011011 +100010011010 +100010011010 +100010011010 +100010001001 +011110001000 +011101110111 +011001110110 +011001110110 +011001110110 +011001110111 +011101111000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101111000 +011110001001 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +100010011001 +101010111011 +110011001100 +110011001101 +101111001100 +101110111100 +101010111100 +101010101011 +100110101010 +100010011010 +100010011001 +011110001000 +011101111000 +011001100110 +010101010100 +010101010100 +010101010100 +010001010100 +010101100101 +011101110111 +100010001000 +100010001000 +100010011001 +100110011001 +100010001000 +011101110111 +011001100110 +010101010101 +010001000100 +001100110011 +001101000100 +010001010101 +010001010101 +010001010101 +001101000101 +010001000101 +010101100110 +011001110111 +011101110111 +011001110111 +011001100110 +010101100110 +010101100110 +011001110111 +011110001001 +100010011001 +100010011001 +100010011001 +011101111000 +010001010101 +001000110010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010010 +001000100010 +000100010001 +001000100010 +001000100010 +001100100010 +010101010100 +011001100101 +011101100110 +010101100101 +001101000011 +001000110011 +001000110011 +000100100010 +000100100010 +001000110011 +010001010101 +011001110111 +011110001001 +100010011010 +100010001010 +011110001000 +010101100110 +010101100110 +011110001001 +011110001010 +010001010110 +000100100010 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000000010000 +001000100010 +010001010101 +001101010101 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010010 +000100100010 +001000110100 +001000110011 +001000110011 +001101000100 +001101000101 +001000100011 +000000000000 +000000000000 +001100100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010001000011 +010101010101 +011101111000 +100010011001 +100010001001 +011101110110 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100110 +011101110111 +100010000111 +011101110111 +011001100110 +010101000100 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +011001100100 +011001100101 +011101100101 +011001100110 +011001100101 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011001100110 +011001110111 +011101110111 +011110001000 +011110001001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +011110001000 +011110000111 +011110000111 +011110000111 +011110001000 +011110001000 +100010001001 +100010011001 +100010001001 +011110001000 +100010001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101110111 +011101111000 +011110001000 +100110011001 +101110101011 +101110111011 +101010111011 +100110101011 +100110101011 +100110011010 +100010011010 +100010011001 +011110001001 +011110001000 +011101111000 +011001100110 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +011101111000 +100010001001 +100010001001 +100010001000 +100010001000 +011101110111 +011101110110 +011001100110 +010101010101 +010001000101 +001101000100 +010001000100 +010001010101 +010001010110 +010001010101 +010101010110 +010101100111 +011101111000 +011110001000 +011110001000 +011101110110 +011001100110 +010101100110 +010101100101 +011001110111 +100010001001 +100110011010 +100010011010 +100010011001 +011101111000 +010001010100 +001000110010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001100110010 +010101010100 +011001100101 +011101110110 +010101010101 +001101000011 +000100110011 +000100100010 +000100100010 +000100100010 +000100110010 +001001000100 +010001010101 +011001110111 +011110001001 +100010011010 +100110011010 +100110011010 +100010011010 +100110101011 +100010011010 +010101110111 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001000110100 +001000110011 +001100110100 +001100110100 +001100110011 +001100110011 +010001000101 +010001010110 +010001010110 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100010 +001000110100 +001000110100 +001000110011 +001101000101 +010001000101 +001000100010 +000000000000 +000000000000 +001100100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +010001000011 +011001010101 +011001110110 +011110001000 +100010011010 +100010001001 +011101110111 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010101010101 +011001100110 +011101110111 +100010001000 +100010001000 +011101110111 +010101010101 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000010 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +010101010100 +010101010100 +010101010011 +010101010100 +011001010100 +011001100100 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100100 +011001010100 +011001010100 +011001100100 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011101111000 +011101110111 +011001110111 +011101110111 +011101110111 +011110001000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011101110111 +011001100110 +010101100101 +010101010100 +010001000100 +010101010100 +011001100110 +011001110111 +011101111000 +011101110111 +011001110110 +011001100110 +011001100110 +011001100110 +011001110110 +011001100110 +010101010101 +010001000101 +010101010110 +010101100111 +011001110111 +011001110111 +011101111000 +011110001000 +100010001001 +100010001001 +011110001000 +011101110111 +011001100110 +011001100110 +011001100110 +011101110111 +100010011001 +100010011010 +100010011001 +100010001001 +011001110111 +010001000100 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001100110010 +010101010100 +011001100101 +011101100110 +010101010101 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010101100110 +011001111000 +100010011001 +100110011010 +100110101011 +100110101011 +100010011010 +011001111000 +010101101000 +010101101000 +010101101000 +010101100111 +010101100111 +010101100111 +010001100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100011 +001101000101 +001101000101 +001101000101 +001101000110 +010001000101 +000100100010 +000000000000 +000000000000 +001100100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110010 +001100110010 +010000110010 +010000110011 +001100110010 +001100110010 +001100100010 +001100100010 +010000110011 +010101010100 +011101100110 +011101110111 +100010001001 +100010011010 +100010001001 +011101110111 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011001110111 +011001100111 +011001110111 +011001100111 +011001100110 +011001110111 +011110001000 +100010001001 +100010011001 +011110001000 +011001100110 +010000110011 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010101000010 +010101000011 +010101010011 +011001010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001100101 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010101 +011001100101 +011001110111 +011101111000 +011101110111 +011001100110 +010101010101 +010101010101 +010101010101 +010101100101 +011101110111 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011001100111 +011001100110 +011001100110 +010101010101 +010001000100 +001100110011 +010001000011 +010001000100 +010101010101 +010101010101 +010101010100 +010001000011 +001100110011 +010101010100 +011001100110 +011101110111 +011001100110 +010101010101 +010101010101 +010101100110 +011001110111 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +011101110111 +011001100110 +011001100110 +010101010101 +010101100101 +011001110111 +011101111000 +011110001000 +011101111000 +011001110111 +010101010101 +001100110011 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +000100010010 +001000010010 +001000010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +011001100101 +011101100110 +010101010101 +001100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001000110011 +001101000100 +010001010101 +010001100101 +010101100110 +010101110110 +011110001000 +011110001001 +011110001001 +011001111000 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001100111 +010101100111 +010001010110 +001101000101 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +000100110011 +001101000101 +001101010110 +001101010110 +010001010110 +010001010110 +001101000100 +000100010001 +000000000000 +001000010001 +000100010001 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000010001 +001000010001 +001000100001 +001000100001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +011001010101 +011101110110 +011110001000 +100010011001 +100010011010 +100010001001 +011101110111 +011101110110 +011101100110 +011001100110 +011001100101 +011001100110 +011001100110 +011001110111 +011101110111 +100010001000 +100010011001 +100010011001 +100010011001 +100010001000 +011101110111 +011001110110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +100010011001 +100110011001 +100110011010 +100010011001 +011001110110 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110010 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010100 +011001100101 +011101110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010100 +011001010101 +010101010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101010100 +011001100110 +011101110111 +011101110111 +011001100101 +010101010100 +010101010100 +010101010100 +010101010100 +011001110110 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011001100110 +010001000100 +010001000100 +010101010101 +010101010101 +010001000011 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +011001100101 +011101110111 +011101110111 +011001100110 +010101010101 +010101010101 +010101100110 +011001110111 +011110001001 +100010011001 +100010011010 +100010011010 +100010011001 +011110001000 +011101110111 +011001100110 +010101010100 +010001000100 +010001000100 +010101010101 +010101100110 +010101010110 +010101010101 +010101010101 +010001000100 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +000100010010 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100110 +011101100110 +010101010101 +001100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010001100101 +010001100101 +010001010101 +001101010101 +001101000100 +001001000100 +001001000100 +001001000100 +001001000100 +001101000100 +001101000100 +001101000100 +001001000100 +001001000100 +001000110100 +001001000100 +001001000100 +001001000100 +001000110100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000100010 +000000010001 +000000010001 +000000010010 +000100110011 +001101000101 +001101000101 +001101000101 +010001010110 +010101100111 +010101010111 +010001000101 +001100110100 +000100010001 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000010000 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100101 +011101110110 +011110001000 +100010001001 +100010011010 +011110001000 +011101110111 +011101110110 +011101110110 +011001100110 +011001100110 +011001100110 +011101110111 +011110001000 +100010001001 +100110011001 +100110011010 +100110101010 +100110011010 +100010011001 +011101110111 +010101100101 +011001110111 +100010001001 +100010011001 +100010011001 +100010011001 +100110011001 +100110101010 +100110101010 +100110011001 +011101110111 +010000110011 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +011001010100 +011101100110 +100001110111 +100010000111 +100001110110 +011101100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100110 +011101110110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +010101010100 +010101000100 +010101010100 +011001100110 +011101110111 +011101110111 +011001100101 +010101010100 +010101010100 +010101010100 +010101010100 +011001110110 +100010001000 +100010011001 +100010001001 +100010001000 +011110001000 +011101110111 +011001110110 +010101010100 +001100110011 +010001000100 +010101010101 +010101010101 +010001000100 +001100110010 +001100110010 +010000110011 +010001000011 +010101010100 +011001100110 +011001100110 +011001110111 +011101111000 +011101111000 +011101110111 +011101100110 +011001100101 +011001100101 +011001100110 +011110001000 +100010011001 +100010011010 +100010011010 +100010011010 +100010001001 +011101110111 +011001100110 +010101010101 +010001000100 +001100110010 +001100110011 +001101000100 +010001000100 +010101010101 +010101100110 +010101100110 +010001000100 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010101 +011001100110 +011001100101 +010101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110010 +000100110011 +000100110011 +000100110010 +000100110010 +000100110010 +000100110011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000100010 +000000010001 +000000010001 +000000010010 +000100110011 +001000110100 +001000110100 +000100100011 +001101000100 +010001010110 +010101100111 +010101100111 +010101100111 +000100010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +000100010000 +000100010000 +001000010000 +000100010000 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001100100010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100101 +011101110111 +100010001000 +100010001001 +100010011001 +011110001000 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011101110111 +100010001001 +100010011001 +100110011001 +100110011001 +100110101010 +101010101010 +100110101010 +100110011001 +011101110111 +010001010100 +011001100110 +100010011001 +100110011010 +100110011001 +100110011010 +100110101010 +100110101010 +100110101010 +100110011001 +011101110111 +010000110011 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011101110110 +100010000111 +100010000111 +011101110110 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +011001100101 +011101100110 +011101100101 +011001010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +010101010100 +011001010100 +011001100110 +011101110111 +011101110111 +011001100110 +010101010100 +010101000100 +010101000100 +010101010100 +011001100110 +011101110111 +011101111000 +011101110111 +011001100110 +011001100110 +010101010101 +010101010100 +010101010100 +010101010101 +011001100110 +011001100110 +011001100101 +010101010100 +010001000011 +001100110011 +010101010100 +011001100110 +011101110111 +011110001000 +100010001001 +100010011001 +100010011001 +011110001000 +011101110111 +011001100110 +011001100101 +011001100101 +011001100110 +011101111000 +011110001001 +011110001001 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +010101100101 +010001010100 +001100110011 +001100110011 +010001010101 +011001100111 +011101110111 +011110001000 +011101111000 +011001100110 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010010 +001000100010 +001100110011 +011001100101 +011101110110 +011001100101 +010101010100 +001000110011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100011 +001000110011 +001101010100 +010001010101 +010001100101 +010001100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +001000110011 +001000110100 +001000110011 +000100100010 +000100100010 +001000110100 +001101010101 +010101100111 +010101100111 +000100010001 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100101 +100001110111 +100010001000 +100010001001 +100010011001 +011110001000 +011101111000 +011101110111 +011101110111 +011101110111 +011001110111 +100010001000 +100110011001 +100110011010 +100110011001 +100110101010 +101010101010 +101010101010 +101010101010 +100110011010 +011101110111 +010001010100 +011001100110 +100110011001 +100110101010 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +100110011001 +011101110111 +010000110011 +001100100010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010001000010 +010101010100 +011101110110 +100010001000 +100010000111 +011101110110 +010101000011 +010000110001 +001100110001 +010000110001 +010000110001 +001100110001 +001100110010 +001100110010 +001100110001 +001100110001 +001100110001 +010000110010 +010000110010 +010000110010 +011001010100 +011101100101 +011001100101 +010101000011 +010000110010 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001100110 +011001100110 +011001100110 +011001100110 +010101010100 +010001000011 +010000110011 +010001000011 +010101010100 +010101010101 +010101010100 +010001000100 +010000110011 +010000110011 +010001000011 +010101010100 +011001100110 +011101110111 +011101110111 +011001110110 +011001100110 +010101010101 +010101010100 +010001000100 +011001100110 +011110001000 +100010011001 +100010011010 +100110101010 +100110101010 +100010011001 +011110001000 +011001110111 +011001100101 +011001010101 +010101010100 +010101010101 +011001100110 +011001110111 +011001100110 +011001100110 +011101100110 +011101110110 +011101110110 +011101110110 +011001100110 +010101100101 +010001000100 +010001010100 +011001100111 +011110001000 +100010011001 +100010011001 +100010001001 +011001110111 +010001000011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011001100110 +011101110110 +011001100101 +010101010100 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001101010100 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010010 +001000110011 +001000110100 +001000110011 +000100100010 +000100100010 +000100110011 +000100110011 +001000110100 +001101000101 +001000010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +010000110011 +010001000011 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100101 +100001110111 +100010001000 +100010011001 +100010011001 +011110001001 +011110001000 +100010001000 +100010001000 +011101110111 +011101110111 +100010001000 +100110011010 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101010 +100110101010 +011101111000 +010001010100 +011001100110 +100010011001 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101010 +100110011010 +011101110111 +010000110011 +001100110010 +010101010100 +010101010100 +010101000100 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010001000010 +010101010100 +011101110110 +100010001000 +100010000111 +011101100110 +010101010100 +010001000010 +010001000010 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110001 +001100110001 +001100110001 +001100110001 +010101010100 +011101100101 +011001010100 +010000110010 +001100110001 +001100100001 +001100110001 +001100110001 +001100110001 +001100100001 +001100110001 +001100110001 +010000110001 +010000110010 +010000110001 +010000110010 +010000110010 +010001000010 +010101000011 +010101010100 +011001010100 +011001100101 +010101010101 +010101010100 +010101010101 +011001100110 +010101010101 +010001000011 +001100110010 +001100110010 +010000110011 +010000110011 +010000110010 +001100110010 +010000110011 +010101000011 +010101010101 +011101110110 +011110001000 +011110001000 +011110001000 +011101110111 +011001100110 +011001100110 +010101010101 +010101010101 +011001110111 +100010001001 +100110011010 +100110101010 +100110101010 +100110101010 +100010011001 +011101111000 +011001100110 +011001100101 +010101010100 +001100110010 +001100110010 +010001000011 +010001000100 +010001000100 +010001000100 +011001100101 +011101110110 +011101110110 +011101110110 +011001110110 +011001100110 +010101100101 +010101100101 +011001110111 +100010011001 +100110101010 +100110101010 +100010011001 +011001110111 +010001000011 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011001100110 +011101110110 +011001100101 +010001010100 +001000110011 +001000110011 +000100100010 +001000110011 +001000110011 +001000110011 +001001000100 +001101010100 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100110010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +001000110011 +001000110100 +001000110011 +000100100010 +001000100011 +001000110011 +000100110011 +000100110011 +000100110011 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +010001000101 +010101100111 +010101010110 +010001000100 +001100110010 +001100110010 +001100110010 +010000110011 +011001100101 +100001110111 +100010001000 +100010001001 +011110001001 +011110001000 +011110001000 +100010001000 +100010001000 +011101110111 +011101110111 +100010001000 +100110101010 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101010 +100110101010 +011101111000 +010001000100 +010101100110 +100010011001 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101011 +100110011010 +011101110111 +010001000011 +010101010100 +011101110111 +011101110111 +011001100110 +010101000100 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100001 +001100100010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000011 +010001000011 +010000110010 +010101010011 +011101110110 +100010000111 +100010000111 +011101110110 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +011001100101 +011101100110 +011001100101 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100100001 +001100100001 +010000110001 +010000110001 +010000110010 +010101000011 +011001010100 +011001100101 +010101010101 +010101010100 +011001010101 +011001100110 +010101010101 +010001000011 +001100110010 +010000110010 +010001000011 +010101000011 +010101010100 +010101010101 +011001100110 +011101110110 +011101110111 +100010001000 +100010001001 +100010001000 +011110001000 +011101110111 +011001100110 +011001100110 +011001100101 +011001100110 +011101110111 +100010011001 +100110011010 +100010011010 +100010011001 +100010011001 +011110001000 +011001110111 +011001100110 +010101010101 +010001000011 +001100100010 +001000100001 +001100110011 +010001000101 +010101010101 +011001100110 +011101110111 +100010000111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100101 +011001100110 +011110001000 +100010011001 +100010011010 +100010011001 +011110001000 +011001100110 +001100110011 +001100100010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011001100110 +011101110110 +011001100101 +010001010100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101010100 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100110010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000100010 +001000110011 +001001000100 +001000110011 +000100100010 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000100001 +001000010001 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +001000100001 +001100110011 +001000110010 +001000100001 +001000100001 +001000110010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001000100001 +001100110011 +010101100111 +011001111001 +011001111000 +010001010101 +001100110010 +010000110010 +010000110010 +010001000011 +011001100101 +100001110111 +100010001000 +100010001001 +011110001001 +011101111000 +011101111000 +100010001000 +100010001000 +011110000111 +011101110111 +100010001000 +100110101010 +101010101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101010 +100110101010 +011101111000 +010001010100 +010101100110 +100010011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +101010101011 +100110101010 +100010001000 +011001100110 +011101110111 +100010001001 +100010011001 +100001111000 +010101010101 +010000110011 +010000110011 +010001000011 +010001000011 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010101010011 +011101100110 +011110000111 +011101110111 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100101 +011101100110 +011101110111 +011101110110 +011001100101 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010101000011 +010101010100 +011001100101 +011001100110 +011001100101 +011001100101 +011001100101 +011001100110 +011001010101 +010001000011 +010000110010 +010000110011 +010101010100 +011001100110 +011101110111 +011101111000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +011110001000 +011101110111 +011001110111 +011001100110 +011001100101 +011001100101 +011001100110 +011101110111 +011110001000 +100010001000 +011101111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010001000011 +001100110010 +001100110011 +010101010101 +011001110111 +011110001000 +011110001000 +100010011001 +100010011001 +100010001000 +011101110111 +011001100110 +011001100101 +010101010101 +011001100101 +011001110111 +011101111000 +011101111000 +011001111000 +011001100111 +010001010100 +001100110010 +001100100001 +001100110001 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000110010 +001000110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001100110100 +010101010101 +011101110110 +011101110110 +011001100101 +010001000100 +001000110011 +000100100010 +001000110011 +001000110011 +001000110011 +001100110100 +001101000100 +001101010100 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000100010 +001000110011 +001000110100 +001000110011 +001000100011 +001100110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000100001 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010000 +000100100001 +001101000100 +010101100110 +010101010110 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110011 +010101100111 +011001111001 +011001111001 +010101010110 +010001000011 +010101000011 +010101000100 +010101010100 +011101100110 +100001110111 +100010001000 +011110001000 +011110001000 +011101111000 +011101111000 +100010001000 +100010001000 +100010001000 +011110000111 +100010011000 +100110101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101011 +100110101010 +011110001000 +010101100110 +011001110111 +100010011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101011 +101010101011 +101010101011 +100110101010 +100110011001 +100010011001 +100110011010 +100110011010 +100010001000 +011101110110 +011001100110 +011101110110 +011101110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +011101110110 +011101100101 +011001010100 +010101000011 +010001000011 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101010011 +010101000011 +010001000010 +010101000011 +011001100101 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010001000011 +010101000011 +010101000011 +010101010100 +011001010101 +011001100101 +011001100110 +011101110111 +011101110111 +011101110111 +011001110110 +011001100101 +010101000100 +010001000011 +010001000011 +011001100101 +011101110111 +100010001001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011001 +100010001001 +011110000111 +011101110111 +011001100110 +011001100101 +010101010100 +010101000100 +010101010100 +011001100101 +011001100110 +010101100101 +010001000100 +001100110011 +010000110011 +010101010101 +011001100110 +011101100110 +011001100110 +010101010101 +010001000100 +010101010100 +011101110111 +100010001001 +100010011001 +100010011010 +100110011010 +100010011001 +011110001000 +011101110111 +011001100101 +010101010100 +010001000011 +010001000011 +010001010100 +010001010101 +010001000100 +010001000100 +010001000100 +010001000011 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000110011 +001101000100 +010001000101 +010001010101 +010101100110 +011101110111 +011101110110 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100110011 +001000110011 +001000110011 +001101000100 +001101010100 +010001010101 +010001010101 +010001100101 +010101100101 +010001010101 +001001000011 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000100010 +001000110011 +001000110100 +001000100011 +000100100010 +001100110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +001100110011 +011001110111 +011110001001 +011110001001 +010101100101 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +010001010101 +011001111000 +011001111000 +011001100110 +011001100101 +011001100110 +011001100110 +011101100110 +011101110110 +100010000111 +100010001000 +011110001000 +011110001000 +011001111000 +011101111000 +100010001000 +100010001000 +011110001000 +011110000111 +100010011001 +100110101010 +101010101011 +100110101010 +100110101010 +101010101010 +101010101010 +101010101011 +100110101010 +100010011001 +011001111000 +011110001000 +100010011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +100110101011 +101010101011 +101010101011 +101010101011 +100110011010 +100010011010 +100010011010 +100010011010 +100110011001 +100110011001 +100110011001 +101010011001 +101010011001 +101010011001 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +100110011001 +100110011000 +100010001000 +100010000111 +011101110110 +011001100101 +011001010101 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010001000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010000110010 +001100110010 +010000110010 +010001000010 +010101000011 +010101010011 +010101000011 +010001000011 +010101000011 +011001100101 +011101110111 +011110000111 +011110000111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011101100110 +011101100110 +011101100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001010100 +010101010100 +010101010100 +011001010100 +011001010101 +011001100101 +011001100110 +011101110110 +011101110111 +011110001000 +011110000111 +011101110110 +011001100101 +010101010100 +010101000100 +010001000100 +011001100110 +011110001000 +100010011001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011001 +100010001000 +011101110110 +011101100110 +011001100110 +010101010101 +010001000011 +001100110010 +010000110011 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +010101010100 +011001100110 +011101110110 +011101110110 +011001100110 +011001100101 +011001100101 +011001100110 +011110001000 +100010011010 +100110101010 +100110101010 +100010011010 +100010011001 +011101110111 +011001100110 +010101010101 +010000110011 +001000100001 +001000100001 +001000100010 +001100100010 +001100110011 +010001010101 +010101100110 +010001000100 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110100 +010001010101 +010101010110 +010101100110 +011001110111 +011101110111 +011101110110 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +001101010101 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001001000011 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000100010 +001000110011 +001000110011 +000100100010 +000100100010 +001101000100 +001101000100 +001000110100 +001000110011 +001000110011 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010000 +001000100001 +010001010101 +011110001001 +100010011011 +100010011010 +011001110111 +010001000011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +010001000100 +011001100111 +011101110111 +011101111000 +100010001000 +100010001000 +011101110111 +011101110111 +100010000111 +100010000111 +100010000111 +011110001000 +011001111000 +011001111000 +011001111000 +011110001000 +100010001001 +011110001001 +011110001000 +100010011001 +100110101010 +101010101010 +100110101010 +100110101010 +100110101011 +100110101011 +100110101011 +100110101010 +100010011010 +100010011010 +100010011010 +100010011010 +100110101010 +100110101010 +100010011010 +100010011010 +100010011010 +100010011010 +100110011010 +100110101010 +100110011010 +100010011010 +011110001010 +100010011010 +100010011010 +100110011010 +101010101010 +100110011001 +100110011001 +100010011001 +100010011000 +100010001000 +011110000111 +011110000111 +100010011000 +101010101001 +101110101010 +101110101010 +101010101010 +101010101010 +100110011001 +100110001000 +100010001000 +011101110111 +011101100110 +011001100101 +010101010101 +010101010101 +010101010101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001010101 +010001000011 +010000110010 +010000110010 +010001000010 +010101000011 +010101010011 +010101000011 +010101000011 +010101010011 +011001100101 +011101110111 +100010000111 +100010000111 +100010000111 +100001110111 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001100110 +011001100110 +011101110110 +011101110110 +011001100110 +011001100101 +011001100101 +011001100110 +011001100110 +011101110110 +011001100110 +011101110110 +011101110111 +011110001000 +011110001000 +011101110110 +011001100110 +011001100101 +010101010101 +010101010101 +011001110110 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010001000 +100010000111 +011101110110 +011101100110 +011001100110 +010101100101 +010001000011 +001100110010 +010000110011 +010001000100 +010101010101 +010101010101 +011001100110 +011001110111 +011101111000 +011101111000 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011101110110 +100010001000 +100010011010 +100010011010 +100010011001 +100010011001 +100010001000 +011101110111 +011001100110 +010101010101 +010001000011 +001100100010 +001100110010 +010001000011 +010101010101 +011001100110 +011101111000 +011101111000 +010101100110 +001100110011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100010 +001100110100 +010101010101 +011001010101 +011001010110 +011001100110 +011101110110 +011101110110 +011001100101 +010001000100 +001000110011 +000100110011 +001000110011 +000100100010 +000100100010 +001000110011 +001101000100 +001101010101 +010001010101 +010001100101 +010001100101 +010001100101 +010001010100 +001001000011 +000100110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010001 +000100100010 +001000110011 +001000110011 +000100100010 +001000100011 +001101000101 +010001010101 +001101000101 +001000110100 +001000110011 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100010 +001000100010 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110010 +001000100010 +001100110010 +010101010101 +011101111000 +011110001001 +011110001001 +011101110111 +010001000100 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +010001000100 +011001100111 +011110001000 +100010001001 +100010011001 +100010011001 +100010001001 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +011001111000 +011001111000 +011110001000 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +100010011011 +100010011011 +100010011011 +100010101011 +100110101011 +100110101011 +100010011011 +100010011010 +100010011001 +100010011001 +100010001001 +011110001001 +100010011010 +100010011010 +100010001001 +011110001000 +011110001000 +011001111000 +011001111000 +011110001000 +011110001000 +011110000111 +011101110110 +011001100110 +010101100101 +010101010100 +010001010100 +001101010011 +001101000011 +010101100101 +011110000111 +100110011001 +101110101010 +101110111011 +101110111011 +101110111011 +101010101010 +100110011001 +100010001000 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011101110111 +011101110111 +011110001000 +100010001000 +011101110111 +010101010100 +010000110010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010001000010 +010101010011 +011001100110 +011101110111 +100010000111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +100001110111 +100010000111 +011101110111 +011101110111 +011101110111 +011101110111 +011110000111 +100010000111 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101110110 +011101110111 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101100110 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011101110111 +011110001000 +011110001000 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011101110111 +100010001000 +100010011001 +100010001001 +011110001000 +011101111000 +011001110111 +011101110110 +100001110110 +100001110110 +011101110110 +011001110110 +011001100110 +010101010100 +010001000011 +010001000011 +010101100101 +011001110111 +011110001000 +100010001001 +100010011001 +100010011010 +100010011001 +011110001000 +011101110110 +011001100101 +011001100101 +011001100101 +011001100110 +011101110111 +011110001000 +100010001000 +100010001000 +100010000111 +100001110111 +011101110110 +011001100110 +011001100110 +010101010101 +010001000100 +010001010100 +011001100111 +011110001000 +100010011001 +100010011001 +100010001001 +011001100110 +001100110011 +001000100001 +001000100010 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100100001 +001100110011 +010101000100 +011001000100 +011001010100 +011101100101 +011101110110 +011101110110 +011001100101 +001101000011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001101000100 +001101010101 +010001010101 +010001100101 +010001100101 +010001100101 +001101010100 +001000110011 +000100110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010001 +000100100010 +001000110011 +001000110011 +000100100010 +000100100011 +001101000101 +010001010101 +001101000101 +001101000100 +001000110100 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100010 +001101000100 +001101000101 +001000110011 +000100100001 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +001100110011 +010001000100 +010001000100 +010001000100 +010001000101 +010101010101 +010101010101 +010101010101 +010101010100 +010001000100 +010001000100 +010101010101 +010101100110 +011001100111 +011001100111 +010101100110 +010001000100 +010001000100 +001100110011 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +010001000100 +011001100111 +011110001000 +011110001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +011101111000 +011110001001 +100010011011 +100110101011 +100110101011 +100110101011 +100010011011 +100010011010 +100110101010 +100110101010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +011110011010 +100010011011 +100010011011 +100010011010 +011110001010 +100010011010 +100010011010 +100010011010 +100010011010 +011110001010 +011110011010 +100010011010 +011110001001 +011001110111 +011001100110 +011001100101 +011001100101 +011001110110 +010101100110 +010001010100 +001101000011 +001101000010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +010101010100 +100001110111 +101010101001 +101110111011 +101110111011 +101010101011 +100110011001 +100010001000 +100010001000 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +011101110111 +010101010100 +010001000011 +010001000011 +010001000010 +010001000010 +010101000011 +010101000011 +010001000011 +010101010100 +011101100110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100010000111 +100001110111 +011101110111 +011101110110 +011101110111 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +011001100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011101110111 +100010001000 +011101111000 +011101110111 +011001100110 +011001100101 +010101010101 +010101010101 +011001100110 +011001110111 +011001110111 +011001100110 +010101100101 +010001000100 +010001000011 +010101010100 +011101100110 +100001110110 +011101110110 +011101110111 +011001110110 +011001100101 +010101010100 +010101010101 +011001110111 +100010001001 +100010011010 +100110011010 +100110101010 +100110101010 +100010011001 +011110001000 +011001110110 +010101010101 +010101000100 +010001000011 +010001000100 +010101010101 +011001100110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100110 +011001100110 +011001100101 +011001100110 +011110001000 +100010011001 +100110011010 +100010011010 +100010001001 +011001100110 +001100110011 +001000100010 +001000100010 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001100110011 +010100110011 +010100110010 +010100110011 +011001010100 +011101100101 +011101100101 +011001010101 +001101000011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001101000100 +001101010101 +010001010101 +010001100101 +010001100101 +010001100101 +001101010100 +001000110011 +000100110010 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000100100010 +001000110011 +001000110011 +000100100010 +000100100010 +001000110100 +001101000100 +001101000100 +001101000100 +001101000100 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100010 +001101000100 +001101000100 +001000100010 +000000010000 +000100010000 +000000010000 +000100010001 +001000110011 +010001010101 +010001010110 +001101000101 +001000110011 +001000100010 +001000100010 +001000110010 +001100110010 +001100110011 +001100110011 +010000110011 +010001000011 +010001000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +011001100110 +011001100110 +011001010110 +010101010101 +010101010101 +010101010101 +010101010101 +010001010101 +010001010101 +010001010101 +010001000101 +010001000101 +010001000100 +001100110011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +010001000100 +010101100110 +011001111000 +011101111000 +011101111000 +011101111000 +011101111000 +011110001000 +100010001000 +100010001000 +100010001000 +011101110111 +011101111000 +100010011010 +101010111100 +101010111100 +100110111100 +100110101100 +100110101100 +100110101011 +100110101011 +100110101011 +100110101011 +100010011010 +100010011010 +100010011011 +100010011011 +100010011011 +011110001010 +011110001001 +011001111000 +011001111000 +100010011010 +100110101100 +101010111100 +100110101011 +100010011010 +011110001010 +100010011010 +011110001001 +011001100101 +011001010100 +011001100011 +011101100100 +011001110110 +011001110111 +010101100110 +001101000100 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110011 +001101000011 +010001000011 +011001100101 +100110011000 +101010101010 +101010101011 +100110011001 +100010001000 +011101111000 +011101110111 +011101111000 +011110001000 +100010001000 +100010001001 +100010011001 +100010011001 +100110011001 +100010011001 +100110011010 +100110011001 +011110000111 +010101010100 +010001000011 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010001000011 +010101010100 +011101100110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +011101110111 +011001110111 +011110001000 +100010011001 +100110011010 +100010001000 +011001110111 +010101100110 +011001100110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001100110 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +010101100101 +010001000011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +001100110010 +001100110010 +001100100010 +001100110011 +011001010100 +100001110110 +100001110110 +011101110110 +011001110110 +011001110110 +011001100110 +011001100101 +011001100110 +011110001000 +100010011001 +100110101010 +100110101010 +100110101010 +100110011010 +100010011001 +011110000111 +011001100110 +010101010100 +001100110010 +001000100001 +001100100010 +001100110010 +010001000011 +010101010100 +011101100110 +100001110111 +100001110111 +011101110111 +011101110110 +011001110110 +011001100110 +011001100110 +011001110110 +011110001000 +100010011010 +100010011010 +100010001001 +011101111000 +010101010101 +001100110010 +001000100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100100001 +001100110011 +010000110011 +010100110010 +010100110010 +011001010100 +011001100101 +011001100101 +010101010101 +001101000011 +000100110010 +000100100011 +000100110011 +000100100010 +000100110011 +001000110011 +001101000100 +001101010101 +010001010101 +010001100101 +010001100101 +010001100101 +001101010100 +001000110011 +000100110010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000100100010 +001000110011 +001100110011 +001000100010 +000100100010 +000100100011 +001000110011 +001000110011 +001000110100 +001000110100 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001101000100 +010101100111 +010101101000 +010001010101 +001000110010 +000100100001 +001000100001 +001000100010 +001100110100 +010001010101 +010001010110 +010001000101 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010101000100 +010101000100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001000100 +001101000100 +001101000100 +010001000100 +010001000100 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110010 +001100110011 +001100110010 +001100110010 +001101000100 +010001010101 +010101100110 +010101100110 +010101100111 +011001100111 +011001100111 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011101110111 +100010011010 +101010111100 +101010111101 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010101100 +100110101011 +100110101011 +100110101011 +100110101100 +100110101011 +100010011010 +011001111001 +010101100110 +011001111000 +100110101011 +101010111101 +101111001101 +101010111100 +100010011010 +011110001010 +011110011010 +011110001001 +011001100110 +011001100100 +011001100100 +011101110100 +011110000111 +011110001000 +011001111000 +010001100110 +001101000011 +001100110010 +001100110010 +001100110010 +010001000011 +010101010101 +011001100110 +010101100110 +010101100101 +011101110111 +100010011001 +100010011001 +100010001000 +011110001000 +100010001001 +100010001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100110011001 +100110011001 +100110011001 +100110011010 +100110011001 +011110000111 +010101010100 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010001000011 +010101010100 +011001100110 +100001110111 +100001110111 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100110000111 +100010001000 +100010001000 +100010001000 +100010011001 +100110101010 +100110101011 +100110011010 +011110001000 +011001110111 +011101110111 +100001110111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +010101010101 +001100110010 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001100110011 +010001000100 +010001010101 +010101100110 +011101110111 +100001110111 +100001110111 +011101110110 +011001110110 +011001110110 +011001100110 +011001100110 +011001100110 +011110001000 +100010011001 +100110011010 +100010011010 +100010011001 +100010001001 +011110001000 +011001110111 +011001100110 +010001010100 +001100110010 +001000100001 +001000100001 +001101000011 +010101010100 +011001100110 +011101110111 +100010001000 +100010001000 +011110000111 +011101110110 +011001100110 +011001100101 +011001100101 +011001100110 +011101111000 +100010001001 +011110001001 +011001110111 +010101010101 +001100110011 +001000100010 +001000100001 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100100001 +001100110011 +010101000011 +010100110010 +010100110010 +011001010100 +011001100101 +011001100101 +010101010101 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010001100101 +010001100101 +001101010100 +001000110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010001 +000000010001 +000100100010 +001000110011 +001100110011 +001100110010 +000100100010 +000100100011 +000100100011 +001000110011 +001000110011 +001000110011 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100010 +001101000100 +010101100111 +011110001010 +011110001010 +011001111000 +010101010110 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010101010110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000101 +010101000101 +010101010101 +010101010101 +010001010101 +010001000100 +010001000101 +010001010101 +010001000101 +010001000100 +010001000101 +010101010110 +010001010110 +001101000101 +001100110100 +001101000100 +010001000101 +010001010101 +001100110011 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110011 +001101000100 +001100110011 +001000100001 +001000100010 +001000110010 +001100110010 +001100110011 +001100110011 +001101000011 +010001010100 +011001100110 +011001100110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001010101 +010101010101 +010101010101 +010101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +100010011001 +100110101011 +101010111100 +101010111100 +101010111101 +101010111101 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +100110101011 +100010011010 +011001111000 +011010001001 +100010101011 +101010111100 +101010111100 +100110101100 +100010011011 +100010011010 +100010011011 +011110001001 +010101100111 +010101100101 +011001100101 +011001110101 +011001110110 +011010001000 +011001111000 +010101100111 +010001010101 +001101000011 +001100110011 +001101000011 +010001010100 +011001100110 +011101110111 +011001110111 +010101100110 +011101110111 +100010001000 +100110101000 +101010101000 +101110111000 +101110111001 +101110111011 +101010111010 +100110101001 +100010011001 +100010011001 +100010011001 +100110011001 +100110011010 +100110011010 +100110011010 +100110011001 +011110000111 +010101010100 +001100110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000011 +010001000010 +010101010011 +011001100110 +100001110111 +100001110111 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100110000111 +100110011001 +100110011001 +100110011001 +100110011010 +101010101010 +101010101011 +100110101011 +100110011010 +100010011001 +100010011001 +100110001000 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001100110 +010001000100 +001100110011 +001100110011 +001101000011 +010001000100 +010101010101 +010101100110 +011001110111 +011110001000 +011110001001 +100010001001 +100010001000 +100010000111 +011101110111 +011001110111 +011001110110 +011001100110 +011001100101 +011001100110 +011101111000 +011110001001 +011110001001 +011101111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010001000011 +001100110010 +010001000011 +010101100110 +011101111000 +100010001000 +100010011001 +100010011010 +100010011001 +011110001000 +011101110110 +011001100101 +010101010100 +010101010100 +010101010101 +011001100111 +011101111000 +011001110111 +010101010110 +010001000100 +001100110010 +001000100001 +001000100001 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100100001 +001100110011 +010101000100 +010101000100 +010101000011 +011001010100 +011001100101 +011001100101 +010101010100 +001101000011 +000100100010 +000100100010 +001000110011 +000100100010 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101100101 +010001100101 +001101000100 +001000110011 +000100110010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010001 +001000100010 +001100110010 +001100110010 +000100100011 +000100100011 +000100100011 +000100110011 +001000110011 +001000110011 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000000010000 +000100010000 +000100010001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000110010 +001101000100 +010101100110 +011001111000 +011110001010 +011110011010 +011110001001 +011001110111 +010101010110 +010101010101 +010101010101 +011001010110 +011001100110 +011001100111 +011001100111 +011001100111 +011001100110 +011001010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010110 +010101010101 +010101010101 +010001000101 +010001000100 +010001000100 +010001010101 +010101100110 +010101010110 +010001000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010101100111 +010101100111 +010001010101 +010001000101 +010001010101 +010101100110 +010101100110 +010001000100 +001000100001 +000100010000 +000100010000 +001000010001 +001000100001 +001000100010 +001000110011 +010101010101 +011001111000 +011110001001 +011001111000 +010001010101 +010001010101 +010101100110 +011001100110 +011001100111 +011001100111 +011001100111 +011001110111 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011110001001 +100010011010 +100010011010 +100110101011 +100110101100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +100110111100 +100110101011 +100010011010 +100010011010 +100010011011 +100110101100 +100110101100 +100110101011 +100010011011 +100110011011 +100010011011 +011110001010 +011001111000 +010101100110 +010101100101 +010101100101 +011001100110 +011001110111 +011001110111 +011001110111 +010101100110 +010101010101 +010001000100 +001101000100 +010001010101 +010101100111 +011101110111 +100010000110 +101010010101 +101110100110 +101010100101 +101010100101 +100110100100 +100110010100 +100110100100 +101110110111 +101111001001 +101111001001 +110011001011 +101110111011 +101110111011 +100110101010 +100010011010 +100110011010 +100110011010 +100110011001 +011101110111 +010101010100 +001100110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010000110010 +010101000011 +011001100110 +011101110111 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100110000111 +100110011001 +100110011001 +100110011001 +100110011010 +101010101010 +101010101011 +101010101011 +101010101011 +101010101011 +100110101010 +100110011001 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011001110111 +011001100111 +010101100110 +010101100110 +010101100110 +010101100110 +011001110111 +011110001000 +011110001001 +100010011001 +100010011010 +100010011010 +100010011001 +100010001000 +100010000111 +011101110111 +011101110111 +011001100110 +010101010101 +010101000100 +010101010101 +011001100110 +011001110111 +011001100111 +010001010101 +001101000100 +010001000100 +010101010101 +011001100110 +011001100110 +011001100101 +011001100101 +010101010100 +011001100101 +011101111000 +100010011001 +100110011010 +100110011010 +100110011010 +100010011001 +011110001000 +011001110111 +010101010101 +010001000100 +010000110011 +010001000011 +010101010101 +011001100111 +011001110111 +011001100111 +010101100110 +010001000100 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100100001 +001100110011 +010101000100 +010101000100 +011001010100 +011001010101 +011001100101 +011101100101 +010101010100 +001100110011 +000100100010 +000100100010 +001000110011 +000100110011 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010101 +001101000100 +001000110011 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100001 +001000100010 +001000100010 +000100100011 +000100100011 +000100100011 +001000110011 +001000110011 +000100110011 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +001100110011 +010001010101 +011001100111 +011001111000 +011110001001 +011110001001 +011101111000 +011001100111 +010101010101 +010101010101 +010101010101 +011001010110 +011001100110 +011001100111 +011001100111 +011101110111 +011101110111 +011001100111 +010101010110 +010001000100 +010001000100 +010101010101 +010101010110 +010101010101 +010001000101 +010001000101 +001101000100 +010001010101 +010101100110 +011001110111 +011001100111 +010101100110 +010101100110 +010101100111 +010101100110 +010101100110 +010101100111 +011001110111 +011001110111 +010101100111 +010101100110 +011001110111 +011110001000 +011110001000 +010101010101 +001000100010 +000100010001 +001000100001 +001000110010 +001100110011 +001100110011 +001101010100 +011001110111 +100010011010 +100010011011 +011110001010 +011101111000 +011101111000 +100010001001 +100110011001 +100110011010 +100110011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011010 +100110011001 +100010001001 +100010001001 +011110001001 +011110001001 +100010011010 +100010011010 +100010011010 +100110101011 +100110101011 +100110101011 +100110101100 +100110111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +100110101100 +100110101100 +100110101011 +100010011011 +100110011011 +100110011011 +100010011011 +100010011010 +100010011010 +100010001001 +011010001000 +010101110111 +010101100110 +010101100110 +011001110111 +011001110111 +011001110111 +011101111000 +011110001000 +011001111000 +010101100111 +010001010101 +010001000101 +010001000100 +100001110100 +110010100101 +101110100100 +100110000011 +100001110010 +011001110010 +010101100010 +010101100010 +010101100011 +010101100010 +010101110011 +010101110011 +011010000100 +011110010111 +100110111011 +101010111100 +101011001101 +101111001100 +101010101011 +100110101010 +100110011001 +011101110111 +010101010100 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010000110010 +010001000011 +011001100101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110101 +100001110101 +011101110101 +011101110101 +100001110110 +100010000111 +100110011001 +100110011001 +100110011001 +100110011001 +101010101010 +101010101011 +101010101011 +101010101011 +101010101011 +101010101011 +100110011001 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +011001100110 +011001100111 +011001110111 +011001111000 +011001111000 +011001111000 +011110001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100001110111 +011101110111 +011101110111 +010101100110 +010001000011 +001100110010 +010001000100 +010001010101 +010101100110 +010001010101 +010001010101 +010001010100 +010101010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +100010001000 +100110011010 +100110011010 +100010011010 +100010011001 +100010001000 +011101110111 +011001110111 +010101100110 +010101010100 +010001000011 +010001000100 +010101100110 +011101111000 +011110001001 +011110001001 +011101111000 +010101010101 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001100110010 +010000110011 +010101000100 +010101000100 +011001010101 +011001100101 +011001100101 +010101010100 +001100110011 +000100100010 +000100100010 +001000100010 +000100110011 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +001000110011 +001000110011 +000100110011 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010001 +001100110011 +010001010101 +010101100110 +010101100111 +010101111000 +010101101000 +010101100111 +010001000101 +001100110011 +010001000100 +010101010101 +010101010101 +010101010101 +010101010110 +010101100111 +011001110111 +011101111000 +011101110111 +010101100110 +001101000100 +001100110011 +010001010101 +010001010101 +010001010101 +010001000101 +010001010101 +010101010110 +010101100110 +011001100111 +011001100111 +010101100111 +010101100111 +011001110111 +011001111000 +011001110111 +011001110111 +011001110111 +011001111000 +011101111000 +011001111000 +011101111000 +011110001001 +100010011001 +100010001001 +010101100110 +001000110010 +001000100001 +001100110011 +010001000100 +010001010101 +010001010101 +010001100110 +011001110111 +011110001001 +011110001001 +011001111000 +011101111000 +100010001000 +100110011001 +100110011010 +100110011010 +100110011010 +100110011010 +100110011001 +100010001000 +100010001001 +100010001001 +100110011001 +101010101010 +101110101011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011011 +100110101011 +100110101100 +100110101100 +100110101011 +100010011011 +100110101011 +100010011011 +100010011010 +100010001010 +011110001010 +011110001001 +011001111000 +011001111000 +011001110111 +011001100111 +010101100110 +011001110111 +011101110111 +011110001000 +011110001000 +011110001001 +100010001001 +100010011001 +011110001000 +010101100111 +010001010101 +010001010100 +011101110100 +100110000011 +011101100001 +010101000001 +010001000001 +010001000001 +001101000010 +010001010010 +010001010010 +010001010010 +010001100010 +010001100010 +010001100010 +010001100010 +010001110100 +010110001000 +010110011010 +010110101011 +011010101100 +100010111100 +100110111100 +101010101010 +011101110111 +010101010100 +001100110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010000110010 +010001000011 +011001100101 +011101110110 +011101110110 +011101100110 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110101 +011101100101 +011101110110 +100010000111 +100010001000 +100110011001 +100110011001 +100110011001 +100110011010 +100110101010 +100110101010 +100110101010 +100110101011 +100110101010 +100110011001 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100101 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001111000 +011110001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100010000111 +100001110111 +011101110111 +011101110111 +010101100110 +010001000100 +001100100010 +010000110100 +010001010101 +010101100110 +010101100110 +010101100111 +011001110111 +011101111000 +011101111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011110001000 +100010011001 +011110001001 +011101111000 +011001110111 +011101110111 +011101110110 +011001110111 +011001100110 +010101100101 +010101010101 +010101100101 +011001110111 +011110001001 +100010011010 +100010011010 +011110001001 +010101100110 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001100100010 +010000110010 +010000100010 +010100110011 +011001010100 +011001100101 +011001100101 +010101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010101 +001101000100 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100110011 +001000110011 +001000110011 +000100110011 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +001100110010 +010001000100 +010001010110 +010101111000 +011001111001 +010101111000 +010001010111 +001101000101 +001000110011 +001101000100 +010001010101 +010001000100 +001101000100 +010001010101 +010101100110 +011001100111 +011101111000 +011101111000 +010101100110 +001101000100 +001101000100 +010001010101 +010001010101 +010001010101 +010001010101 +010101100110 +011001110111 +011001111000 +011001110111 +010001100110 +010001010110 +010101100111 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +011001110111 +001100110010 +001000100010 +010001000100 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +011001100111 +011001110111 +011101110111 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100110011010 +101010101010 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +100110101010 +100110011010 +100110011010 +100110011010 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +011110001001 +011110001001 +011110001001 +011101111001 +011001111000 +011001111000 +011001111000 +010101100111 +010101100110 +010101100111 +010101100111 +010101100110 +010101100110 +011101111000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011001100111 +010101010101 +011001100100 +011001010010 +001100110000 +001100110001 +001100100001 +001100110001 +001101000001 +001101000001 +001101000001 +001101000001 +001101000010 +001101000010 +001101000001 +010001010010 +010001010010 +010001100010 +010001110100 +010010000110 +010010011001 +010010011011 +010010011011 +011010101100 +100010111101 +100110101010 +011001100101 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +011001100110 +011101110111 +011101110111 +011101110110 +011101100110 +011101100101 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011001 +100010001000 +100001110111 +011101100110 +011101100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011110011001 +100010011010 +100010011010 +100010011001 +011110001001 +011110001000 +011101110111 +100001110111 +100001110111 +011101110111 +011101110111 +011001100110 +010001010100 +001100110011 +010001000100 +010101010110 +011001110111 +011110001000 +011110001001 +100010001001 +100010011001 +100010001001 +011101111000 +011001100110 +010101100101 +010101010101 +010101010101 +011001100110 +011001110111 +011001110111 +010101100110 +010001000100 +010001000100 +011001010101 +011101100110 +011001110111 +011001110110 +011001110110 +011001100110 +011001110111 +011110001000 +100010011010 +100010011010 +100010011010 +011110001001 +010101010101 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001100100010 +010000110010 +010000100010 +010000110010 +011001010100 +011001100101 +011001100101 +010101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001000110100 +001101010101 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001101000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +001000110011 +001000110011 +000100010000 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001100110011 +010001000100 +010001010110 +011001111000 +011010001001 +011001111001 +010101100111 +001101000101 +001100110100 +010001000100 +010001010101 +010001000101 +001101000100 +010001010101 +010101100110 +011001110111 +011101111000 +011101111000 +011001100111 +010001010101 +010001010101 +010101100110 +010101100110 +010101100110 +010101100110 +011001110111 +011001111000 +011110001000 +011001111000 +010001010101 +001101000101 +011001110111 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +011001110111 +001100110011 +001000110010 +010001010101 +010101100110 +011001100111 +010101100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001100111 +010101100110 +010101100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101100110 +010101100101 +010101100101 +010101100101 +010101100110 +011001110110 +011101110111 +100010001000 +100110011001 +100110011001 +100110011001 +100110011001 +101010101010 +100110011001 +100110011010 +101010101010 +101010101010 +101010101010 +100110101010 +100110101010 +100110101010 +100110011010 +100110011010 +100110011010 +100110101010 +101010101010 +101010101011 +100110101010 +100110011010 +100010011001 +100010001001 +011110001001 +011110001000 +011001111000 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +010101100111 +011001110111 +011110001000 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +011110001001 +011001110111 +011101100110 +101010011000 +011101110111 +011101110111 +011101110111 +011001100101 +001100110010 +001100110001 +001100110001 +010001010011 +010001000100 +010000110011 +010001000011 +010001000011 +001000100001 +001100110001 +010001000010 +010001010010 +010001010010 +010001100100 +010001110111 +010010001000 +001110001001 +010010011010 +011010101100 +011110101011 +010001010101 +001100110001 +001100110001 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +011001100110 +011101110111 +100001110111 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010001000 +011110001000 +011101110111 +100010001000 +100010001001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110110 +011101100110 +011101100110 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011001110110 +011001100110 +011001100101 +010101100101 +011001110111 +011110001000 +011110001001 +011110001000 +011001110111 +010101100110 +010101100101 +011001100101 +011101110110 +100001110110 +011101110111 +011101110111 +011001110110 +010101010101 +010001000100 +010001000100 +011001100111 +011110001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010001001 +011001110111 +010101100110 +010001010100 +001101000011 +001100110011 +010001000100 +010001010100 +010001000100 +001100110010 +001100110010 +010001010100 +011001100110 +011101110110 +011101110111 +011001110111 +011001110111 +011001110111 +011001110111 +011110001001 +100010011010 +100010011010 +011110001001 +011001111000 +010001000100 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001100100010 +010000110011 +010000110010 +010100110011 +011001010100 +011001100101 +011001100101 +010101010100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001101000100 +001101000101 +001101000100 +001101000100 +010001010100 +010001100101 +010001010101 +001101000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +001000110011 +001000110011 +000100010000 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001100110011 +010001000101 +010101100110 +011001111000 +011010001001 +011001111001 +010001100111 +001101000110 +001101000100 +010001010101 +010001010110 +010001010101 +010001010101 +010101100110 +011001110111 +011101111000 +011110001001 +011110001000 +011001111000 +010101100111 +010101100111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +011110001001 +011110001001 +011110001001 +010001010110 +001101000101 +011001110111 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +011001110111 +001100110011 +001000100010 +010001010101 +011001100111 +011001110111 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +010101100110 +010101010101 +010001000100 +010001000100 +010001000100 +010001010100 +010101010101 +010101100110 +011001100110 +011101110111 +011101110111 +011110000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100110011001 +100110101010 +101010101010 +100110011010 +100010011001 +100010001001 +100010001001 +011110001000 +011001110111 +011001100111 +010101100110 +011001110111 +011001111000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011001110111 +011001110111 +100010001001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010001001 +011001110111 +101010101001 +110010111011 +101010101010 +100110011001 +011001100110 +100010001000 +100010001000 +010101010100 +011001100101 +011101110111 +011101110111 +011001100110 +011001010110 +010001000011 +010101010100 +010101000100 +010001000010 +010101010100 +011101110111 +010001000011 +001101000001 +010001100011 +010001110110 +010010001001 +010010001010 +010010101100 +011010101100 +010101100110 +001100110001 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +011001100110 +011101110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +011101110111 +011101110111 +011101111000 +100010011001 +100110011001 +100010001000 +011101110111 +011001110111 +011101111000 +011110001000 +100001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100110 +011001100110 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +010101010101 +010101010100 +010101010100 +010101100110 +010101100110 +010101100110 +010001010100 +001101000011 +001000110010 +001100110010 +010101010100 +011101110110 +100001110110 +011101110111 +011001110111 +011001110110 +011001100110 +010101010101 +010101010101 +011001110111 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +011110001000 +011001110111 +010101010101 +010001000011 +001100110010 +001000100010 +001100110010 +010001000011 +010001000100 +010101010101 +010101100110 +011101110111 +011110001000 +011101110111 +011001110110 +011001100110 +011001100110 +011001100110 +011001110111 +011101111000 +011110001000 +011001111000 +011001100111 +010001010101 +001100110011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001100110010 +010000110011 +010000110011 +010101000100 +011001010100 +011001100101 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001101000101 +001101000101 +001000110011 +000100100010 +001100110011 +010001010100 +010001010100 +001001000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +000100110011 +001000110011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001100110011 +010001010101 +010101100110 +011001111000 +011001111001 +010101111000 +010001010111 +001101000101 +001101000100 +010001010101 +010101100110 +010001010110 +010001010110 +010101100111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011001111000 +011001111000 +011001111000 +011101111000 +011001111000 +011101111000 +011110001000 +011110001001 +100010011010 +011110001001 +010101100111 +001101000101 +011001100111 +011110001001 +100010001001 +011110001001 +100010001001 +011110001001 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011001110111 +001100110011 +001000100010 +010001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100111 +010101100110 +010101100110 +010101010101 +010101010110 +010101100110 +010101100110 +011001100110 +011001100110 +011001100110 +011001110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011101111000 +011110001000 +100010001001 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +011110001000 +011110001001 +011110001001 +011001110111 +011001110111 +100010011001 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100010001000 +100110011001 +010101010101 +100010001000 +100110011001 +010101010101 +010001000100 +100010001001 +011101110111 +011001100110 +011101110111 +011101110111 +011001100110 +011001100110 +010101000100 +011001100110 +010000110011 +001100100010 +011101100110 +011101111000 +100010001000 +010001000010 +010001010001 +001101010011 +001101110111 +010010001001 +010010001010 +010010011011 +011010101100 +011001110111 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +011001100101 +011101110111 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010001000 +011101110111 +011101110111 +011110001000 +100110011001 +100110011010 +100010001000 +011001110111 +011001100110 +011101110111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101110110 +011001100110 +011001110111 +011101110111 +011101110111 +011101110111 +011001110111 +010101010101 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001000110010 +001000100001 +001000110010 +001101000011 +010001010101 +011001100110 +100001110110 +100001110110 +011101110110 +011001110111 +011001110110 +011001100110 +011001100110 +010101100110 +011001111000 +100010011001 +100010011001 +100010001001 +011110001001 +011110001000 +011101110111 +011001100110 +010101010101 +010101010100 +010001000011 +001100110010 +010001000100 +010101100110 +011001110111 +011101111000 +100010001001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010101010101 +010001010101 +010101100110 +010101100110 +010101100110 +010001010101 +010001010101 +010001000100 +001100110011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001100110010 +010000110011 +010101000011 +010101000100 +011001100101 +011001100101 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001101000101 +001101000101 +001000100011 +000000010000 +000100100010 +001100110011 +001101000100 +001101000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010001 +000000010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100110011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001100110010 +010001010101 +010101100110 +010101111000 +011001111001 +010101111000 +001101010110 +001101000101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +011001110111 +011110001001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010011010 +100010001010 +010101101000 +001101000101 +010101100111 +011110001001 +100010011001 +100010001001 +100010011001 +100010011001 +011110001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011001110111 +001101000100 +001101000011 +010101100110 +011001110111 +011001110111 +010101100110 +010101100110 +010101100110 +011001100111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011101111000 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +011110001001 +100010001001 +011110001001 +011001111000 +011001111000 +100010011001 +100110011010 +100010011010 +100010011010 +100010011010 +100110011010 +100010011001 +100010011001 +100110011001 +010001000101 +001100110100 +010001000101 +011110001000 +100010001000 +011001100110 +100110001001 +100010001000 +011001100110 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010001000011 +001100100010 +011001010101 +011001100110 +100110011001 +100010000111 +010001000010 +001101010001 +001101100011 +001101110111 +001110001001 +001110001010 +010010011011 +011010101011 +011001110111 +001100110010 +010000110010 +001100110010 +010001000011 +011001100101 +011101110111 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110101 +100001110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100110011010 +101010101010 +100110011001 +011110001000 +011101110111 +011110001000 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +010101010101 +001100110010 +001000100001 +001000100010 +001000100010 +001000110010 +001100110011 +010001000100 +010101010101 +011001110111 +011001111000 +011110001000 +100010000111 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011001100101 +010101100110 +011001110111 +011101111000 +011101111000 +011001110111 +011001100110 +010101100101 +011001100101 +011001100101 +011001100101 +011001100101 +010101010101 +010101010100 +010101100110 +011001111000 +100010001001 +100010011010 +100110011010 +100010011010 +100010011001 +011101111000 +011001100111 +010101010101 +010001000100 +001100110011 +010001000100 +010001010101 +010001010101 +010101010110 +011001100111 +010101100110 +010001000100 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001100110010 +010000110011 +010101000100 +011001100101 +011101100101 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010110 +010001010110 +000100100011 +000000000000 +000000010001 +001000100010 +001101000100 +010001010110 +010101100110 +010001010101 +001001000100 +001100110100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000000000 +000100000000 +000100010000 +001000110010 +010001010101 +010101100110 +011001111000 +011001111001 +010101111000 +010001010111 +001101010101 +010001010101 +010101100110 +010101100110 +010101100111 +010101100110 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +100010011001 +011110001001 +010101100111 +001101000101 +010101100111 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011001110111 +011001111000 +100010001001 +100010011010 +100010011001 +011101111000 +010101100111 +010001010110 +010101010101 +010101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100111 +011101111000 +100010001001 +100010011001 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011001111000 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100110011010 +100110011010 +010101010110 +001100110100 +001100110101 +001101000101 +010001000101 +011101111000 +100110011001 +100110011001 +100010001000 +011101110111 +011101110111 +011001100110 +011001100110 +011101110111 +011101110111 +100010001000 +011001100110 +010101010101 +010101010101 +011101110111 +100110011001 +100110011001 +100010000111 +010101010010 +001101000001 +001101100100 +001101110110 +001110001000 +001110001010 +010010011011 +011010101011 +010101100110 +001100110010 +001100110010 +010001000011 +011001100101 +011101110111 +011101110110 +011101110110 +011101100101 +011101100101 +011101110101 +011101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100010000111 +100110011000 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +100110101010 +100110011001 +100010011001 +100010011001 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +010101100101 +010001000100 +001100110010 +001100110011 +010001000100 +010101010101 +011001100111 +011001111000 +011110001000 +100010011001 +100010011010 +100010011001 +100010001000 +011101110111 +011001110110 +011001100110 +010101010101 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010001000100 +001100110010 +001100110010 +001100110010 +010001000011 +010101010100 +010101100101 +011001100101 +011001100110 +011001100110 +011001110111 +011110001001 +100010011010 +100110011010 +100010011010 +100010011010 +100010001001 +011101110111 +011001100111 +010101100101 +010001000100 +010001000100 +010001010101 +010101100111 +011001111000 +011110001000 +011110001001 +011001111000 +010001010101 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001000100001 +001100100001 +001100100010 +010101000011 +011001010100 +011001100101 +011001100101 +010001000011 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010110 +010001010111 +001000110100 +000000010001 +000000010000 +000100100010 +001101000101 +011001110111 +011001111000 +010101100110 +001000110011 +001000100011 +001000100011 +001000100011 +001000110011 +001101000100 +001101000100 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +001000110100 +001000110100 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000100010000 +001000100010 +010001010101 +010101100110 +011001111000 +011010001001 +010101111001 +010001010111 +010001010110 +010001010110 +010101100110 +010101100111 +010101100111 +010101100110 +011001111000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010001001 +100010011001 +011110001001 +011110001001 +011110001001 +011110001001 +100010011001 +100010011001 +011110001001 +010101100111 +001101000101 +010101100110 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100110011010 +100110101011 +101010111011 +101010111100 +101010101011 +100110011010 +011110001001 +011001101000 +010001010110 +010001010101 +010001010101 +010001010101 +010101010101 +010101010101 +010101010110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011101110111 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +011101111000 +011101110111 +011101110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001110111 +011101110111 +011101110111 +011001110111 +011001110111 +011001100111 +011001110111 +011110001000 +100010011001 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011001111000 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100110011010 +100110011010 +100110011010 +011001100110 +001100110100 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +100010001000 +100110101010 +011101110111 +011101110111 +011101110111 +011001100110 +011101110111 +011001100110 +100010001000 +100110011001 +100110011001 +100001111000 +011101110111 +011001100110 +010101000100 +010001000100 +010001000100 +010101010100 +010101010011 +010001010010 +010001100011 +001101110110 +001101110111 +010010001001 +010010011011 +011010011011 +010001000100 +001100110010 +010001000011 +011001010101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001110101 +100001110110 +100010000111 +100110011000 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +101010101010 +100110101010 +100110101010 +100110011001 +100110001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +010101010101 +010001000100 +010001000100 +010101100110 +011101111000 +011110001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +011101110110 +011001100101 +010101010101 +010101010101 +010001000011 +010000110010 +010000110010 +001100110010 +001000100001 +001000100001 +001100110010 +001101000011 +010001000011 +010001010100 +010101010100 +010101100101 +011001100101 +011001100110 +011001100110 +011101110111 +011110001001 +100010011010 +100010011001 +011110001001 +011110001000 +011101111000 +011101110111 +011001110111 +011001100110 +010101100110 +010101100101 +011001100110 +011110001000 +011110011001 +100010011010 +100010011010 +011110001000 +010001010101 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +001000100001 +001100100010 +001100100010 +010001000011 +011001010100 +011001100101 +011001100101 +010001000011 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010110 +010101101000 +010101100111 +001100110100 +000100010001 +000100100010 +010001000101 +011001111000 +011110001001 +010101010110 +000100100001 +000000000000 +000000000000 +000000000000 +000100010010 +001000110100 +001000110100 +000000010001 +000000000000 +000000000000 +000000000000 +000100100010 +001000110100 +001101000100 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +001000100010 +010001010101 +010101100111 +011001111000 +011010001001 +010101111001 +010001010111 +010001010110 +010101100110 +010101100110 +011001110111 +011001100111 +010101100111 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +100010011001 +100010011001 +100010011001 +011110001001 +010101100111 +001101000101 +010101100110 +011110001001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +100010001001 +100110011010 +101010101011 +101010111100 +101010111100 +101010111100 +101010111100 +101010101100 +100110011011 +011110001001 +011001110111 +010101100110 +010101100110 +010101100110 +011001100110 +011101110111 +011101110111 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100110011001 +101010101010 +101010101010 +101010101010 +101010101010 +100110101010 +100110011001 +100010001001 +011110001000 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011101110111 +011101110111 +011001110111 +011001110111 +011001110111 +011110001000 +100010011001 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011101111000 +011101111000 +100010011001 +100110011010 +100010011010 +100010011010 +100010011001 +100010011001 +011110001000 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +001101000100 +100010001000 +100110011001 +011001100110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +100110011001 +100110011001 +011101110111 +010001000011 +010101000001 +011101010010 +011101100010 +010101100010 +010001100101 +001101110110 +010010001000 +011010011011 +011110011010 +001100110010 +010000110011 +011001010101 +011101110110 +011101110110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +100010000111 +100010001000 +100110011001 +100110011001 +100110011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +100110011010 +100110001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101110111 +011001100110 +010101100101 +010101010101 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001000 +011101100110 +011001100101 +010101010101 +010101010101 +010001000011 +001100110010 +001100110010 +001100110010 +001100110011 +010001010100 +010101100110 +011001110111 +011001100111 +011001100110 +011001100101 +011001100101 +010101100101 +011001100101 +011001100110 +011001110111 +011101111000 +011110001000 +011001110111 +010101100110 +010101010110 +011001100110 +011101110110 +011001110110 +011001110111 +011001110111 +011001110111 +011001111000 +011110001001 +100010011010 +100010011010 +100010011001 +011001111000 +010001000100 +001000100001 +001000010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100100010 +001100110011 +001100110011 +010000110011 +010101000011 +011001010101 +011001100101 +011001100101 +001101000011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010101 +011001111000 +011001111000 +011001110111 +010001010101 +001000110011 +010001000101 +011001111000 +011110001001 +010001010110 +000100010001 +000000000000 +000000000000 +000000000000 +000100010001 +001100110100 +001101000101 +001000110100 +001000110011 +001000110100 +001000110011 +001000110100 +001101000101 +001101000101 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010001 +000100100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000110010 +010001010101 +010101100110 +011001111000 +011001111001 +010101111000 +001101010110 +001101010101 +010101100110 +011001110111 +011001110111 +011001110111 +010101100111 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +010101100111 +001101000101 +010101100110 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011010 +100110101010 +100110101010 +100110101011 +101010101011 +101010101011 +101010101011 +100110101011 +100110011010 +100010001001 +100010001001 +100010001001 +100010001000 +100110001000 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +100110011010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011010 +100110011001 +100010011001 +100010001000 +011101111000 +011001110111 +011001110111 +011001100111 +011001110111 +011001110111 +011001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100110011010 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011110001000 +100010011001 +100010011010 +100010011001 +011110001001 +011101111000 +011101111000 +010101100110 +001101000101 +010001010110 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +100110011001 +011001100110 +010001000100 +010101010101 +010101010101 +011101110111 +100010001000 +100110011001 +100110011001 +100010001000 +100010001000 +011101111000 +011001100110 +011001100110 +011101110111 +011001100100 +011001000010 +100001010010 +100101100010 +100101100010 +011001010010 +010001100010 +010001110100 +010010001000 +011010101100 +010101110111 +010000110010 +011001100101 +011101110111 +011101110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100010000111 +100010001000 +100010011001 +100110011001 +100110011010 +100110011010 +100110011010 +100110011001 +100110011001 +100110011001 +100110011001 +100010001000 +100001110110 +011101100101 +011101100101 +011101100110 +011101100101 +100001110101 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011101111000 +100010011001 +100010011010 +100010011010 +100010011001 +011110001001 +011110001000 +011110001000 +100001110111 +011101110110 +011001100110 +011001100110 +010101100101 +010001010100 +010001000011 +010001000011 +010101010101 +011001100111 +011101111000 +011110001001 +011110001001 +011110001000 +011001110111 +011001110111 +011001100110 +010101010101 +010101010100 +010101010100 +010101010101 +010101010110 +010001010101 +001101000100 +001101000100 +010101010101 +011001110110 +011101110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101111000 +011110001001 +100010011010 +011110001001 +011001111000 +010101010110 +001100110010 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000100100001 +001100110011 +001100110011 +010000110011 +010101000100 +011001010101 +011001100101 +010101010101 +001101000011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010101100110 +011110001000 +011110001001 +011101111000 +010101100110 +010101010110 +011110001001 +011110001001 +010001010110 +000000010001 +000000000000 +000000000000 +000000000000 +000100100010 +010001000110 +010101101000 +010001010111 +010001010110 +001101010110 +001101010110 +010001010110 +010001010110 +001101000101 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000000010000 +000000010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001101000011 +010001010101 +010101100110 +011001111000 +011001111000 +010101101000 +001101010110 +001101000101 +010101100110 +011001110111 +011001110111 +011001110111 +011001100111 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +011110001000 +010101100111 +010001010101 +010101100110 +011110001000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +100010011001 +100110011001 +100010011001 +100010011001 +100110011001 +100110011010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101110111011 +101010101010 +100110011001 +100010001001 +100010001000 +011101110111 +011101110110 +011001100110 +011001100101 +011001100110 +011101110110 +011101110111 +100010001000 +100110011001 +100110011001 +100010011001 +100010001001 +011110001000 +011101111000 +011101111000 +011001111000 +011101111000 +100010001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +100010001000 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011110001000 +100010011001 +100010011010 +100010011001 +011110001000 +011001100111 +011001100111 +010101010110 +010001000101 +010001000101 +001101000101 +010001000101 +010001000101 +010001010101 +001101000101 +010001000101 +010001000101 +011001100111 +100110011001 +010001000100 +001100110011 +010001000011 +010001000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +011101110111 +011101110110 +010001000011 +010000110001 +011101010001 +100001010001 +100101100001 +101001100010 +100101100010 +011101010010 +010101010010 +010001110101 +010110011010 +011110101011 +010101010101 +011001100101 +011101110111 +100001110111 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011001110110 +011001100110 +011101111000 +011110001001 +100010001001 +011110001001 +011001111000 +011001110110 +010101100110 +011001100110 +011101110110 +011101110110 +011001110110 +011001100110 +011001100110 +010101100101 +010101010101 +010101010101 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +011110001001 +011101111000 +011001110111 +010101100110 +010001010100 +010000110011 +010001000011 +001101000100 +001101000100 +001101000100 +010001010101 +010101100110 +011001110111 +011101111000 +011101110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001110111 +011001111000 +011001111000 +011001100111 +010001010101 +001100110011 +000100010001 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000100010001 +001000100010 +001100110010 +010000110011 +010101000100 +011001100101 +011001100101 +010101010100 +001101000011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001001000100 +010001010101 +010101100110 +011110001000 +100010001001 +011110001000 +011101111000 +011110001001 +011110001001 +010001010110 +000000010001 +000000000000 +000000000000 +000000000000 +000100100011 +010001010110 +011001111000 +010101100111 +010001010110 +001101000101 +001101000101 +001101000110 +001101000110 +001001000100 +000100100010 +000000010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000000010001 +000100100010 +001000100010 +000100100010 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +001000100010 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010101100110 +011001111000 +011001111000 +010101101000 +001101010110 +001101010101 +010101100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001001 +100010001001 +100010001001 +100110001000 +100110011001 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101110111011 +101010101010 +101010101010 +100010001000 +011101110111 +011001100110 +010101100110 +010101010101 +010001000100 +010000110011 +010000110011 +010001000011 +010001000100 +010101010101 +011001100110 +011101110111 +011110001000 +100010001000 +100010001001 +100010001001 +100010011001 +100110011001 +100110011010 +100110011010 +100110101010 +100110011010 +100010011010 +100010001001 +011110001001 +011110001000 +011101111000 +011001110111 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011110001000 +100010011001 +100010011001 +100010001001 +011101111000 +011001110111 +011001100110 +001101000101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +010001000101 +001101000101 +001101000100 +010001000100 +010101010110 +100010001000 +100010001000 +100001110111 +010101010101 +010001000011 +010001010100 +011101110110 +100010001000 +100110011001 +100110011001 +100010001000 +100001111000 +100010001000 +100001110110 +011001000010 +011101010001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +011101100010 +010101100101 +010010001001 +010110011011 +011010011001 +011001100101 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +011101110111 +011001110111 +011110001000 +100010001001 +100010011001 +100010001000 +011101111000 +011101110111 +011101111000 +100001110111 +011101110110 +011101100110 +011101100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011001111000 +011001110111 +010101100110 +010001010100 +001100110010 +001100110010 +010101010100 +011101110110 +011101110110 +011101110110 +011001100110 +011001100110 +011001100110 +010101100110 +010101100110 +011110001000 +100010011010 +100010011010 +100010011010 +011110011001 +011110001000 +011001111000 +011001110111 +010101100110 +010101010101 +010001000100 +001101000011 +010001000100 +010101010110 +011001110111 +011101111000 +011110001001 +100010011001 +011110001001 +011101110111 +011001100110 +010101010101 +010001000100 +010001000100 +010101010101 +010101010101 +010001010101 +001101000100 +001101000011 +001100110010 +001000100001 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010001 +001000010001 +001000100001 +001100100010 +010101000011 +011001010101 +011001100101 +010101010100 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +001101010100 +010001010101 +010101100110 +011110001000 +100010001001 +100010001001 +100010011010 +011110001001 +010101100110 +001000110011 +001000110011 +001000110011 +001000110011 +001101000101 +010101100111 +011001111000 +010001100111 +001101000100 +001000110011 +001000110011 +001000110011 +001000110100 +001000110100 +000100100010 +000000010001 +000000010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000000000 +000100010001 +000100100010 +000100100010 +000000000000 +000000010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001000110011 +010101010101 +011001100110 +011001100110 +010101100110 +010101100110 +010101100110 +010101010110 +010101010110 +010001010101 +010101100110 +011001100111 +011001111000 +011001111001 +010101111000 +010001010111 +001101010110 +010101100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011001111000 +011001111000 +011110001000 +011110001001 +011110001001 +011110001000 +011101111000 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100111 +011001110111 +011001110111 +011101110111 +100010001001 +100110011010 +100110011010 +100110011001 +100110011001 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +100110011001 +011110001000 +011001100111 +011001100111 +011001110111 +011001111000 +011001100111 +010101010101 +010001000100 +010000110100 +001100110011 +001100110011 +010000110011 +010001000100 +010101010101 +011001100110 +011101110111 +100010001000 +100010001001 +101010101010 +101110111011 +101110111011 +110011001011 +110011001100 +110011001100 +101111001011 +100110101001 +011110001000 +011001110111 +011001111000 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +011110001000 +011110001000 +100010001001 +100010001001 +011110001000 +011101111000 +011101111000 +010101010110 +001101000100 +001101000101 +010001000101 +001101000100 +010001000101 +010001000101 +010001000101 +001101000100 +001100110100 +001100110011 +010001000011 +011001010101 +100010001000 +100110011001 +101010101010 +100110011001 +011101110111 +010101010101 +010001000100 +010001000011 +001100110011 +001100110010 +001100110011 +001100110010 +001100110001 +010000110001 +010101000001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100001 +100001100010 +010101110101 +010010001001 +010010001010 +011110101011 +011001110111 +011101110111 +011101110110 +011101110110 +011101100101 +011101110101 +011101110101 +011101110101 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +011101110111 +011001100101 +010101010100 +011001110111 +100010001000 +100010011001 +100010001000 +011001100110 +010101010100 +011001100110 +011101110111 +100001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001110110 +011101110111 +011101110110 +011001100110 +011001100110 +010101100101 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +001101000011 +001000100001 +001000100001 +001000100001 +001100110011 +011001100101 +011101110110 +011101110110 +011101110110 +011001100110 +011001100110 +011001100110 +010101100110 +011001100111 +011110001000 +100010011001 +100010011001 +011110001001 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101100110 +010101010101 +010001010100 +010101100110 +011001111000 +011110001001 +100010011010 +100010011010 +100010011010 +011110001000 +011001110111 +010101100101 +010001010100 +001100110010 +001000100001 +001100100010 +001100110011 +001101000100 +010001010101 +010101100110 +010001000101 +001000100010 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010001 +001000100010 +001100100010 +001100100010 +010001000011 +011001010101 +011001100101 +010101010100 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101110110 +011101111000 +011110001001 +011110001001 +011001111000 +010101010110 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010101100111 +010101101000 +010001010110 +001000110100 +000100100011 +001000100011 +001000100011 +001000110011 +001000110011 +000100100010 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +000100100010 +000000010001 +000000000000 +000000000000 +000000010001 +000100010001 +000000000000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000000010000 +000000010000 +000000000000 +001000110011 +010101010110 +011001100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +011001100111 +011001111000 +011001111000 +010101111000 +010001010111 +001101000101 +010001010110 +010101100110 +011001110111 +011001110111 +011001100111 +011001111000 +100010001001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011001110111 +010101010101 +010001000100 +010001010101 +010101010110 +010001000100 +001100110011 +010001000100 +010001010101 +010101010110 +010101100111 +011110001001 +100110011011 +100110101011 +100010011001 +100010001000 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +101010101010 +100110011001 +011110001000 +011001110111 +011001110111 +011110001001 +011110001001 +011110001001 +011001111000 +011001100111 +010101010110 +010101010110 +010001000100 +010000110011 +001100110011 +001100110011 +010001000100 +010101010101 +100110011000 +110111001100 +111011011100 +110111011011 +110011001010 +101110111001 +101110111001 +110011001001 +110111001010 +110111011011 +111011011100 +110011001011 +100110101010 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +011110001001 +011001111000 +011001111000 +011001110111 +011001110111 +011001100111 +011001110111 +010001000100 +001100110100 +001101000100 +010001000100 +001101000100 +010001000100 +001100110100 +010001000100 +001100110011 +001000100010 +001100110010 +001000010000 +001100100010 +011101100110 +100110011001 +101010101010 +101010101010 +101010101011 +101010101011 +101010101010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011010 +011101110111 +001000100001 +001100100001 +011101010010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +011001100100 +010001110111 +010010011010 +010010001010 +011110011001 +011101110110 +011101110110 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110111 +011101110111 +011001100110 +011001100101 +011101110111 +100010011001 +100110011001 +100010001000 +010101010101 +010001000011 +011001100101 +011101110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011001110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +010101010100 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110011 +010001010101 +011001100110 +011101110111 +011110000111 +011101110111 +011001110110 +011001100110 +011001100110 +011001100110 +010101100110 +010101100110 +011001110111 +011001111000 +011001110111 +010101100110 +010001000100 +010001000100 +010101010101 +011001110111 +011001110111 +011001100110 +011001100110 +010101100101 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +011110001000 +011001110111 +010101100110 +010101010101 +001100110011 +001100100010 +001100110011 +010001010101 +010101100110 +011001111000 +011001111000 +010101100111 +001100110011 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000000010000 +000000010001 +001000100010 +001100110011 +001100110010 +001100100010 +010001000011 +011001010100 +011001100101 +010101010100 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010001010101 +010001010101 +010001100101 +010101100110 +010101100110 +010101100110 +010001010110 +001101000101 +001101000101 +001101010101 +001101010101 +001101010101 +010001010101 +010001010110 +010001010110 +001101000101 +001000110011 +001000100010 +001000100010 +001000100011 +001000110011 +000100100010 +000100010001 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000000010001 +000000000000 +000000000000 +000000010001 +000100010001 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000000010000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001000110011 +010001010110 +010101100111 +010101100110 +010101100110 +010101100111 +011001100111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001110111 +011001111000 +010101111000 +010001010111 +001101000101 +010001010110 +010101100110 +011001110111 +011001110111 +011001100111 +011001110111 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011001110111 +010101010101 +001100110010 +001100110011 +010101100111 +011101111001 +011001100111 +001100110011 +001100110011 +001100110100 +001101000101 +010001010110 +011001111000 +100010011010 +100010011010 +011110001000 +011001100110 +011001100110 +011101110111 +011101110111 +100010001000 +100010001000 +100110011001 +100110011001 +100110011001 +100110011001 +101010011010 +101010011010 +100110011001 +100010001001 +011101111000 +011101111000 +011110001001 +100010011010 +100010011010 +100010011010 +011110001001 +011101111000 +011001100111 +010101010110 +010001010101 +010001000100 +010001000100 +010101010101 +101010101000 +110011001010 +101010101000 +100010010110 +011110000101 +011001110100 +011110000101 +011001110100 +011101110101 +011110000101 +100010000101 +100110010110 +101110111000 +111011011011 +110011001011 +101010101010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011010 +100110011010 +100010011010 +011110001001 +011001110111 +010101100110 +010001010101 +010101010110 +010101100110 +011001100110 +010001000100 +001100110011 +010001000100 +010000110100 +001100110011 +001100110011 +001100110011 +001100100010 +001000010001 +001000010001 +001000010001 +000100010000 +001000100010 +010001000100 +011001100110 +100110011010 +101010101010 +101010101010 +101010101010 +101010101010 +100110101010 +101010101010 +100110011010 +100110011010 +100110101010 +101010101010 +011001100101 +000100010000 +010100110001 +100001100010 +100101100010 +100101100010 +100101100010 +101001100001 +100001100010 +011101110100 +011001110100 +010110001001 +011010011011 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110101 +100001100101 +100001110110 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100110011001 +100010001001 +011101110111 +011001100110 +011101110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +010101010101 +001100100010 +001000010000 +001000100001 +001100100001 +001100110011 +010001000100 +010101100110 +011001110111 +011110001000 +011110001001 +100010001001 +011110001000 +011101110111 +011001110110 +010101100110 +010101010101 +010101010100 +010101010100 +010101010101 +010101010101 +010001010101 +001101000011 +001100110010 +001100110010 +010001000100 +010101100101 +011001100111 +011001100111 +011001110111 +011001100110 +011001100110 +011101110111 +011110001001 +100010011001 +100010011001 +011110001001 +011110001000 +011101111000 +011001110111 +011001100110 +010101100110 +010001010101 +010001000100 +010101010101 +011001110111 +011110001001 +011110001001 +011110001001 +010101100111 +001000110011 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010010 +000100010010 +000000010001 +000000010001 +001000100010 +001100110011 +010000110011 +010000110011 +010101000011 +011001010101 +011001100101 +010101010100 +001100110011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010101 +001101000100 +001000110011 +000100110010 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +000100100010 +001000110011 +001000110011 +000100100010 +000100100011 +000100100011 +000100100010 +000000000000 +000000000000 +000000010001 +000100010001 +000100010000 +000100100000 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001000100010 +010001010110 +010101100111 +010101100110 +010101100110 +010101100111 +011001100111 +011001110111 +011001110111 +011001100111 +011001100111 +010101100111 +011001110111 +011001111001 +010101111000 +010001010111 +001101000101 +010001010110 +010101100111 +011001110111 +011001110111 +010101100111 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +011001111000 +011001111000 +011001111000 +010101100111 +010001010110 +001100110100 +001000100010 +010001000100 +011110001001 +100010011011 +100010001001 +010101010110 +001100110100 +001100110100 +010001000101 +010101010110 +011001100111 +011001111000 +011101111000 +011001100110 +010001000100 +010101000100 +010101100110 +011001100111 +011101111000 +100010001000 +100010011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110001001 +100110001000 +100001111000 +011101111000 +100001111000 +100010001001 +100010011001 +100010011010 +100010011010 +100010001001 +011110001000 +011001111000 +011001100111 +010101100110 +010101010101 +011101110111 +101010101000 +100110010110 +011101110100 +011001110011 +010101110011 +010101100011 +010101100011 +010101100010 +010001100010 +010101100011 +010101110011 +010101100010 +010101100010 +011001100011 +100010000101 +110011001001 +101110111000 +101110111010 +100110101010 +100010001000 +011101110111 +011001110111 +011001100111 +011001110111 +011001110111 +011101111000 +100010001001 +100010011001 +100010011010 +100010011010 +100010011001 +011110001001 +011001110111 +010101100110 +001101000100 +010001010110 +010101100110 +011001100110 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001000100001 +001000010000 +001000100001 +001000010001 +001000100001 +001100110010 +001000100010 +001000100010 +010101010101 +100110011001 +100110011010 +100110011010 +101010101010 +101010101010 +101010101010 +100110101010 +100110011001 +100110011010 +101010101010 +100110011001 +001100110010 +001000010000 +010100110001 +100001100001 +100101100010 +100101100010 +101001100010 +101001100010 +100101110010 +100001110010 +010110000110 +010110011010 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +100001110111 +100010001000 +100110011001 +100110011001 +100110011001 +100110011010 +100110011010 +100110011001 +100010001001 +100010001001 +100010001001 +100010001000 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011001100111 +010101100110 +010001000011 +001100110010 +001100110010 +010001000100 +011001100111 +011001111000 +011110001001 +011110011001 +100010011010 +100010011010 +100010001001 +011110001000 +011101110110 +011001100110 +010101100110 +010001010100 +001100110011 +001100110010 +010001000011 +001101000011 +001000110010 +001100110010 +010001000100 +010101100101 +011001110111 +011001110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011110001000 +011110001000 +011001111000 +010101100111 +011001100110 +011001100110 +011001110110 +011001100111 +011001100111 +010101100110 +010101100110 +011001100111 +011110001001 +011110001001 +011110001001 +011001111000 +010101100110 +001000100010 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000100010000 +000100010001 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +001000100010 +001100110011 +001100110011 +010000110011 +010101000100 +011001010101 +011001100101 +010101010100 +001100110011 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001101000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +000100110011 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001000110100 +000100100010 +000000010001 +000000010001 +000100010010 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100000 +000100010000 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100000 +000100010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000000010001 +000000010001 +001000110011 +010001010110 +010101100111 +010101100111 +010101100111 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001110111 +011001111001 +010101111001 +010001010111 +001101000101 +010001010110 +010101100111 +011001110111 +011001110111 +010101100111 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +011110001000 +011110001000 +011001111000 +011001110111 +011001110111 +010101100111 +010101100110 +010101100110 +010001010110 +010001010110 +001101000100 +001000110011 +001000110011 +010001010110 +011110001010 +100010011011 +100010001010 +011001100111 +010001000100 +001100110100 +010001000101 +010101010110 +010101010110 +010101010110 +011001010110 +011001010110 +011001100110 +011101110111 +011110001000 +100010001001 +100010011001 +100110011010 +100110101010 +100110101010 +100110011010 +100110011010 +100110011001 +100110001001 +100001111000 +100001110111 +011101100111 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +011001111000 +011101110111 +100110010111 +011101110100 +011001110011 +010101100011 +010001010010 +010001010010 +010001010001 +010001010001 +010001010010 +010001010010 +010001010010 +010001010001 +010001010001 +010001010010 +010101010010 +010101100010 +011001110011 +101110100101 +101010100110 +110011001010 +101111001011 +011001110111 +010001010101 +010001010101 +010001010101 +010001010101 +010101100110 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001001 +011001111000 +010101100110 +001101000101 +010101010110 +010101100110 +011001100110 +010001000011 +001100110010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000010001 +001100100001 +001000100001 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +011101110111 +100110101010 +100110011001 +100110011001 +101010101010 +100110011010 +100110101010 +100110011010 +101010101010 +100110101010 +100110011001 +010001000011 +001000010001 +001000010000 +011001000001 +100101100010 +101001100010 +101001100010 +101001110010 +101001110010 +100001110010 +011010000110 +010110011010 +011110011001 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110111 +100010001000 +100010011001 +100010011001 +100110011001 +100110011010 +100110011010 +100110011001 +100010011001 +100110011001 +100110011001 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001110111 +011001100111 +010101100110 +010001000100 +010001000100 +011001100111 +011110001001 +100010011010 +100010011001 +100010011010 +100010011010 +100010011001 +100010001001 +011101110111 +011101110110 +011001100110 +010101100110 +010001010100 +001100110011 +001100110010 +001100110011 +010001000100 +010001010101 +010101100110 +011001111000 +011110001000 +100010001001 +011110001000 +011001110110 +010101010101 +010101010100 +010101010100 +010101010100 +010101100101 +010101100110 +010101100110 +010001010101 +010001000100 +010101010101 +011001100110 +011001110111 +010101110111 +011001100111 +011001100110 +010101100110 +011001110111 +011110001000 +011110001001 +011001111000 +010101100111 +010001000101 +001000100010 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000100010000 +001000100001 +001000100010 +001100110010 +010101000100 +011001010101 +011001100101 +010001010100 +001000110011 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001001000011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001101000011 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000000010001 +000000010001 +000100100001 +000100100001 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100010 +000100100011 +000100100010 +000100100010 +001000110011 +001101000100 +001101000101 +001100110100 +001000110011 +000100100010 +000100010010 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100010 +001000110011 +001000110011 +001000100010 +000100100010 +001000100010 +001000110011 +001101000100 +001101000101 +010001010110 +010001100111 +010101100111 +010101100111 +010101100111 +010101100111 +011001100111 +011001110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001110111 +011001111000 +010101111000 +010001010111 +001101000101 +001101000100 +010001010101 +010101100110 +010101100110 +010101100110 +010101100111 +011110001000 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001000 +011101111000 +011001110111 +011001110111 +010101100111 +010101100110 +010101100110 +010001010110 +010001010110 +010001010101 +010001000101 +010001000101 +001101000101 +001101000100 +001100110100 +001101000101 +010101010111 +011001111001 +011001111001 +011001111000 +010101010110 +001100110011 +001100110011 +010000110100 +010001000100 +010001000101 +010101000101 +011001010110 +011101110111 +100010001001 +100010011001 +100110011010 +100110101010 +100110101011 +100110101011 +100110101011 +100110101010 +100110101010 +100110011010 +100110011001 +100010001001 +100001111000 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011101111000 +011110001000 +011110001000 +011110000111 +011001100011 +010101100010 +010101010010 +010001010010 +001101000001 +001101000001 +001101000001 +001101000001 +001101000001 +001100110001 +001100110001 +001101000001 +001101000001 +010001000001 +010001010010 +010001010010 +010001000010 +011101100011 +100010000011 +011001110101 +011110011000 +100010101001 +011001100110 +010001010101 +010001010101 +010001000101 +010001010101 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010101100110 +010101100111 +011001100111 +010001000011 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001100100010 +001100100010 +001100100010 +001000100010 +001100100010 +001000100001 +010001000100 +100110011001 +100110101010 +100110011001 +100110011010 +100110011001 +101010101010 +101010101010 +101010101010 +101010101010 +100110011001 +001100110010 +001000010001 +001000010001 +010000110001 +100001100010 +100101100010 +101001100010 +101001100010 +101101110010 +100101110010 +011001110110 +010110001001 +011110101011 +011110000111 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101110111 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011001100111 +011001110111 +011001110111 +011001110111 +010101100110 +010101100110 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001000 +011101110111 +011101110110 +011001100110 +010101100110 +010101100101 +010101010100 +010001000100 +010001010101 +011001100111 +011010001000 +011110001001 +100010011010 +100010011010 +100010011010 +011110001000 +011001100110 +010101010100 +010001000011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001101000100 +010001010101 +011001100111 +011001110111 +011001110111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +011001100111 +010101100111 +010001010110 +010001000101 +001000110011 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001100110010 +001100110011 +001000100010 +001000100010 +001100110011 +010000110011 +010101000100 +010101010101 +010101010101 +010001000100 +001000110010 +000100100010 +000100100011 +001000110011 +001000110011 +001000110011 +001001000011 +001101010100 +010001010101 +010001100101 +010001100101 +010001100101 +010001010100 +001101000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000100001 +000100100010 +000100100010 +000100100001 +000100010010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010010 +000100010010 +000000010001 +000000010001 +000100100010 +000100100010 +001000110011 +001101000100 +001101000100 +001101000100 +001000110011 +000100100010 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000110001 +001100110010 +010001010100 +010101100101 +010101100110 +011001110111 +011001111000 +011001111000 +010101100111 +010101100110 +010101100110 +010101100111 +011001100111 +011001111000 +011001111000 +011001111000 +010101111000 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +011001100111 +010101100111 +010101100110 +010101100111 +010101100111 +010001100111 +001101000101 +000100100011 +000100100010 +001000110011 +001000110100 +001100110100 +001101000100 +010001010101 +011001100111 +011110001000 +011110001000 +011110001000 +011001111000 +011001110111 +010101100111 +010101100110 +010001010110 +010001010110 +010001010101 +001101010101 +001101000101 +001101000110 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +010001010110 +011001111001 +011110001001 +011001111000 +010001000101 +001100110011 +001100100010 +001100100010 +001100100011 +010000110011 +010000110100 +010001000101 +011001010110 +011101111000 +100010001001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010001001 +011110001000 +011101111000 +011101111000 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011110001000 +011110001001 +100010001001 +100010001000 +011001100101 +010101010011 +010001000010 +010001000001 +001101000001 +001100110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001100110001 +001100110001 +001100110001 +010001000001 +010001000011 +011101110111 +100010001000 +100001110101 +010001010100 +010001100101 +011110000111 +101110111010 +011101110110 +010001010101 +010001010101 +010101100110 +011001111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010101100110 +010101100110 +011001100111 +010001000100 +001100100010 +001100110010 +001100100010 +001000100001 +001100100010 +001100100010 +001100100001 +000100010000 +000100010000 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +011101110111 +100110011001 +100110011001 +100110101010 +100110011001 +100110011010 +100110011010 +100110011001 +100110011010 +100010001000 +001000100010 +001000010001 +001000010000 +001100100000 +011101010010 +100101100010 +101001100010 +101001110010 +101101110010 +101001110010 +011110000101 +010110011001 +011010101100 +100010011001 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110111 +011110001000 +011110001000 +100010001001 +100010001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100001111000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101111000 +011101110111 +011101110111 +011001100110 +011001100110 +011001110111 +011001110111 +011001100111 +011001100110 +011001111000 +011110011001 +100010011001 +100010001001 +011110001001 +011110001000 +011101111000 +011101110111 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011101111000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +011101111000 +010101100110 +010001010100 +001100110010 +001000100001 +001000100001 +001000100001 +001100110011 +010001000100 +010101100110 +011001110111 +011110001000 +011101111000 +011001110111 +010101100110 +010001010101 +010001000101 +010001000101 +010001010101 +010001010101 +001101000101 +001101000101 +001101000101 +001100110011 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010000 +000100000000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +001100100001 +010100110001 +011101010010 +100001110011 +100110000101 +100010000110 +100010011000 +100010011001 +100110101010 +100110101010 +100110101011 +100110101011 +100110111100 +100010101011 +100010011001 +011110001000 +011001110111 +010001010101 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001001000011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001001000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010001 +000100100001 +000100010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +001000110011 +001000110011 +001100110100 +001000110011 +000100100010 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +001000100001 +001000110010 +001101000011 +010001000100 +010001010101 +010101010101 +010101100110 +010101100110 +011001100110 +011101111000 +100010001001 +100010001001 +100010011010 +100010011010 +100010011010 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011010001001 +011001111000 +010101111000 +010101101000 +010101100111 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010001010110 +001101000100 +000100100011 +000100010010 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +001000100011 +001101000101 +010101100110 +010101100110 +010101100110 +010001010101 +010001000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101010110 +001101000101 +001101000101 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010001010111 +011001111001 +011110001010 +010101101000 +001101000101 +001100100011 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +010000110011 +010101000101 +011001100110 +011001110111 +011110001000 +011110001001 +100010001001 +011110001001 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +011001100111 +011001110111 +011001110111 +011101111000 +011101111000 +011110001000 +011101111000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +100110101010 +011101110111 +010001000011 +001100110001 +001100110001 +001000100001 +001000100001 +001000100000 +001000100000 +001000100001 +001000100001 +001000100001 +001100110010 +001100100001 +001100110001 +010001000010 +010001000100 +011001010110 +011001100110 +011101100101 +001100110001 +001101000001 +010101100100 +101110111001 +101110111010 +010101010101 +010001010101 +010101100110 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010101010110 +010101100110 +011001100110 +010101100101 +001100100010 +001000100010 +001100100010 +001000100001 +001100100001 +010100110011 +010000100010 +000100000000 +000100010000 +010000110010 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000010001 +010001000100 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100110011001 +010101010100 +001000010001 +001000010001 +001000100001 +001100100001 +011101000001 +100101010010 +100101100010 +101001100010 +101001110010 +101001110010 +100010000101 +010110011010 +010010011010 +011010001001 +011101110110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011001110111 +011101111000 +011101110111 +011101110111 +011001100110 +011001100110 +011001110110 +011001110111 +011001100111 +011001110111 +011001111000 +011110001000 +011110001000 +011001110111 +011001100110 +010101100110 +011001100110 +011101110110 +100001110110 +011101110110 +011001100110 +011001100110 +011001100110 +011101110110 +100001110110 +100010000111 +100110101000 +101010101001 +101010101010 +101010101001 +100110011001 +100010001000 +011001110111 +010101100101 +010101010100 +001101000100 +001000110010 +001000100010 +001101000011 +010101100101 +011001110111 +011010001000 +011110001001 +011110001001 +011110001000 +011001110111 +010101100110 +010001000101 +001100110100 +001101000100 +001101000100 +001101000101 +010001010101 +010101100111 +010101100111 +010001010101 +001000100010 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +001000010001 +011001000001 +100101110011 +101110010100 +110010100101 +110010100101 +101010010101 +100010000110 +011110010111 +011010011000 +010110001001 +010110001010 +010110011010 +010110011011 +010110011011 +010110101100 +010110101100 +011010101100 +011010101101 +011110111101 +011110111101 +011110101100 +011110011010 +011001111000 +001000110011 +001000110011 +001000110011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001001000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010001 +000100100010 +000100010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000100100010 +000100100010 +000000010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +001000110011 +001101000100 +010001010101 +010101100110 +011001110111 +011001111000 +011101111000 +011110001001 +011110001001 +100010001001 +100010001001 +100010001010 +100010011010 +100110011010 +100110101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110011011 +100110011010 +100010011010 +100010011010 +100010011010 +011110001010 +011110001001 +011110001001 +011010001001 +011001111001 +010101111000 +010101100111 +010001010110 +010001010110 +010001010110 +010101100110 +010101100111 +010101100111 +010001010110 +001101000101 +001000110100 +001000110100 +001000110100 +001000110100 +001000110100 +001001000101 +001101000101 +001101000101 +001101000101 +010001010110 +010001010110 +001101000101 +001101000100 +001100110100 +001100110100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000100 +001100110100 +001100100011 +001100100011 +001100100011 +001100100011 +001100100011 +001101000101 +010101101000 +011001111001 +010101101000 +010101100111 +010101010110 +010101010101 +010001000100 +010000110100 +010000110011 +010000110100 +010101000101 +010101010101 +010101010101 +010101010101 +010101100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +011101111000 +010101000101 +011001010101 +010101010100 +011001100101 +100010001000 +011101110111 +010101010101 +001100110011 +001100110011 +011001100110 +011101110111 +100010001000 +011101100110 +010101010100 +010101010100 +010101010100 +010101000100 +001100110011 +010001000010 +011101010001 +011001000001 +010101010010 +100010000111 +110011001011 +011001100110 +010001000101 +010101100110 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010001010110 +010101010110 +010101100110 +011001110111 +001100110011 +001000100010 +001100100010 +001100100001 +001100100001 +010100100010 +010000100010 +001000100001 +001100110010 +001100110010 +001000010001 +001000010000 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +011101110111 +100010001001 +100010001000 +100010001000 +100010001000 +100110011001 +100110011001 +010101010101 +001000010001 +001000100001 +001000010001 +001000100001 +001100100001 +011101010010 +100101010010 +100101100010 +101001100010 +101001110010 +101001110010 +100101110010 +011010000111 +010010001010 +010110001001 +011101110110 +011101100101 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110111 +011101110111 +011001110111 +010101100110 +011001111000 +011110001001 +100010001001 +011110001000 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100110 +011001110110 +011001110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +010101100110 +010101100110 +010101100110 +011001100110 +011001100111 +010101100110 +010101010101 +010001000100 +001101000011 +010001000011 +010101100101 +011101110110 +100001110111 +100010000111 +100110001000 +101010101001 +101110111001 +110111001001 +110111001000 +110010110110 +110010110101 +110110110101 +110110110110 +110110110101 +110110110101 +110010110101 +101010010110 +100001110101 +011001100101 +010001010101 +010001000100 +010001000100 +010101010101 +011001111000 +011110001001 +011110001001 +011110001001 +011110001000 +011001111000 +011001100111 +010101100110 +010001010101 +001101000100 +001101000100 +010001010101 +010101100111 +011001111000 +011001111000 +011001111000 +010101010110 +001000100010 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +010000100000 +100101100001 +110010010011 +110010010011 +101010000011 +101010000010 +101110010011 +100010000100 +010101110100 +001101110110 +001101110110 +010010000110 +010010001000 +001110001001 +001110001010 +001110001010 +001110001010 +001110001011 +001010001011 +001110011011 +001010001011 +001110001011 +001110011100 +010010011100 +010110011011 +011110101100 +011110011010 +010101111000 +010001010110 +001101010101 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001001000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000110011 +010101010110 +011001110111 +011110001001 +100010001001 +100010001001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110101011 +100110101011 +100110101010 +100110101010 +100110101011 +100110101011 +100110101011 +101010101011 +101010101011 +101010101011 +101010101011 +100110101011 +100110101011 +100110101011 +100010011010 +100010011010 +011110001001 +011110001001 +011110001001 +011110001001 +011001111000 +011001111000 +011001110111 +011001111000 +011001111000 +011101111000 +011101111000 +011101111000 +011001111000 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010001100111 +010001010110 +001101000101 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001000101 +010001000101 +001101000101 +001101000101 +001100110100 +001100110011 +001100110011 +001100110011 +001100100011 +001100100011 +001100110011 +001101000100 +010001010110 +010101100111 +011101111001 +100010001001 +011110001001 +011101111000 +011001100110 +011001010110 +011001010110 +011001100111 +011001100110 +010101010110 +010101010110 +010101100110 +010101100110 +010101100111 +011001100111 +011001110111 +011001111000 +011101111000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010011001 +011110001001 +011110001001 +100010001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +001100110011 +010001000011 +011001100110 +011001100110 +100010001000 +011001100110 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +100010001000 +010000110100 +011101110111 +011101110110 +010101010100 +011001010101 +010101010101 +010101010100 +011101010100 +101001110010 +101001100010 +011101010001 +011101100011 +110011001001 +100010000111 +010001000101 +010101100110 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001001 +011001111000 +010101100111 +010101100110 +010101100110 +010101100110 +011001100110 +011101110111 +010101100101 +001000100001 +001100100010 +001100100010 +010101010100 +010000110010 +001000010001 +001000100010 +001100110010 +001100100010 +001000100001 +001100110010 +001000010001 +001000100001 +001000010001 +001000010000 +001000010000 +011001100110 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +010101010101 +001100100010 +001000010001 +001000010001 +001000100001 +001000100001 +001100110001 +011101010010 +100101100001 +100101100010 +101001100010 +101001110010 +101001110010 +100101110010 +011110000110 +010010001001 +010010001001 +011001110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110110 +100001110110 +100001110111 +011101110111 +011001110111 +010101100110 +011101111000 +100010001001 +100010011001 +011110001000 +011001110111 +010101100110 +011001110111 +011101110111 +100010000111 +100001110111 +100001110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011001110111 +011001110111 +011101110111 +011101110111 +011001100110 +011001100110 +010101100110 +010101010101 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001000100001 +001000110010 +001101000100 +010101100101 +011001110111 +100110011000 +101110111010 +110111011100 +110011011101 +101011001010 +101010111000 +100110100101 +100010010100 +100010000011 +011110000010 +011001110010 +011001110010 +011101110010 +011101110010 +011101110010 +100010000011 +100110000011 +101010000100 +100110000100 +011101100100 +010101010101 +010101100111 +011110001000 +011110001001 +011110001001 +011001111000 +011001111000 +011001110111 +011001100111 +010101100110 +010001010110 +010001010101 +010001010101 +010101100111 +011001111000 +011110001001 +011110001001 +011001111001 +010001010110 +001000100010 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +001100100000 +100101100010 +110110110100 +111010110011 +101001110001 +011101100010 +011101110010 +100001110010 +100010000010 +010101100011 +001101100100 +001001110110 +001001110101 +001101110100 +001001110101 +001101111000 +001110001001 +001110001010 +001010001010 +001010001010 +001010001010 +001110011011 +001110011100 +001110011100 +001010011011 +001110001011 +010010011100 +010010101100 +010110101100 +011110111101 +011110111100 +011010001001 +010001010110 +010001010101 +010001100101 +010001100101 +001101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010010 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001000100010 +000100010000 +000000010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010001 +000100100001 +000100100010 +001101000100 +010101100111 +100010001001 +100110011011 +101010101011 +101010101011 +101010101011 +100110101011 +100110101011 +100110101011 +100110101010 +100110101011 +100110101011 +100110101011 +100110101010 +100110101011 +100110101011 +101010101011 +101010101011 +101010111100 +101010111100 +101010101011 +100110101011 +100110011010 +100110101011 +100110011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011010001001 +011001111000 +011001111000 +011001111000 +011010001001 +011010001001 +011010001001 +011010001001 +011001111000 +010101111000 +010101100111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010001000101 +010001000101 +010101000101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000101 +011001100111 +011101111000 +100010001001 +100010001001 +011101111000 +011001110111 +011001100111 +011001100111 +011001110111 +010101100111 +010101100110 +010101100111 +011001110111 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010011001 +011001100110 +010101010101 +011101110111 +011001100110 +010101010101 +011001010101 +101010101010 +011101110111 +011001100110 +011101111000 +100110011001 +100110011001 +010001000100 +011001100101 +011001100110 +011001010101 +010101010101 +010101010101 +011001100110 +011001100100 +101001100010 +101101110010 +100001010001 +100001100010 +101010010101 +101110101000 +010101010101 +010101100110 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +011110001001 +011101111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011110001000 +001100110011 +001100100010 +001100110011 +011001100110 +100010011001 +010101010101 +001000100001 +001000100001 +001100100010 +001100100001 +001100100001 +001000100001 +001000100001 +001000010000 +001000010000 +001100110010 +011001100110 +011101110111 +011001100110 +010001000011 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +010000110001 +011101010010 +100101100010 +100101100010 +100101100010 +101001100010 +101001110010 +100101110010 +011110000110 +010110011010 +010001100111 +011001100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011110001000 +011101111000 +011101111000 +011110001000 +100010011001 +100010011001 +011110001000 +011001111000 +011001110111 +011110001000 +011110001000 +100010000111 +100001110110 +100001110110 +100001110110 +011101110110 +011101100110 +011101100101 +011101100101 +011101100110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101111000 +011101110111 +011001100110 +010101100101 +010101100110 +010101010101 +001101000011 +001100110010 +001100110011 +001100110011 +001100110011 +001101000011 +010101010110 +011001110111 +100010001000 +101010111011 +110011001100 +101011001100 +011110101010 +011010101001 +011110010111 +011010010101 +011010000011 +010101110010 +010101100010 +010101100010 +010101100010 +010001010010 +010001010010 +010001010010 +010001010010 +001101000001 +010001000001 +010001000000 +011001010010 +011101100011 +011101110101 +011001110111 +011101111000 +011001111000 +010101100111 +010001010110 +010101100110 +011001100110 +011001100110 +010101100110 +010101100110 +010101010110 +010001010110 +011001110111 +011110001001 +011110001001 +011110001001 +011001111000 +001101000101 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100000 +000100100001 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +001100100000 +101001110010 +111111000100 +110110100011 +100101110010 +011001100010 +010101100010 +011001100010 +011001100010 +010001010010 +001101010010 +001001100011 +001001100100 +001001100100 +001001100011 +001001100100 +001001110101 +001001110110 +001001110111 +001001111000 +000101111001 +000101111001 +001010001010 +001010001010 +001010001011 +001010001011 +001010001010 +001010001011 +001010001011 +001001111010 +001110001011 +010110101100 +010110101100 +011010011011 +010101111000 +010001100101 +010001100101 +001101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +001000110011 +000100010000 +000100100001 +000100100001 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000110011 +010001010110 +011101111000 +100010011010 +100110011010 +100110101011 +101010101011 +101010101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110011010 +100110011010 +100110011010 +100110101011 +100110101011 +101010101011 +101010101011 +101010101011 +101010101011 +100110011010 +100010011010 +100010011010 +100110011010 +100110011010 +100110011010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011010 +100110011010 +100010011001 +100010011001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011001111000 +011010001000 +011010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011010001001 +011001111000 +011001111000 +011001110111 +010101110111 +010101100111 +010101100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +010001010101 +010101010110 +010101010110 +010101010101 +010001010101 +010001010101 +010101010101 +010001010101 +010101010110 +011001100111 +011001110111 +011001100111 +011001100111 +011001110111 +011001111000 +011001111000 +011001110111 +011001110111 +011001111000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +011110001001 +100110011000 +011001100101 +011001100101 +011001100110 +011101110111 +011101110111 +010001000100 +001100110100 +001100110100 +001101000101 +001100110011 +010101000100 +011101110110 +011101110110 +011001010101 +010101010101 +011001100101 +010001000100 +010101010101 +011001010011 +100101100010 +101101110010 +101001100010 +101001110010 +110010100100 +101110110111 +011001100110 +010101100110 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011101111000 +011101111000 +011101110111 +011001110111 +011001110111 +011101110111 +011110001000 +011101110111 +001100110010 +001000100001 +010101010101 +100010011010 +100010011010 +011110001000 +010101010101 +001100110011 +001100100001 +001000100001 +001000100001 +001100100001 +001000100001 +001000100000 +010000110011 +001100110010 +001000100001 +001000010001 +000100010000 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +001000100001 +010000110001 +011001000001 +100001100010 +100101100010 +100101100010 +101001100010 +101001110010 +101001110010 +100010010101 +010110001001 +010110001010 +011110001000 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010001001 +100010011001 +100010001001 +100001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110111 +011101111000 +011101110111 +011001100101 +010101010101 +010101100110 +010101010101 +010001000100 +001101000011 +010001000100 +010001010101 +010101100110 +011001110111 +011110001000 +100110101010 +110011001101 +100110111100 +011010011010 +010110011001 +010110010111 +010110000100 +010101100011 +010001010010 +010001010010 +010001010010 +001101000010 +010001010011 +001101000010 +001101000010 +001101000010 +001101000001 +001100110001 +001100110010 +010101010100 +010101100101 +011001100110 +011101110111 +100010000111 +100010000110 +011001100110 +010001010101 +001101000100 +001000110011 +010001000100 +010101100110 +011001100111 +010101100110 +010101100110 +010101100110 +010101100110 +011001111000 +011010001000 +011001111000 +011001110111 +010001010110 +001000110011 +000100010001 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100000 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010100110001 +110010010010 +111010100010 +110010010011 +100001100010 +011001010001 +010101010010 +010001010010 +010001000010 +001101000010 +001101000010 +001101000001 +001001000010 +001001010010 +001001010011 +001101010010 +001001010010 +001001010010 +001001100100 +001001100110 +001001101000 +001010001010 +001001111001 +000101111001 +000101101001 +000101101001 +001001111001 +001001111010 +001001111010 +001010001010 +001010001011 +001010001011 +001010001011 +001010001011 +001110011100 +010110101100 +010101111000 +010001010101 +010001000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +000100110011 +000100010001 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000101 +010101100110 +011101111000 +100010001001 +100110011010 +100110101011 +101010101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110101010 +100110011010 +100110011010 +100010011001 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011110001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011001111000 +011001111000 +010101110111 +010101100111 +010001100110 +010001010110 +010001010101 +010101100110 +011101110111 +100010001000 +100110011001 +101010101010 +100110101010 +100110101010 +100110101001 +100010001000 +011110000111 +011001110111 +010101100110 +011001100111 +010101100111 +011001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +011110001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +100010001001 +011110001001 +011110001001 +100110011000 +011001100101 +010101010101 +010001000100 +011101110111 +001100110011 +001100110011 +010001010110 +001101000110 +001101000101 +010001000100 +001100100001 +001100110010 +011101100110 +011001100101 +010000110011 +011001010101 +011001100101 +011101110111 +011001100101 +100001010010 +101101110010 +101101110010 +101101110001 +111111000100 +110111001000 +011101110111 +010101010110 +010101100110 +011101111000 +100010011001 +100010011010 +100010011010 +100010001001 +011110001001 +011101111000 +011001110111 +011001100111 +011001100111 +011001110111 +011101110111 +011110001000 +100010001000 +011001110111 +001000100010 +001100110010 +100010011001 +100010011001 +100010001001 +100010011001 +100010001001 +100010001000 +011110001000 +011110001000 +011101110111 +010000110010 +000100010000 +001000010001 +001000010000 +001000010000 +001000010000 +001000010000 +001000010001 +001000010001 +000100010000 +000100010001 +001000010001 +000100010000 +000100010000 +001000100000 +010000110001 +011101010001 +100101100010 +100101100010 +100101100010 +101001100010 +101001110010 +101001110001 +100110000100 +011010011001 +010010001001 +011001111000 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +100010001000 +100010001000 +100010001001 +100010011001 +100010011010 +100010011010 +100110011010 +100110011010 +100110101010 +100110011010 +100010011001 +011101110111 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011001100101 +010101010101 +010101100110 +010101100110 +010101100101 +010101010101 +010101010110 +011001110111 +011110001000 +100010011001 +101111001100 +100110111100 +011010101011 +010110001000 +010110000110 +011001110101 +011001110100 +011101110101 +011001110101 +011001100101 +010101010101 +011001100110 +010101010101 +010101010101 +011001100110 +010101010101 +010101010101 +011001110110 +010001000011 +011101110111 +100110101010 +100010001001 +100110011010 +101110111011 +101010111011 +101110101010 +011001010101 +001000100010 +001000110010 +001101000100 +010101100110 +011001100111 +010101100110 +010001010110 +010001010101 +010001010101 +010101100110 +010101100111 +010101100111 +010101010110 +010001000101 +001100110100 +001000100010 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +010000100001 +110110100010 +110110100011 +100101110010 +011001010001 +010101010001 +010001010010 +001101000001 +001101000001 +001101000001 +001101000001 +001101000001 +001000110001 +001001000001 +001101000001 +001001000001 +001001000001 +001101000010 +001001000010 +001001010011 +000101010101 +000101010110 +000101100110 +000101100111 +000101111000 +000101101001 +000101101000 +000101101000 +000101011000 +000101101000 +001001111001 +001010001010 +001010001011 +001010001011 +001010001011 +001010001011 +001110011100 +010110011100 +010101111000 +001101010100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010001 +001000100010 +001000110100 +001101000100 +001000110100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110100 +010001000101 +010101100110 +011110001000 +100010011001 +100110011010 +100110101011 +100110101011 +100110101011 +100110011010 +100010011010 +100010011001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011001 +100010001001 +100010001001 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011101111000 +011001111000 +011001110111 +100010011001 +101010111011 +110011001100 +101111001100 +101111001100 +101111001100 +101010111100 +101011001100 +101010111100 +101111001100 +110111011101 +111011101100 +110111011100 +110011001100 +101010101010 +100010011000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +100001110110 +011101100101 +010101010101 +010001000011 +010101000100 +001100100010 +010001000100 +010001010101 +001101000101 +001101000101 +010101010101 +010101000011 +001100100010 +001100100010 +010101010101 +010000110011 +010101010100 +011101100110 +011101110111 +010000110011 +010000100000 +101001100010 +101101110010 +101101110001 +111010100010 +111111010101 +100110010111 +010101010101 +010101100110 +011101111000 +100010011001 +100010011010 +100010011001 +100010001001 +011110001000 +011001100111 +010101010101 +010101010101 +010101100110 +011001100111 +011001110111 +011110001000 +011110001000 +100010001000 +011110000111 +010000110011 +011101110110 +100010011001 +011110001001 +011110001001 +100010001001 +100010011001 +100010011001 +100010011001 +011001100110 +001100110010 +001000010000 +001000010000 +001000010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +000100010000 +000100010000 +000100010001 +000100010000 +001000010001 +001000100001 +010000110001 +011101010001 +100101100010 +100101100010 +100101100010 +101001100010 +101001110010 +101001110010 +100110000100 +011110011001 +010110011011 +010101111001 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011110001000 +011110001000 +011110001000 +100010001001 +100010011001 +100010011010 +100110011010 +100110011010 +100110101010 +100110101010 +100010011001 +011101110111 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +010101010110 +010101100110 +011001100111 +011001110110 +011001100110 +011001110111 +011101110111 +100010101010 +101011001101 +100010111100 +010110001010 +010010000111 +010001110100 +010101100010 +011101110110 +101010101010 +100110011001 +100010001000 +100110011001 +011101110111 +011101110111 +011001100110 +011001100110 +010101010101 +011001100110 +011101110111 +100010001000 +011101110111 +100110101010 +010001010101 +011001100110 +101010101010 +100010001001 +001101000101 +100010001001 +100110011000 +001100110011 +010001010101 +010101100111 +011001111000 +011001111000 +010101100110 +010001010101 +001101000100 +001101000100 +010001000100 +010001000101 +001101000100 +001100110011 +001101000100 +001101000100 +001000110011 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +001000100000 +101110000010 +101110000010 +011101010001 +011001010010 +011001010010 +010001000001 +001100110001 +001100110001 +001100110001 +001101000001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001001000001 +001001000001 +001001000001 +001001000010 +001001010011 +000101010011 +000101010011 +000101010100 +000101010101 +000101100111 +000101101000 +000101101000 +000101101000 +000101101000 +000101101000 +000101111001 +001001111001 +001001111010 +001010001010 +001010001010 +001010001011 +001110001011 +010010011011 +010110001010 +010001100111 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010000 +000100100010 +001000110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001100110100 +001000110100 +001000110011 +001000110011 +001000110100 +001101000100 +010001010101 +011001100111 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010001001 +100010011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011010001000 +011001111000 +011001111000 +100110101010 +110011001100 +101111001011 +100010101001 +011010011001 +011010001000 +011010011000 +011010011001 +011010011001 +011010011010 +011010011010 +011010011010 +011110011001 +101010111011 +110011001011 +111011101101 +110111011100 +111011101101 +110111011100 +101110111011 +100010011001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +011101100100 +010101000100 +010101010101 +010001000011 +010101010101 +001100110011 +010001000100 +010001000101 +001101000110 +001101000101 +010001000100 +010101000100 +010000110011 +001100110010 +011001010101 +011001100110 +010001000100 +010001000100 +011001010101 +010101010100 +001000010000 +100101010010 +101101110010 +110001110010 +110010000001 +111111000100 +101110100111 +010101100110 +011001100110 +011110001000 +100010011001 +100010011001 +100010011001 +100010001001 +011101111000 +010101100110 +001100110011 +001101000100 +010101100110 +011001110111 +011001110111 +011110001000 +011110001000 +100010001000 +100010011001 +100010001000 +100010001000 +100010011001 +011110001001 +011110001001 +100010001001 +100010001001 +011110001001 +100010011001 +010001000011 +001000010001 +001000010000 +001000010000 +001000010000 +000100010000 +000100010000 +001000010001 +001000010001 +001000010001 +000100010000 +001000010001 +000100010001 +000100010000 +001000100000 +001100110001 +010101000001 +100001010001 +100101100010 +100101100001 +100101100010 +101001100010 +101001110010 +100101100010 +011101110011 +011110011000 +001101010110 +001101000101 +011001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011101110111 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +010101100110 +010101100110 +011001110111 +011001110111 +011001110110 +011001110110 +100110101010 +101011001100 +011010011010 +001110001001 +010001110110 +001101100011 +010001010010 +011001100100 +101010101010 +100010001000 +011101110111 +010101010101 +010101010101 +011101110111 +011101110111 +100001111000 +011001100110 +010101010101 +010101010110 +011101110111 +100010001000 +011110001000 +100010001001 +010101010101 +100010001000 +100110011001 +001100110100 +001000110100 +010001000110 +100010001001 +011001110111 +011001111000 +011110001001 +011110001001 +011001110111 +010101100110 +001101000101 +001100110100 +001000110011 +001100110011 +001100110011 +001101000100 +010001010101 +010101100111 +010101100111 +001101000100 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +000100010000 +000100100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +011001000010 +100101100010 +010101000001 +010001000001 +010001000001 +001100110001 +001000100000 +001000110001 +001100110001 +001100110001 +001000110001 +001000110001 +001000100001 +000100100000 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001001000001 +000100110001 +000100110001 +000101000010 +000101000010 +000101000011 +000101010100 +000101010100 +000101010101 +000101100110 +000101101000 +000101101000 +000101101000 +000101101001 +000101111001 +001001111010 +001001111010 +000101111010 +001010001010 +001010001011 +010010011100 +011110111101 +011010011010 +001101010110 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010001 +000100100010 +001000110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001000110100 +001000110100 +001000110100 +001000110100 +001000110100 +001101000100 +010001010101 +010101100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011101111000 +011110001000 +100010001001 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010001001 +100010011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011001111000 +011001110111 +010101100111 +011001110111 +101110111010 +101110111010 +100010100111 +011010000110 +010101110101 +010001110110 +010001110110 +001101110101 +001101110110 +010010000110 +010010000111 +001110001000 +010001111000 +010001111000 +011010011001 +100110101001 +101110111010 +101010101001 +110111011100 +111011011100 +111111101101 +111011011100 +101110111011 +100010011001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001000 +011001010011 +010001000011 +011101110111 +100001110111 +011101110111 +010001000100 +010101000101 +010101010110 +001101000110 +001101000101 +010001000011 +010101000011 +010101000100 +010000110011 +010101000100 +100010001000 +100110011001 +100110011001 +101010101010 +100010000111 +000100010000 +010000100000 +101001100010 +110001110001 +110001110001 +110110100011 +111011011000 +100110010111 +011001100111 +011101111000 +100010011001 +100010011001 +100010011001 +100010001001 +011101111000 +010101010110 +001000110011 +001101000100 +010101100111 +011001110111 +011001110111 +011101111000 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +100010001001 +100010001001 +100010011001 +100010001000 +001100110010 +001000100001 +001000010000 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010000 +000100010000 +000100010000 +001000100000 +001100110001 +010101000001 +011101010001 +100101010010 +100101100001 +100101100001 +100101100010 +100101100010 +100101110010 +100101100010 +010001010011 +011010011010 +010001101000 +000100100001 +011001100110 +011101110110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101111000 +011110001000 +011101111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011001110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011001110111 +100010011000 +100110101011 +010001111000 +001101111000 +001101100110 +001101010010 +010001010001 +011101110101 +101010101010 +101010101011 +011101110111 +100010001000 +001000100010 +010001010101 +011110001000 +011101110111 +011101110111 +011101110111 +011001100110 +011001010101 +011101100110 +011101110111 +100010001000 +100010001001 +100110011001 +100010011001 +010001000101 +001100110100 +001100110101 +001000110100 +010001010110 +100010011010 +011110001001 +011110001001 +011110001001 +011001110111 +010101100110 +010001010101 +001101000100 +001100110100 +001101000100 +010001010101 +010101100111 +011001111000 +011101111000 +011001111000 +010001000101 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000010000 +000100010000 +000000000000 +000100000000 +001000100000 +011001000001 +001100100000 +001000100000 +001100100000 +001000100001 +001000100001 +001000100001 +001000110001 +001100110001 +001000110001 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +000100100000 +000100100000 +000100100001 +000100100000 +000100100001 +000100100001 +000000010000 +000100100001 +001000110001 +001001000010 +000100110010 +000100110010 +000101000011 +000101000010 +000101010100 +000101010110 +000101010111 +000101010111 +000101101000 +000101101001 +000101111001 +001001111001 +000101111001 +000101111001 +001001111010 +001001111010 +001110001011 +010110101100 +011010101101 +010001111000 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +001000010001 +001000100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000110011 +001000110011 +000100010000 +001000100011 +001101000100 +001101000101 +001101010101 +001101010101 +001101000101 +001101010101 +010001010110 +010001010101 +001101000101 +001101000100 +001001000100 +001000110100 +001001000100 +001101000100 +001101000100 +001100110100 +001000110011 +001000110011 +001100110100 +001101000100 +001100110100 +001000110011 +001101000100 +010001010101 +010101100110 +011001100111 +011001110111 +011101111000 +011110001000 +100010001001 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011001110111 +011001110111 +010101100110 +011101110111 +101110111010 +100110100111 +011001110101 +010001100011 +001101100011 +001101100011 +001101100011 +001101100011 +001101100011 +001101100011 +001101100100 +001101110101 +001101110110 +001101110110 +010001110110 +010110000111 +100010011000 +101010111001 +011110000111 +011110011000 +100010011001 +101010101010 +110011001011 +111111101101 +110111101101 +101010111010 +100010011001 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +010001000011 +010001000011 +101110111011 +101010101010 +010101010101 +010001000100 +010101010101 +010001000101 +001101000101 +001101000101 +010001000100 +010101010100 +010001000011 +001100110010 +001100110010 +100010000111 +100110011001 +101010101010 +100110011001 +100010001000 +001000010001 +000100010000 +011001000001 +101101110010 +110001110010 +110110100010 +111111000101 +101110111000 +100010011000 +011101110111 +011110001001 +100010001001 +100010001001 +100010001001 +011110001000 +010101100111 +010001010101 +010001010110 +011001100111 +011001111000 +011001110111 +011101111000 +011110001000 +100010001000 +100010011001 +100010011010 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +011110000111 +001100100001 +001000100001 +001000010000 +001000100001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +001000010001 +001000010001 +000100010000 +001000010001 +001000100000 +010000110001 +011001000001 +100001010001 +100101100001 +100001010001 +100101010010 +100101100010 +100101100010 +100101100010 +100101100010 +010101010100 +001101111000 +010110001010 +001000110100 +010101010100 +011101110110 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101111000 +011001110111 +010101100110 +011001110111 +011110001000 +011110001000 +011110001000 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011001100110 +011101111000 +110011001100 +011010001000 +001101110110 +001101100100 +001101010010 +010001000010 +011101110101 +101110111011 +101110111011 +101010101010 +011101110111 +011001100110 +011001110110 +011001100110 +011101110110 +100001110111 +010101010101 +011001010101 +011001100110 +011001100110 +011101110111 +011101110111 +100010011001 +100110101010 +100110101010 +010001010101 +001100110100 +001101000101 +001100110100 +001100110100 +001000110100 +010101100111 +100010011010 +011010001000 +011001111000 +011001110111 +010101100110 +010001010110 +010001010101 +010001010101 +010001010110 +010101100111 +011010001000 +011110001001 +011110001001 +011001111000 +001101000100 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000010000 +000100000000 +000100000000 +000100010000 +010000110001 +011001010010 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +001000100000 +001000100000 +001000100000 +001000100001 +001100110010 +010101010101 +010001000100 +001100110011 +000100010001 +001100110011 +010001000100 +010001010101 +010101010101 +010001000100 +010001000100 +010001000101 +001000100010 +000100010001 +000100100001 +001000110001 +000100110001 +000100110010 +000101000010 +000101000011 +000101000100 +000101000101 +000101010101 +000101010110 +000101010111 +000101101000 +000101101000 +000101101001 +000101101001 +000101101000 +000101101001 +001001111010 +001010001011 +001110011011 +010110101100 +010001110111 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +001000010001 +001000100001 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000100010001 +001000110011 +001101000101 +010001010101 +001101010101 +001101010101 +001101010101 +010001010101 +010001010110 +010001010110 +001101010101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000100 +001000110100 +001000110011 +001000100011 +000100100010 +000100010010 +000100100010 +001000110011 +001000110011 +001100110100 +001101000100 +010001010101 +010101100110 +010101100110 +011001100111 +011001110111 +011101111000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001000 +011110001000 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +100110101000 +011010000101 +010001100011 +010001010010 +001101000010 +001001000010 +001001000001 +001001000010 +001001010010 +001001010011 +001101100011 +001101010010 +001101010010 +001101100011 +010001110011 +010001110100 +011001110100 +100010000101 +100010000101 +011001110110 +010001100110 +001101100101 +010101110110 +011110011000 +101110111010 +111011101101 +111111101101 +111011101101 +101111001011 +100110101010 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +010001000100 +011001100101 +101110111010 +100110011000 +010101010101 +010000110011 +010101000101 +010001000100 +001101000101 +001101000101 +010000110100 +010101000011 +010001000011 +001100100010 +001000100001 +010101010100 +100010001000 +100110011001 +100110011001 +100110011000 +001100110010 +000100010000 +001000010000 +011101000001 +110001110010 +110110010010 +110010000010 +100110010101 +100110011000 +100010001000 +011110001000 +100010001001 +100010001001 +100010001001 +011110001001 +011001111000 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011110001000 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +011110000111 +001100100001 +001000010000 +001000010000 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +001000010000 +001000010001 +001000100001 +001000100001 +001100110001 +010000110001 +011001000001 +100001010001 +100001010010 +100101100001 +100001010001 +100101100010 +100101100010 +101001100010 +100101100010 +100101100010 +011101110110 +010010001010 +010010001001 +001101100111 +010001010101 +011101110110 +011101100101 +011101100101 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +010101100110 +010001010101 +010101100110 +011101111000 +011110001000 +011101110111 +010101100101 +010101010101 +011001100110 +011101110111 +011101110111 +100001110111 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101111000 +011101110111 +011001100110 +011001100110 +010101100110 +010101010101 +011001100110 +100110111011 +100010011001 +010001100100 +010001010010 +010101010001 +011001010010 +011110000110 +101010101010 +101010101010 +011101110111 +011101110111 +100110011001 +011001100110 +011001100110 +011101110111 +100010001000 +011101110111 +011101100110 +011001100110 +011001100101 +010101010101 +010101010101 +011101111000 +100110011001 +101010101010 +010101010110 +001000110100 +001101000100 +001100110100 +001100110100 +001000110100 +001100110101 +001100110101 +011001111000 +011001110111 +011001100111 +010101100111 +010101100110 +010101010110 +010101100110 +010101100110 +010101100110 +011001111000 +011110001001 +011110001001 +011001111000 +010101100111 +001100110011 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000100000000 +001000010001 +100001110101 +100110000110 +011001100110 +011101110111 +011101110111 +010101010101 +001100110010 +001000100001 +001000100001 +001100110010 +010101010100 +010101010110 +011001100110 +011001100110 +010001000100 +001100110011 +011001100110 +011001100111 +011101111000 +100010001000 +011101110111 +011101110111 +011101111000 +100010001000 +011001100110 +001100110010 +000100100000 +001000110001 +001000110001 +000100110010 +000100110010 +000100110010 +000100110011 +000101000100 +000101000100 +000101000101 +000101010110 +000001010111 +000101011000 +000101101000 +000101101000 +000101101000 +000101101001 +000101111010 +001001111010 +010010011100 +011010101101 +010110001010 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +001000100001 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000000010001 +001000110011 +001101000101 +010001010110 +010001010101 +001101010101 +001101010101 +010001010110 +010001010110 +010001010110 +001101010101 +001101000101 +001101000101 +001101000101 +001101000101 +010001010101 +010001010110 +010001010101 +001101000101 +001101000100 +001101000100 +001000110100 +001000110011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110100 +001000110100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +011001100111 +011001110111 +011001110111 +011101110111 +011101111000 +011110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +011001100111 +010101100110 +010101100111 +011001110111 +011001110111 +011001111000 +011101111000 +011101111000 +011001111000 +011001111000 +011101111000 +100010000110 +011001110100 +010001010010 +001101010010 +001001000001 +001001000001 +001001000001 +001001000001 +001001000001 +001001000001 +001001000010 +001001000010 +001101000010 +001101000001 +001101000001 +001101010001 +001101000010 +010001010001 +010101010001 +010101010010 +010001010010 +010001010011 +010001000010 +001101000011 +010001100110 +100010011000 +110111001011 +110111001011 +111011011100 +111011011100 +110111101101 +110011011100 +100110101001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +010001000100 +100001110111 +101010101010 +100010001000 +001100110011 +001100110010 +010001000100 +010000110100 +010001000101 +010001000101 +001100110011 +010000110010 +001100110010 +001000010001 +001000100001 +010000110011 +100010001000 +100110011001 +100110011001 +100110011000 +010001000100 +000100010000 +000100010000 +001100100000 +101101100010 +110110000001 +101110000010 +100001100011 +101010010111 +101010101010 +100010001001 +100010001001 +100010011001 +100010011001 +011110001001 +011001111000 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011110001000 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011000 +100110000011 +001100110001 +001000100001 +001100100001 +001100100001 +001100100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000110001 +001100110001 +010000110001 +011001000001 +011101010001 +100001010010 +100001010010 +100001010010 +100001010010 +100101100001 +100101100010 +100101100010 +100001100010 +011101100010 +011001100100 +010110011010 +010010011010 +001101111000 +010101100110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +011110001000 +011001110111 +011001100110 +011001110111 +011110001000 +100010001001 +011101111000 +010101100101 +010001010100 +011001100110 +011101110111 +011101110111 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011110001000 +011101110111 +011001100110 +011001100101 +010101010101 +010101010100 +011110011010 +010001111000 +001101010100 +010101000001 +100101010010 +101001110010 +101001110011 +100001110100 +011101100101 +010101010101 +011101110111 +100010001000 +011001100110 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +010101010101 +010101010100 +010101010101 +011101110111 +101010101010 +011001100110 +001000110011 +001101000100 +001100110100 +001000110100 +001100110100 +001100110100 +001101000100 +001100110101 +010001010110 +011001110111 +010101010101 +010101100110 +010101100110 +010101010110 +010101100110 +010101100110 +010101100111 +011001111000 +011001111000 +011001111000 +010101100110 +001101000100 +000100100001 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +010000110010 +101110101001 +101010011001 +100010001000 +011101110111 +011001100110 +011101111000 +011101110111 +010101010101 +011101110111 +011101110111 +011001100110 +010101010101 +011001110111 +011001100110 +011001100110 +001100110100 +010001010101 +001100110011 +010101010101 +010101000100 +001100110011 +010001000100 +010101100110 +011001100111 +100010001001 +100001111000 +010000110011 +001000100001 +001000110001 +000100110001 +001000110001 +000100100001 +000100110001 +000100110010 +000101000011 +000101000100 +000101000101 +000101010110 +000101010111 +000101010111 +000101011000 +000101101000 +000101101000 +000101111001 +000101111001 +001001111010 +010010001011 +011010101100 +010110001001 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000000010000 +001000110010 +001101000101 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101010101 +001101000101 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +001000110100 +001000100011 +001000100011 +001000110100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000101 +010001000101 +010001010101 +010001010101 +010101010110 +010101100110 +011001100110 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +010101100111 +010101100110 +010101100110 +010101100111 +010101100111 +011001110111 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011001110100 +010001010010 +001101000010 +001101000001 +001000110001 +001000110001 +001000110001 +001001000001 +001001000001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001100110010 +011001010100 +011001010100 +010001000010 +010000110001 +011001010001 +100001010010 +011001000010 +010101010011 +101010010110 +110010111001 +100110011001 +100110011001 +100110101001 +100010011001 +101111001011 +110111101101 +101110111011 +100110011001 +100010001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011110001000 +011110001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +010101010100 +100010000111 +101010101010 +100010001000 +001100110011 +001000100010 +010001000100 +010001000100 +001100110100 +010001000100 +010000110011 +001100110010 +001000100001 +000100010000 +001000100001 +001100110010 +100001110111 +100110011001 +100110011001 +100010001000 +010001000011 +000100010000 +000100010000 +001000010000 +011101010001 +110001110010 +110010000010 +100101100010 +101010010111 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011001111000 +010101100111 +010101100111 +010101100111 +011001110111 +011001110111 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +101010100111 +110010010011 +100001100010 +011001010001 +010100110001 +010000110000 +001100110001 +001100110001 +001100110001 +010000110001 +010000110001 +010000110001 +010000110001 +010000110001 +011001000001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +100001100010 +011001010001 +010001000001 +001100110001 +001100110001 +010101100101 +011010001000 +010001100111 +011001100110 +100001110110 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +100010001000 +011110001001 +011110001000 +011110001000 +100010011001 +100010011001 +100010001000 +011001110111 +011001110111 +011101110111 +011101110111 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011110001000 +011101110111 +011001100101 +011001010101 +010101010101 +011110001000 +010010001001 +001101110110 +010001010010 +100101100001 +101101110010 +101101110010 +101101100010 +100001100010 +011001010100 +011001100110 +011001100110 +010101010101 +010101010101 +011001100110 +011001100110 +011101110111 +011101110111 +011001100110 +010101010100 +011001100110 +011101110110 +010101010100 +010001000100 +100010001000 +100110011010 +010001000101 +001101000101 +001101000100 +001100110100 +001100110100 +001000110100 +001100110100 +001100110100 +001100110100 +001100110101 +011001111000 +010101100110 +011001100110 +010101100110 +010001010101 +010001010101 +010001010101 +010101100110 +010101100111 +010101100111 +010001010101 +001101000100 +001000110011 +000100010001 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +101010011000 +100110001000 +011101110111 +011101110111 +010000110100 +001000100011 +011101110111 +011101110111 +011101110111 +011001100110 +011101110110 +001100110011 +010101010101 +010001010101 +010101010101 +010001000101 +010101010110 +010101010101 +010101010110 +001100110011 +000100010001 +000100100010 +011101110111 +010101010101 +011101111000 +100010001000 +100001110111 +011001100110 +010101010100 +001100110010 +001000100000 +001000100000 +001000100000 +001000110001 +001000110010 +001001000011 +001001000100 +000101000101 +000101000110 +000101010110 +000101010111 +000101010110 +000101010111 +000101101000 +000101111001 +001001111010 +001001111010 +001001111001 +010001111001 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010001 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +000100110010 +000100110011 +001000110011 +001000110011 +001000110011 +000000010000 +001000100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +001101000101 +001101000100 +001000110100 +001000110011 +001101000100 +001101000101 +001101000101 +001101010101 +001101010101 +010001010101 +010001010101 +010001010101 +001101010101 +010001010101 +010001010101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001000110100 +001000110100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010101100110 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011101110111 +010101010010 +001101000001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110000 +001000110001 +001000110001 +001000110001 +001000100001 +001000100010 +010001000100 +011001100111 +011101100111 +100010001000 +010101000100 +010000110000 +100101100010 +101001100010 +100001010001 +100101100001 +110010100100 +110010110110 +100010011000 +011110001001 +010101111000 +001101100111 +010001111000 +011010001001 +101111001011 +110111011101 +110011001100 +100110101001 +011001110110 +010101100101 +010001010101 +010001010101 +010101100110 +010101110110 +011001110111 +011001111000 +011001111000 +011110001000 +011110001000 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +010000110011 +011001100101 +101010101010 +100010011000 +010001000100 +001100100010 +010001000011 +010001000100 +001000100010 +001000100010 +010001000011 +001100110010 +000100010000 +001000010001 +001000100010 +001100110011 +100010001000 +100110011001 +100010001000 +011001100101 +001000010001 +000100010000 +000100010000 +000100010000 +010100110000 +101101100010 +101101110010 +100001100011 +010101010101 +010101100111 +011010001001 +011110011001 +100010011001 +100010011001 +011110001001 +011101111000 +011001110111 +010101100111 +010101100111 +011001100111 +011001110111 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +101010101000 +101010100110 +101110010101 +100101100001 +011101010001 +011001000001 +010101000001 +010100110001 +010100110001 +011001000001 +011001010001 +011001000001 +011001000001 +011101010001 +100001010010 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011001000001 +100001010010 +011101010010 +010101000001 +001000110011 +001001000100 +001001000100 +001101000011 +010101110110 +010001100110 +011001100110 +011101100101 +011001100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +100010001001 +100010011001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +011101110110 +011101100101 +011101100101 +011101110110 +011101110110 +011101110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110110 +011101110110 +011110000111 +011110001000 +011101110111 +011001100110 +011001010101 +011110011001 +011010011010 +001101110111 +010001100100 +100001100010 +101101110010 +101101110010 +101101110010 +101101110010 +100001010001 +011001010010 +100010000111 +100110011010 +100110011001 +100110001001 +100010001000 +011101100111 +010101010101 +011001100101 +011001100110 +011101110110 +010101010101 +010001000100 +011001100110 +100110011001 +101010101010 +011110001000 +010101010111 +001101000100 +001101000100 +001101000100 +001101000100 +001000110100 +001100110100 +001101000100 +001101000100 +001000110100 +010101100111 +011101111000 +010101100111 +010001010110 +001101000100 +001100110100 +001101000100 +010001010101 +010001010110 +001101000101 +001101000100 +010001010101 +010001000101 +001000100011 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +010101000100 +101010011001 +010101010101 +011101100111 +011101110111 +011001100110 +000100010001 +001100110011 +011101110111 +100010001000 +010101010101 +011001100110 +011101110111 +011001110111 +011001100110 +010101100110 +010001000101 +011001100110 +011001100110 +010001000100 +010000110011 +000100000000 +000000000000 +010101100101 +010001010101 +011101111000 +100010001000 +100001111000 +100010001000 +100010001000 +100001110111 +011001010101 +010101000100 +010000110010 +010000110001 +010000110001 +010000110001 +001100110001 +001100110010 +001000110010 +001101000010 +001001000011 +000101000100 +000101010101 +000101010111 +000101101000 +000101101001 +001001111010 +001010001011 +001001111010 +001101100111 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100010010 +000100010010 +000100010001 +000000010001 +000100010010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100110010 +000100110011 +001000110011 +001000110011 +001000110011 +000000010000 +001000100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +001101000101 +001101000100 +001000110100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110100 +001101000101 +010101100111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011001100110 +010001000010 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +000100100001 +000100100000 +001000110001 +001000100001 +001000100001 +001100110011 +001100110011 +010001000101 +010000110100 +010001000100 +011001010101 +001100100010 +010101000001 +100101100010 +101101110010 +101001100010 +101001100001 +110010010001 +111011000100 +100010000101 +010101110111 +010101111000 +001101010111 +001101010111 +001101101000 +010001101000 +010110001000 +101010111010 +110111011100 +101010111010 +011101110111 +100110000111 +100001110101 +011101100100 +010101100100 +010001010100 +010001010101 +010001010101 +010101100110 +011001111000 +011110001001 +100010001001 +011110001001 +011110001001 +011110001000 +001100110011 +001000100010 +100010001000 +100110011001 +010101010101 +001100110010 +010001000011 +010000110011 +001000100010 +001000100010 +010001000011 +001100110010 +000100010000 +001100100010 +001000100010 +001100110011 +100010001000 +100010001000 +011001100110 +001000100001 +000100010000 +000100010000 +000100010000 +000100010000 +010100110001 +101001100010 +101101110010 +011101100010 +001101010101 +001101100111 +010001111000 +011110001001 +100010011001 +100010011001 +100010001001 +011110001000 +011001111000 +011001110111 +011001110111 +011001100111 +011001110111 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100110011010 +100010011001 +101010010101 +101001110001 +100101100010 +100001010010 +011101010001 +011101010010 +011101010010 +011101010001 +011101010010 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +010101000001 +100001010010 +011101010010 +010101000010 +001001000011 +001001010110 +001001100111 +001001100111 +001101111000 +010001111000 +010001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101110111 +011110001000 +100010011001 +100010001001 +100010001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101110101 +011101110101 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011110000111 +011110001000 +011101110111 +011001100110 +011110000111 +011110111100 +001110001001 +001001100101 +010101100011 +101001110010 +101101110010 +110001110010 +101101110001 +101101100010 +101001100010 +100001110011 +100010000111 +100010001000 +011101110111 +011101111000 +011101110111 +010101010101 +010101010100 +010001000100 +001100110011 +010000110011 +011101110111 +101010111010 +101010101010 +100001110111 +011001010101 +010101010110 +010101010110 +001101000101 +001100110100 +001100110100 +001100110100 +001000110100 +001100110100 +001100110100 +001100110100 +001101000101 +010001010110 +011101111000 +010101100111 +010001010101 +001101000100 +001000110010 +001000110011 +001101000101 +010001010110 +010001010110 +010001100110 +010101110111 +010101100111 +001100110100 +000100010001 +000100000000 +000100000000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000000000000 +000100000000 +000100010000 +000100010001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100010 +100110000111 +011001100110 +001000100011 +001000100010 +010101010101 +011101110111 +011001100101 +001100110011 +011001100110 +011101110111 +010101010101 +010101010101 +011001100110 +100010001000 +010101010101 +011001100110 +011001100110 +011101110111 +010001000100 +010101010101 +001100110011 +010001000011 +010101010100 +010001000100 +010101010101 +100010001000 +011001100110 +001100100011 +001000010010 +001000100011 +010101010101 +100010001000 +100110000111 +100001100100 +100001010010 +011101010010 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +010101000001 +001101000010 +001001010100 +000001000110 +000001000110 +000001010111 +000101101000 +001001111010 +001001111010 +000101101000 +001001010111 +000100100011 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000010010 +000100010010 +000100100010 +000100100010 +000000010001 +000000010001 +000100010010 +000100010010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000000010000 +000100100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +001101010101 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001001000100 +001101000100 +010001010110 +011001110111 +011101111000 +011101111000 +011001111000 +011001111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011001110111 +001101000010 +001000100001 +001000110001 +001000100001 +000100100000 +000100100001 +000100100000 +001000100001 +000100100001 +000100100001 +001000100000 +001000100001 +001100110011 +010001000100 +001100100011 +001100110011 +001000010001 +001000010001 +010001000100 +010001000011 +010000110001 +100001010001 +101101110010 +101101110010 +101101110010 +110010010001 +111110110001 +110010100011 +011001110101 +010001100111 +001101101000 +001001010110 +001001010111 +001001101000 +001101100111 +101010010101 +110010110110 +011110000110 +101110100110 +110010010100 +101110000100 +010101010010 +010000110011 +011001010011 +010001000011 +001101000011 +010001010101 +011001110111 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +001100110011 +000100000000 +010000110011 +100010001000 +011110000111 +001100110011 +010001000011 +001100100010 +000100010000 +001000010001 +001100100010 +001100110010 +001000100001 +001000100001 +001000010001 +011001010101 +100010000111 +010001000100 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +010100110001 +100101010010 +101101110010 +100101110011 +010101100101 +001101100111 +010001110111 +100010011001 +100010011001 +100010001001 +100010001001 +011110001000 +011101111000 +011001110111 +011001100111 +011001100110 +011001110111 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011010 +100010011010 +101010101001 +110010100100 +101001110001 +100101100010 +100001100010 +100001100001 +100001010010 +100001010001 +011101000001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001100010 +011101010001 +100001010001 +011101010001 +010101000001 +001100110010 +001101010100 +001001010110 +001001100111 +001101100111 +010001111001 +010101111000 +011001110110 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +100010001001 +100010011001 +100010001001 +011110001001 +011110001001 +100010001001 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011110000111 +011110001000 +011110000111 +011001110111 +011110011000 +010110011010 +001101110111 +011001110100 +100101110010 +101110000010 +110001110010 +101101110010 +101101110010 +100001010010 +010000100000 +001100100001 +001100110011 +010001000100 +010101010101 +011001100110 +011001100110 +010101010101 +010101010101 +011101110111 +100110011001 +101010101010 +101010101010 +100110011001 +011001110111 +001100110011 +000100010000 +001000100010 +010001000100 +001101000101 +001101000100 +001101000100 +001100110100 +001100110100 +001000110011 +001000110100 +001100110100 +001101000101 +001101000101 +010101100111 +011001100111 +010001010101 +001101000100 +001100110100 +001100110100 +001101010101 +010101100111 +010101111000 +011001111000 +011010001000 +011001100111 +001101000100 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +010101010100 +100001110111 +001100110100 +001000110011 +001000100011 +001000100010 +001100110100 +011001100110 +011101110111 +010101010110 +011101110111 +011101111000 +011001100110 +010101010101 +010101010110 +010101010101 +011001100110 +011001100110 +010001000101 +011001100110 +011101110111 +011101110111 +010101010101 +010101010101 +011001010110 +011101111000 +010001000100 +001100110011 +010001000100 +011001100110 +011101110111 +011101111000 +011001100100 +011101010011 +100101100010 +100101100001 +100101010001 +100101010010 +100101010001 +100101010010 +100001010001 +011101000001 +011001000001 +010101000001 +001001000100 +000101010111 +000001010111 +000001010111 +000101101000 +000101011000 +000101101001 +001001111001 +001110001010 +010001111000 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100010010 +000100010010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100110011 +001000110011 +001000110011 +001000110011 +000100010000 +000100100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +001101000101 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +010001010101 +010001010101 +010001010101 +001101000101 +001101000101 +010001010110 +011001110111 +011110001000 +011110001000 +011001111000 +011001111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +010001000011 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100010000 +000100100000 +000100010000 +000100100000 +001100110010 +001100110011 +010101010101 +010000110100 +010000110011 +001100100010 +010000110011 +010000110011 +001100100011 +010001000011 +011001000010 +100001010010 +101001110010 +101101110010 +101110000010 +110010000001 +111010100001 +111011000011 +101010000011 +010101100100 +010101100110 +001101010110 +001001000110 +011001111000 +100110101001 +110111000111 +110111011001 +110011001010 +011101100100 +010101000010 +001100110010 +000100100010 +000100100010 +001000010001 +010101000010 +011001010100 +010101100110 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +001100110011 +000100010000 +001000010001 +001100110011 +010001010100 +010101010101 +010000110010 +001100100010 +000100010001 +000100010000 +001000010001 +001000100001 +001000100001 +001000010001 +010000110011 +010101010101 +001100110010 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010000110001 +100001010010 +101101100010 +101001110010 +011101100011 +010001100101 +010101100110 +101010111010 +101010111010 +100010011001 +100110011001 +100010001000 +011001110111 +011001100110 +010101100110 +010101100101 +011001100110 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100110101001 +110110110101 +101110010010 +101001110010 +100101100010 +100001100010 +100001100010 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100001010001 +011101010001 +011101010001 +100001010001 +100001100001 +100101100010 +100101100010 +100101100010 +100101100010 +011101010001 +010101000001 +001100110010 +001001000011 +001001000101 +001001010110 +001001010110 +001001010111 +010001101000 +011010001000 +011101110111 +011001100101 +011001110110 +011001110110 +011001100110 +011001100110 +011001110110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011001110110 +011101100101 +011101100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011110001000 +011110001000 +011001110111 +011110000111 +011110011001 +100010000101 +101001110010 +101110000010 +101101110010 +101101110010 +101101110010 +100101010010 +001100010000 +001100110010 +100010001000 +100110011001 +101010101010 +101010101010 +101010101011 +101010111011 +101010111011 +101010111011 +101010101010 +101010101010 +101010101010 +100010011001 +011001100110 +001100110011 +001100110011 +001000100010 +000100000000 +000100010001 +001101000100 +001100110100 +001101000100 +001100110100 +001000110011 +001000110011 +001000110011 +001000110011 +001100110100 +001100110100 +010101010110 +011001100111 +010001010101 +010001010101 +010001010101 +001101000101 +010001100110 +010101111000 +011001111001 +011010001001 +011010001001 +010101100111 +001101000100 +001000100010 +001000010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000000010000 +000100010000 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +011101110110 +010101000101 +001000100011 +001000110011 +001100110100 +001100110011 +001000100011 +001000110011 +011001100110 +011110001000 +011101110111 +011101110111 +011101110111 +010101010101 +010101010101 +010101010101 +010001000100 +001100110011 +001100110011 +010101010101 +010001000100 +010001000100 +011001010110 +010101010101 +010001000100 +010001000100 +010101010101 +100001110111 +100010001000 +100010001000 +011101110111 +011101110111 +100001100100 +100001100010 +100101100010 +100101100010 +100101010010 +100101010001 +100001010001 +100001010001 +100001000001 +011101000001 +010100110000 +001100110010 +001001000100 +000101010110 +000101010111 +000001010111 +000101101000 +000101101000 +000101101000 +001001111001 +001001111010 +010010001011 +010001111000 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100010010 +000100010010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100110011 +001000110011 +001000110011 +001000110011 +000100010000 +000100100010 +001101000100 +010001010101 +010001100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001010110 +010001010101 +001101010101 +001101000101 +010001010110 +011001110111 +011110001000 +011110001000 +011101111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +010101000100 +010000110011 +001100110011 +001000100001 +000100100001 +001000110011 +001101000100 +010001000100 +010101010110 +010001010101 +011001100110 +010001000100 +010101000101 +010101010101 +010001000100 +010001000100 +010101010101 +010001000100 +010101010101 +011001100110 +001100100001 +001100010000 +011101010001 +101001100010 +101101110010 +110010000010 +110010000001 +110010000010 +101110000010 +100001110010 +011001010010 +001001000100 +010001010111 +010101101000 +010101100111 +010101111000 +011001111000 +011110001001 +010101100111 +010001010110 +001001000101 +000100110100 +000100100011 +000100100011 +001100110011 +011001010100 +100110000111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +010101010101 +000100010000 +000100010001 +000100010000 +000100010000 +001000100001 +001100110011 +001000100001 +001000100001 +001000010001 +001000010000 +001000100000 +001000010001 +001000100001 +001000100010 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +100001010001 +101001100010 +101001110001 +010101010001 +011001100100 +100110011000 +011101110111 +100110101001 +100110011001 +100010011001 +100110101001 +100110101001 +011001100101 +010001000100 +010001010101 +011001100101 +011101110111 +011110000111 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +110010111000 +110110100010 +101101110010 +101001110010 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100001010001 +100101010010 +100101010010 +100001010010 +100001010010 +100001010001 +100001100001 +100101100010 +100101110010 +101001110010 +100101110010 +100101110010 +100101100010 +010101000001 +001000110011 +001001010101 +001001010101 +001001010110 +001001010110 +001001000110 +001001010110 +001101100111 +011010001000 +100010000111 +011101100101 +011001100110 +011001100110 +011001100110 +011101110110 +011101110111 +011101111000 +011001110111 +011001110111 +011001111000 +011110001000 +011110001000 +011110001000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110110 +011101110110 +011101110110 +011001110110 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011110001000 +011101111000 +011001110111 +100010000111 +101110111010 +101010000011 +101101110001 +110010000010 +101101110010 +101101110010 +101001100010 +010100110001 +001000100001 +100010001000 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101011 +100110101010 +100110011001 +100010001001 +001100110011 +001000010001 +001000100001 +001100110010 +001000010001 +000100000000 +001000100010 +010001000100 +001100110011 +001100110011 +001000100011 +001000110011 +010001000100 +001100110100 +001100110100 +001100110100 +010001000101 +010101100110 +010001010101 +010001010101 +010001010110 +010001010110 +010101100111 +011001111000 +011010001001 +011010001001 +011001111000 +010101100111 +010000110100 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100010000 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011101100110 +001100110100 +001000100011 +001000110011 +001101000100 +001100110100 +001100110100 +001101000100 +001100110100 +010101010110 +100010001000 +100010001000 +011001100110 +010001000100 +010101010101 +010000110100 +001100110011 +010101010101 +011101110111 +011101110111 +011101110111 +011001100110 +011001010110 +010101010101 +011001100110 +011101110111 +011001100110 +010101010101 +010000110100 +001100100011 +001000010010 +010000110011 +011001000010 +100001010001 +100101010010 +100101010010 +100101010001 +100101010010 +100101010001 +100101010001 +100001010001 +100001010001 +011101000001 +010000110001 +001001000010 +001001000100 +000101010110 +000001000110 +000101010111 +000101101000 +000101101000 +000101011000 +001101111010 +001101111010 +001101101000 +001000100010 +000000010000 +000100010001 +000100010010 +000100100010 +000100100010 +000100010001 +000100010010 +000100010010 +000100010010 +000100010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010000 +000100100001 +001101000100 +010001010110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001100110 +011001110111 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011001010101 +010101000101 +100010001001 +100110011001 +100010001001 +100110011010 +100010001001 +010101100110 +010001010101 +011101110111 +011101100110 +010101000100 +010000110011 +010101000100 +010001000100 +010000110011 +010001000011 +010101010100 +010101010100 +010101000100 +011001010101 +000100010000 +001000010000 +011101000001 +101001110010 +110001110001 +101101110010 +101101110010 +101110000010 +100101100010 +010001000001 +001001000101 +001001000101 +001001000101 +001001000110 +001001010110 +001101010111 +010001101000 +010001101000 +010001101000 +001101010111 +001001010110 +001001000110 +001001000101 +010101111000 +001101000101 +010001000011 +100001110101 +100010000111 +011110001000 +011110001000 +011110001000 +011110001000 +010101010101 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +001000010001 +001000010001 +001000010001 +001000010000 +001000010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010000100000 +100101010010 +101001100010 +100001100010 +010101000010 +100001110101 +011101110101 +010101100110 +010001010110 +001001000101 +001001000101 +001001010101 +010101110111 +100110101001 +100001110110 +010101010100 +010101100100 +011101110111 +011101111000 +011110000111 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100110101001 +110110110101 +101110000010 +101001110010 +100101110010 +100101100010 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100001010001 +100001010010 +100001010001 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101110010 +100101110010 +100101100010 +011001010010 +001101010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001000101 +001001010110 +001101111000 +011110001000 +100001110110 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011001110111 +011001110111 +010101100110 +011001110111 +011110001001 +100010011001 +011110001000 +011001110111 +010101100110 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001100110 +011001100110 +011001110110 +011001110110 +011001110111 +011001111000 +011101110111 +011001110110 +011101110111 +101110111000 +101110010010 +110010000010 +110010000010 +110010000010 +101101110010 +011000110001 +001000010000 +010001000011 +100110011001 +100110011010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101011 +100110011001 +100110011010 +011001100110 +001000100001 +001100100010 +001100100010 +010000110100 +001100110100 +001000100010 +000100000000 +001000100001 +001000100010 +001100110011 +001000100011 +001000100011 +001100110011 +010001000100 +001100110011 +001100110100 +010001000100 +010101010110 +010001010101 +010001010101 +010001010110 +010001100110 +010101100111 +011001111000 +011001111000 +010101111000 +010101101000 +010001010110 +001100110100 +001000100010 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +001100110010 +001100110011 +001000100001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010101000100 +010101000100 +001100110011 +001000100011 +001000110011 +001100110100 +001100110100 +001101000100 +001100110011 +001100110100 +001100110100 +001100110100 +011001100111 +100110011001 +011101110111 +010001000100 +010000110100 +010001000100 +010001000100 +010001000100 +010101000101 +010101010101 +010001000100 +010001000100 +010101010101 +010001000100 +001100110011 +010001000100 +011001100110 +011101110111 +011001100110 +010001000100 +001100110011 +010000110010 +011101010010 +100001000001 +100001010001 +100101010010 +100101010010 +100101010010 +100101010001 +100101010001 +100101010010 +100001010010 +100001010001 +010101000010 +001001000100 +001001010110 +000101010111 +000101010111 +000101010111 +000101010111 +000101101000 +001101111010 +010010001011 +001101111010 +000100100010 +000000000000 +000000000000 +000100010001 +000100100010 +000100100010 +000100010010 +000100100010 +000100010010 +000100010010 +000100010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010000 +000100100001 +001101000100 +010001010110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010101100110 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011001100110 +010101010101 +100110011001 +100010001000 +011101111000 +100010011001 +100110011010 +011101111000 +001100110011 +001100110011 +010101010101 +010101000100 +001100110011 +010000110011 +010101010100 +010101000100 +010101000100 +001100110011 +011001100110 +100010001000 +100010000111 +010001000100 +000100000000 +001000010000 +011101010001 +101001110010 +101101110010 +101101110010 +101101110010 +011101010001 +001000110010 +000100110100 +001000110100 +001000110101 +000100110101 +001001000110 +001001000111 +001001010111 +001101010111 +001101010111 +001101101000 +001101101000 +001101101000 +001101101000 +010001100111 +010001111001 +000101000110 +000100100010 +010101010100 +011101110111 +011110001000 +011110001000 +011110001000 +010101010101 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +001000010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +011101000001 +101001100010 +100101100010 +011101010001 +010101010011 +010101010100 +001101000011 +001001000100 +000100110100 +000100110100 +001001000101 +001001000101 +001001000101 +001101010111 +100110011001 +110111001000 +101110100101 +101010010101 +100010000111 +011110001000 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100110011001 +101110100111 +101110010010 +101001110010 +100101100010 +100101100010 +100001010001 +011101010001 +100001010001 +100001010001 +100101100010 +100001100010 +100001100010 +100001010001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100001100001 +100001100010 +010001010100 +001001010110 +001001000101 +001001010101 +001001010110 +001001010110 +001001010110 +001001000101 +001001010110 +001001100111 +010001100111 +100110011001 +100110000111 +011101100101 +011101100101 +011101100101 +011101110111 +011101110111 +011001110111 +011001100111 +011001111000 +100010011001 +100010011001 +011110001000 +010101100111 +010101100110 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001110110 +011001110110 +011001110111 +011001110111 +011001110111 +010101100110 +011001100110 +101110110111 +110010100010 +110010010010 +110010000010 +110001110010 +101101110010 +100001000001 +001100100000 +001100110010 +100010011001 +100110011010 +101010101010 +101010101010 +100110011010 +100110011010 +101010101010 +101010101010 +101010101010 +100110011010 +100110011010 +100110011001 +001100110011 +001000100001 +001100110010 +001100110011 +001100110011 +001000100010 +001000100010 +000100010000 +000000000000 +001000100001 +001100110010 +001100110011 +001100110011 +001100110100 +001100110100 +001100110011 +001100110011 +001100110011 +010001010101 +010001000101 +001101000101 +010001010101 +010001010101 +010001010110 +010101100111 +010101101000 +010101101000 +010101101000 +010001010110 +001100110011 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +010001000011 +010001000011 +010000110011 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +001100110011 +001000100011 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001100110011 +001100110011 +001000100010 +010101010110 +100110011010 +100010001000 +011001100110 +010101010101 +010101000101 +010001000100 +011001010110 +011101100111 +011101110111 +011001100110 +011001100110 +011101110111 +100010001000 +100110011001 +100110011001 +100010001000 +100010001000 +100010001000 +100010001000 +011101100101 +011101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100001010010 +010101000011 +001001000101 +000101010111 +000101010111 +000101010111 +000101000111 +000101010111 +000101010111 +001001101000 +010010011011 +001101010110 +000000000000 +000000000000 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +000100010000 +000100100001 +001101000100 +010001010110 +010101100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010001010110 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001100110 +010001100110 +010001100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010101100110 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011001110111 +011001100110 +010001000100 +001100110100 +001000100100 +001000110011 +010001000100 +011001100110 +011001010110 +010101010101 +011001010101 +010000110011 +001100110011 +010101000100 +010001000011 +010000110011 +010101000100 +011101110111 +100110011001 +100110011001 +100110011001 +100010001000 +001100100010 +000100000000 +001100100000 +100101100010 +101101110010 +101101110010 +101001110010 +010101000001 +001000100010 +000100110011 +000100110011 +000100110100 +000100110100 +001000110101 +001001000110 +001001010111 +001001000110 +001101011000 +001101101000 +001101100111 +001101010111 +001101101000 +010001101000 +001101101000 +001001010111 +000100110100 +010001000100 +011101100101 +100010001000 +011110001000 +011101111000 +011101110111 +001000100001 +000100000000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +001000010001 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010100110001 +100101010010 +100101100010 +100101010010 +011101010001 +010001010100 +001001000100 +001001000011 +001000110100 +000100110100 +001000110100 +001000110100 +001000110100 +001001000101 +001001010110 +001101100111 +100010011000 +110011001001 +100110000101 +100010000101 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100110011001 +110010010100 +101001110001 +100101110010 +100101100001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010010 +011101010001 +100001010001 +100001100001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100001 +100101100010 +100001100010 +011001010010 +001101010101 +001001010110 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +010001100111 +100110101010 +101010011000 +011101100101 +011001100101 +011101110111 +011110001000 +011110001000 +011110001000 +011110001001 +100010011001 +100010011010 +011110001001 +011001110111 +011001110111 +011001110111 +011110001000 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001110110 +011001110111 +011101110111 +011001110111 +010101100110 +010101100101 +101110100101 +111010100010 +110010000010 +101101110010 +101101110010 +101101110010 +011001000001 +000100010000 +001100110011 +100110011001 +100110011010 +100110011010 +101010101010 +101010101010 +101010101010 +100110101010 +101010101010 +100110011010 +100110011010 +100110011010 +011001110111 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010000 +000100010000 +000100010000 +000000000000 +000100010000 +001000100001 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001010101 +010001000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010111 +010101101000 +010101101000 +010101101000 +010101010110 +010000110100 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +010001000100 +010101000100 +001100110010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000110011 +001100110011 +001000100011 +001000100010 +001000100011 +001000100011 +000100100010 +011101110111 +100110011001 +100010001000 +100110001000 +100110011001 +011101110111 +010101010101 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +010000110011 +001000100010 +001000100010 +001000010001 +001100100001 +010100110001 +011101000010 +100001010001 +100001010001 +100001010001 +100101010010 +100101010010 +100101010010 +100101010010 +100101010010 +100101100010 +100101100010 +100001010001 +010101000010 +001101000100 +001001000110 +000101010111 +001001011000 +000101011000 +000101011000 +000101011000 +001001111001 +010010001010 +001001000100 +000100010010 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000000010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000100010000 +000100010001 +001101000100 +010001010110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001100110 +010001100110 +010101010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010110 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +010001000100 +001100110101 +001000110100 +001000100011 +000100100010 +000100010001 +000100010001 +001100110011 +010101010101 +011101110111 +011101100111 +011101100110 +011101110111 +011101111000 +100010001000 +100110001001 +100110011001 +100110011010 +101010011010 +100010001001 +001000100010 +000100000000 +001000010000 +011001000001 +101001100010 +101001100010 +100101100001 +010000110001 +000100110011 +000100110011 +000100110100 +000100110100 +000100110011 +000100110100 +000101000101 +001001000110 +001001010110 +001001010111 +001001101000 +001001101000 +001001101000 +001101101000 +001101101000 +001101101000 +001101101000 +001001000110 +001101010101 +010000110010 +100001110111 +011110001000 +011101111000 +011110001000 +011001010011 +000100000000 +000100010001 +000100000000 +000100000000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100000 +011101010001 +100101010010 +100101010001 +100001010010 +011001000001 +001001000011 +001001000100 +001001000100 +000100110011 +001000110100 +000100110100 +000100110100 +001000110100 +001001000101 +001001010110 +001101100111 +010001111000 +011110001001 +101010101010 +011001010100 +011101110110 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +101010010111 +101110000010 +100101110010 +100101100010 +100101100010 +100001010001 +100001010001 +011101010001 +100001010010 +100001010010 +100001100010 +100001010010 +100001010010 +011101010001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100001100010 +011101010001 +010101000010 +001001010101 +001001000101 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001100111 +001101100111 +001001010110 +001101010110 +100110101001 +101010101000 +100001110101 +011101110110 +011110001000 +011110001000 +011110001001 +100010011001 +100010011010 +100010011010 +100010011001 +011110001001 +011110001000 +011110001000 +100010001000 +011101110110 +011101110110 +011101110110 +011101110110 +011101110101 +011101100101 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101110110 +011101110110 +011001110111 +011101110111 +011001110111 +011001100110 +011101110101 +111011010100 +110110100001 +110010000010 +101101110010 +101101110010 +101001100010 +001100100000 +000100010000 +001100100010 +100010001000 +100110011010 +100110011001 +100110011010 +100110101010 +100110011010 +100110011001 +100110011010 +100110011001 +100110011001 +100110011010 +010101010101 +001000100001 +001000010001 +001000010001 +001000100001 +001000010001 +000100010000 +000100010000 +001000010001 +000100010000 +000000000000 +000100010000 +001100110010 +010000110011 +001100110010 +001100110011 +010001000100 +001100110011 +010001000100 +010001010101 +010001000100 +001101000100 +001000110100 +001000110100 +001101000101 +010001010111 +010101101000 +010101111000 +010101111000 +010101100111 +010001000100 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +010101000100 +011101110110 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +010001000101 +011001100110 +010101010101 +011101111000 +100010001000 +100110011001 +100110011010 +100010001001 +011001100110 +010101000101 +010101000101 +010101010101 +011001010110 +010101010101 +010001000100 +010001000100 +010101010101 +010101010101 +010001000100 +001100100011 +001000010001 +001000010000 +010000100001 +011001000001 +100001010001 +100101010001 +100101010001 +100101010010 +100101010010 +100101010001 +101001100010 +101001100010 +100101100010 +100001010001 +011001010001 +001101000011 +001001000110 +000101010111 +001001111001 +001001111010 +001001101001 +000101101001 +001001111010 +010010001010 +001101000101 +001000110100 +001000110011 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010010 +000100100010 +000000010010 +000000010001 +000000010001 +000000100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000000010000 +000100010001 +001101000100 +010001010110 +010101100110 +010001100110 +010101100110 +010101100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +010001000101 +010001010101 +010001010101 +010001010110 +010001100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001100110 +010001010110 +010001100110 +010101100110 +010001100110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010001010110 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +010101100110 +001000110011 +001000110100 +001000110011 +000100010010 +000100010001 +000100010000 +000100010001 +001000010001 +011001100110 +100110011001 +100110011001 +100110011001 +100010001000 +100110001001 +100110011001 +100110011001 +100110011010 +100110011010 +100010001000 +001000010001 +000100000000 +000100010000 +010000110000 +100101100010 +100101100010 +011101010001 +001000100001 +000100110011 +000100110011 +000100110100 +000100110100 +000100110011 +000100110100 +000100110101 +000101000101 +001001010110 +001001010111 +001001010111 +001001101000 +001001101000 +001001101000 +001001010111 +001001011000 +001001011000 +001001010111 +001101010111 +000100100011 +001100110010 +100001110111 +011110001000 +011001111000 +101010000101 +010000100000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +001000010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +001000010000 +010000110001 +100001010001 +100101010001 +100001010010 +100001010001 +011001000001 +010000110001 +000100110010 +001001000100 +000100110100 +000101000100 +000101000100 +000100110100 +001001000100 +001001000101 +000101000101 +001001000101 +001001100111 +001101101000 +010110001000 +011110011001 +001101000011 +100010000111 +100010011001 +100010011010 +100010011001 +100010011001 +100110011001 +101110010101 +101001110010 +100101100010 +100101110010 +100101100010 +100001010001 +100001010001 +100001010010 +100001100010 +100101100010 +100001100010 +100001010001 +100001010010 +100001010001 +100001010001 +100101100010 +100101100010 +100101110010 +101001110010 +100101110010 +100101100010 +100001100010 +100001010010 +011001010001 +010001000001 +001001000100 +001001010101 +001001010101 +001001010110 +001001010110 +001001010110 +001001000110 +001001010110 +001001010111 +001001100111 +001001100111 +001001010110 +001001000101 +001000110011 +011101110111 +101110101001 +100110000111 +011110001000 +011110001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110101 +011101110110 +011001110111 +011101110111 +011001110111 +011001100101 +101010100110 +111111010100 +110110010001 +110010000010 +101110000010 +101101110010 +100001010010 +001100100000 +001000010001 +000100010001 +010101000100 +100110011001 +100110011001 +100110101010 +100110101010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +001100110011 +001000010001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010001 +000100010000 +001000010001 +001100100010 +001000100001 +001000010000 +010000110010 +001100110010 +010001000011 +010001000100 +001100110011 +010001000100 +010001010101 +010001000100 +001101000100 +001101000100 +001101000100 +010001010110 +010101100111 +011001111000 +011001111000 +011001111000 +010101100110 +010001000100 +010000110010 +010000110010 +001100110010 +001100110001 +010001000010 +010001000010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100011 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +010000110010 +101010011000 +100001110101 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +001000010001 +001000100010 +001000100010 +001100110011 +001000100010 +000000000000 +010001000100 +100010011001 +100110011001 +100010001001 +100110011001 +100110011001 +100110101010 +100110011010 +100110011001 +100110011001 +100110011001 +100110001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001111000 +011101110111 +001100110011 +000000000000 +001000010000 +011101000001 +100101010010 +100101010010 +100101100010 +100101100010 +101001100010 +101001100010 +101001100010 +101001100010 +100101100010 +100001100001 +011001010001 +001101000011 +001001000101 +000101010111 +000101101000 +001001111001 +001001111010 +000101101001 +001101111001 +010001100111 +001100110100 +001000110011 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000000010001 +000000010001 +000100010010 +000100010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +001000110011 +001000110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000000010000 +000100010001 +001101000100 +010001100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000100 +001000110100 +001000110100 +001000110011 +001100110100 +001101000100 +001101000101 +001101010101 +010001010101 +010001010101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001100110 +011001100111 +011001111000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +011110001001 +011110001000 +011110001000 +011110001001 +100010001001 +010101100110 +001000100011 +001000110100 +001000110011 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +010001000100 +011001110111 +100110011010 +100110011010 +100110011001 +100110001001 +100110011001 +100110011001 +100110011001 +100110011001 +011101100111 +000100010000 +000100000000 +000100000000 +010000100000 +100001010001 +100101010010 +010100110001 +001000100010 +000100110011 +000100110011 +000100110100 +000100110100 +000100110100 +000100110100 +000100110100 +000101000101 +000101010110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010111 +001001010111 +001001011000 +001001011000 +001001010111 +001001010111 +001001000101 +000000000000 +010101010100 +011110001000 +011101111000 +100010000110 +100101100011 +010000100000 +000100000000 +000100010000 +000100000000 +000100000000 +000100010000 +001000010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +001000100000 +010000110000 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011001000001 +001000110010 +000100110100 +000101000100 +000101000100 +000101000100 +001001000100 +000101000100 +001001000101 +001001000101 +000100110100 +001001010110 +001101111000 +001101101000 +010110001001 +010001100111 +010001010101 +100010000111 +100110011001 +100010011010 +100010011001 +100110011001 +101110010011 +100101110010 +100001100001 +100101110010 +100101110010 +100001100010 +100001100001 +100101100010 +100101100010 +100101100010 +100101100010 +100001100001 +100001010001 +100001010001 +100101100001 +100101100010 +100101100010 +100101110010 +100101110010 +100101100010 +100101100010 +100001100010 +100001100010 +011001010001 +010001000010 +001001000101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +001001100111 +001001010110 +001001010110 +000100010001 +001101000100 +010101110110 +011101110110 +100010001000 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011001110111 +011101110111 +011001110111 +011001100110 +101110100111 +111111000011 +110110010001 +110010000010 +101101110010 +101001110010 +010100110001 +001000010000 +001000100001 +001000100001 +001000100001 +010101010101 +100010011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011001 +011110001000 +001000100010 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +001000100001 +000100010000 +000100010000 +000100010000 +000100010001 +001100110011 +001000100001 +001100110011 +001100110011 +001100110011 +010001010101 +010001000101 +010001000100 +010001000011 +001101000100 +010001000101 +010101010110 +010101111000 +011001111000 +011001111000 +010101100111 +010101010110 +010001000011 +010000110010 +001100110001 +001100110001 +001101000001 +001101000001 +001101000010 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100100010 +001100100010 +001000100010 +001000100001 +001100110001 +100101110110 +100001110101 +010000110010 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100010 +000000000000 +000100010001 +001000100010 +001000100011 +010101100110 +100010011001 +100010011001 +100010001001 +100110011001 +100110011001 +100110011001 +100010001001 +100110011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +011101110111 +011101100110 +001000010001 +000000000000 +001100100000 +011101010001 +100101010010 +100101100010 +100101100001 +100101100010 +101001100010 +101001100010 +101001100010 +100101100010 +100101100001 +100101100010 +011001010010 +001101000001 +000101000100 +000101000110 +000101101000 +001001111010 +000101101000 +001001111000 +001101100111 +001000110011 +000100110011 +000100100010 +000000010001 +000100100010 +000100010010 +000100010001 +000000010001 +000000010001 +000100010010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000000010001 +000100010010 +001000110011 +001000110100 +000100100011 +000000010001 +000000010001 +000100100010 +000100100010 +001000110011 +001000110011 +000100010001 +000100010001 +001101000100 +010101100110 +011001110111 +011001110111 +010101110111 +010101100111 +010101100111 +010101100110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000100 +001000110100 +000100110011 +000100100011 +000100100010 +000100100011 +000100100011 +001000110011 +001000110011 +001000110100 +001000110100 +001101000100 +010001010101 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +100010001001 +010101100110 +001000100011 +001000110011 +001000100010 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +001000100010 +001100110011 +011001100111 +100010001000 +100110011010 +100110001001 +100110001001 +100110001001 +100110011001 +100010001001 +010001000100 +000000000000 +000100010000 +000100000000 +010000100000 +100001010001 +100001010001 +010000110001 +001000110010 +000100110011 +000100110011 +000100110100 +000100110100 +000100110011 +000100110100 +000100110100 +000101000101 +000101000110 +000101000110 +001001000110 +001001010111 +000101010110 +001001101000 +001001101000 +001001010111 +001001101000 +001001010111 +001001100111 +001001101000 +000100100011 +001000100001 +011101110111 +011110001000 +011101111000 +100110000110 +100101100010 +010000110001 +001000010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100000 +001100100000 +001100010000 +001100100000 +001000010000 +000100000000 +000100000000 +000100010000 +001000010000 +001100110001 +010100110001 +011001000001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010010 +100001010010 +011101000001 +001100100001 +000100110011 +000100110100 +000100110100 +000100110100 +000101000100 +000101000100 +000101000101 +001001010101 +000101000100 +001001010101 +001101100111 +001101100111 +001101111000 +010001111000 +010001100111 +010101100110 +100110011000 +100110011010 +100010011010 +100110011000 +101010000011 +100001100001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100001100001 +100001100010 +100001100010 +100101100010 +100001100010 +100001100010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100001110010 +011101100010 +010101000010 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +001001010110 +001001100111 +001001100111 +001001100111 +001001010111 +001101100111 +000100100010 +001000100010 +010001111000 +010001100110 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010011001 +011110011001 +011110001001 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011001110111 +011001110111 +011001110111 +011001100110 +100010000101 +111111000100 +110110100001 +110010000010 +101101110010 +101001100010 +010000110000 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +001100110011 +011001110111 +100010011001 +100110011010 +100010011001 +100010011001 +100010011001 +100110011001 +011110001000 +001000100010 +000100010001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +001000010000 +000100010000 +000100010000 +000100010000 +001000100010 +010001000100 +010001000100 +001000100001 +001100100010 +001100110010 +001101000100 +010001000101 +001101000100 +001101000100 +010001000011 +010001000100 +010001010101 +010101010110 +010101100111 +011001111000 +010101100111 +010001100101 +010001010100 +010001000010 +001100110010 +001100110001 +001100110001 +001100110001 +001101000001 +001101000001 +010001000010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +010000110011 +001000010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000000000000 +000000000000 +000000000000 +000100010001 +000000000000 +000000000001 +000100010001 +010001010101 +100010001000 +100010001000 +100010001001 +100010001001 +100110001001 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +011101110111 +011101111000 +100010001000 +100001111000 +100001111000 +011101110111 +010000110011 +000000000000 +000000000000 +010000110001 +011101010001 +100001010001 +100101010001 +100101100010 +100101100001 +101001100001 +101001100010 +100101100010 +101001100010 +100101100010 +100001100010 +011001010010 +001101000011 +000101010110 +000101010111 +000101101000 +000101011000 +001001111001 +010010001010 +000100110100 +000100100010 +000000010001 +000000010001 +000100100001 +000100100010 +000000010001 +000000010001 +000000010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +000100100010 +000100100010 +000100010010 +000000010001 +000100100010 +001000110100 +001100110100 +000100100011 +000000000001 +000000010001 +000100100010 +000100100010 +001000110011 +001001000011 +000100010001 +000100010001 +001100110011 +010101010110 +011001100111 +011001110111 +010101110111 +010101100111 +010101100111 +010101100110 +010001100110 +010001010110 +010001010110 +010001010101 +010001010101 +001101000101 +001101000100 +001101000100 +001000110100 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010101 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001000 +011110001001 +010101010101 +001000100010 +001000100011 +000100100001 +000100010001 +000100010001 +000100010001 +000000000000 +000100010000 +001000010001 +000100010001 +001000100010 +001100110011 +011101110111 +100110001001 +100110001001 +100010001000 +100010001000 +011101110111 +001000010001 +000000000000 +000000000000 +000100000000 +010100110001 +100001010001 +011101000001 +010000110000 +001000100010 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110100 +000100110100 +000101000101 +000101000101 +000101000101 +001001010111 +000101000101 +000101010111 +000101010111 +000101000110 +001001100111 +001001101000 +001101111000 +001001101000 +001101010110 +010000110001 +010101010100 +011110001000 +011110001000 +011101110111 +100101110101 +100001100010 +010100110001 +001100100000 +001000010000 +001000010000 +010000110001 +010101000001 +010101000001 +011001000001 +011001000001 +011001000001 +010100110001 +001100100000 +001100100000 +010100110001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010010 +100101010010 +100101010001 +100001010001 +011001000001 +001100100001 +000100110011 +000100110100 +000101000101 +001001000100 +001001000101 +000101000101 +000101000101 +001001000101 +001001000101 +001001000101 +001001010110 +001001010110 +001101100111 +010001111000 +010001111000 +010001110111 +100010001001 +100110101010 +100010011010 +100110011000 +100101110010 +100101100001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010001 +100001010001 +100001100010 +100001100010 +100101110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100001100010 +011001000010 +001101010100 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010110 +001001100111 +001001100111 +001001100111 +001001100111 +001001100111 +001001000100 +000100100010 +010001111000 +001101111000 +001101010101 +100010001000 +011001110111 +011001111000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011101110111 +011001110110 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001110110 +011001110111 +011001110111 +011001110111 +011001110110 +100010000101 +111011000100 +110110010001 +110010000010 +101101110010 +101001110010 +011001000001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001100110011 +010101010101 +011001100110 +011110001000 +011110001000 +011110001000 +100010001001 +010001010101 +001000100001 +000100010001 +000100010001 +001000100001 +000100010000 +000100010000 +001000010000 +000100010000 +001000100001 +001100110011 +010001010101 +010001010101 +010001000100 +001000010001 +001100110010 +010000110011 +010001000100 +001101000100 +001101000011 +001101000011 +001101000011 +010001000011 +010001000100 +010001010101 +010101100110 +010101100110 +010001010101 +001101000011 +001100110010 +001100110010 +001100110010 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001101000010 +001100110010 +001000100010 +001000100001 +001000100001 +000100100001 +000100100001 +001000100010 +000100000000 +000000000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000000000000 +000000000000 +000000000001 +000000000000 +000000000000 +001101000100 +011110001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101111000 +100010001000 +100010001000 +100001110111 +100001110111 +011101100110 +001000010001 +000000000000 +000000000000 +010000100000 +011101000001 +100001010001 +100101010010 +100101100010 +101001100001 +101001100010 +101001100010 +101001100010 +100101100010 +100101100010 +011001010010 +001101000011 +000101000110 +000101010111 +000101101000 +000101101000 +001001111010 +010010011100 +001001010110 +000100100010 +000100010001 +000100100001 +000100100001 +000100100010 +000000010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +000100100010 +000100100010 +000100010001 +000000010001 +000100100010 +001000110100 +001100110100 +000100100010 +000000000001 +000000010001 +000100100010 +001000110011 +001101000100 +001101000100 +000100010001 +000100010001 +001000110011 +010001010101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010001010110 +010001010110 +010101010110 +010101100110 +010101100110 +010001010110 +010001010101 +010001010101 +001101000101 +001101000100 +001000110011 +000100100010 +000100100010 +000100100010 +001000110011 +010001010101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +011110001001 +011110001000 +011110001000 +011101111000 +011101111000 +010101010101 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001100100010 +011101110110 +011101110111 +011101110111 +011001100110 +001000100010 +000100000000 +000100000000 +000000000000 +001000010000 +011001000001 +011101000001 +011001000001 +010000110000 +001000100001 +000100110011 +000100100011 +000100110011 +000100100011 +000100110011 +000000100011 +000100110011 +000100110100 +000100110100 +000101000101 +000101000101 +001001010110 +000100110101 +001001010110 +000101000110 +000101000110 +000101100111 +001001101000 +001001101000 +001001101000 +001101101000 +010101010100 +010101000010 +011101111000 +011110001000 +011110001000 +011110001000 +011101110101 +100001100010 +011001000001 +010100110001 +011001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001010001 +100101100010 +100101010010 +100101010010 +100001010001 +100001010001 +011000110001 +010000100000 +001000110011 +000100110100 +000100110101 +000100110100 +001001000101 +000101000101 +000101000101 +000101000101 +001001000101 +000101000101 +001001010110 +001001100110 +001001100111 +001001100111 +001101100111 +001101101000 +010101111000 +100010011001 +100110011010 +100110000111 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010010 +100001010010 +100001100010 +100101110010 +100101110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100001100010 +011001000001 +001101000011 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010110 +001001100111 +001001100111 +001001100111 +001001100111 +000101010110 +001001000100 +000100100010 +001101111000 +001001111000 +001001000101 +011001100110 +011001100110 +010101100110 +011101111000 +011110001000 +011110001000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001100101 +011001100110 +011001100110 +011101100101 +011101100101 +011001100101 +011001100101 +011001100110 +011001110110 +011001110111 +011001110111 +011001110110 +100001110101 +111010110010 +110110010001 +101101110010 +101101110010 +101001100010 +011101010010 +001000100000 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100010 +010001000100 +010001000100 +001100110011 +010001000100 +001100110010 +001000010001 +000100010000 +000100010000 +000100010000 +001000010000 +001000010001 +001100110010 +001100110011 +010001000100 +001101000101 +001101010101 +010001000100 +001000010001 +001100110010 +010001000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001101000011 +001101000011 +010001010100 +010001000100 +001101000011 +001000110010 +001100110010 +001100110001 +001100110010 +001100110010 +001100110010 +001100110001 +001100110001 +001100110001 +001000110001 +001000110001 +001000110001 +001000110001 +001100110001 +001100110001 +001100110001 +001000110001 +001100110001 +001100110001 +001000110001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100010 +001000100010 +000100010000 +000000000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010101010101 +011110001000 +011110001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +011001010101 +000100010001 +000000000000 +000000000000 +000100010000 +011001000001 +100001010001 +100101010001 +100101100010 +101001100010 +101001100010 +101001100010 +101001100010 +101001100010 +100101100010 +100001100010 +010101010010 +001001010101 +000101010111 +000101101000 +000101011000 +000101101000 +001001111001 +001101111001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000100010010 +000100010010 +000000010010 +000000010010 +000000010010 +000100100010 +000100100010 +000100010001 +000000010001 +000100100010 +001000110100 +001100110100 +000100100010 +000000000001 +000000010001 +000100100010 +001101000100 +010001010101 +010001010101 +000100010001 +000000010001 +001000100010 +010001010101 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +001101000100 +001000110011 +001000100011 +001000110011 +001000110011 +010001010101 +010101100111 +010101110111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101110111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +011001111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011001110111 +010101100111 +010101100110 +010101010110 +001101000101 +000100010001 +000100010001 +000100000000 +000100010000 +000000000000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +010000110011 +011101110111 +010101010101 +000100010001 +000100000000 +000100000000 +000100000000 +000100000000 +010000110001 +011101000001 +011101000001 +011000110001 +010000110000 +001000100001 +001000110011 +000100100011 +000100100011 +000100110011 +000100110011 +000100110011 +000100110011 +000101000100 +000101000101 +000101000110 +000101000110 +000101000110 +000100110100 +000101000101 +000101010111 +000101000110 +000101010111 +000101011000 +001001010111 +001001101000 +001001101001 +010001100111 +011001000011 +011101110111 +011110001000 +011110001000 +011010001000 +010001100111 +011101010011 +100101100010 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +011001000001 +010100110000 +001000110010 +000100110100 +000100110101 +000100110100 +000101000101 +000101000101 +001001000101 +001001010101 +000101010110 +000101010110 +001001010110 +001001100111 +001001100111 +001101100111 +001001010110 +001101111000 +001101100111 +011110001000 +100010011010 +100110000110 +100001100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100001100010 +100101100010 +100101100010 +100001010010 +100001010001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100001100010 +100001100010 +100101100010 +100001100010 +100001100010 +011101010010 +011001000001 +010001000010 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010110 +001001010111 +001001100111 +001001100111 +001001100111 +001001100111 +001001000100 +000100100010 +001101111000 +001101101000 +001101010110 +001100110011 +011101110111 +011001100111 +011110001000 +011110001001 +011110001000 +011001110111 +010101100110 +011001110111 +011001110111 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001100110 +011001100110 +011001110110 +011001110110 +011001110110 +011001100101 +011001100110 +011001110110 +011001110110 +011001110111 +010101110111 +100010000101 +111111000010 +110110000001 +101101110010 +101101110010 +101001100010 +011001000001 +001000100000 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +001000010001 +000100010000 +000100010000 +001000100001 +010101000100 +010000110011 +001000100001 +010000110011 +001100110011 +001100110100 +001100110100 +001101000100 +010001000100 +001000010001 +001100110010 +001101000011 +001101000011 +001101000011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001101000011 +001101000011 +001101000011 +001101000011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011101110111 +100001110111 +010101010101 +000100000000 +000000000000 +000000000000 +001000010000 +011001000001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100001 +100101100001 +100101100010 +100101100010 +100101100010 +100001100010 +011101010010 +001101010100 +001001100111 +001001101000 +000101010111 +000101010111 +000001000110 +001101111001 +001000110100 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000100010010 +000100010010 +000000010010 +000000010001 +000000010010 +000100100010 +000100100010 +000100010010 +000000010001 +000100100010 +001101000100 +001101000101 +001000110100 +000000010001 +000000010001 +001000110011 +010101010110 +011001110111 +011001100110 +000000010001 +000000010000 +000100100010 +001101000101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010101 +001000110100 +001000110011 +001000110011 +001000110011 +001101000101 +010101100110 +010101110111 +011001110111 +011001110111 +010101110111 +010101110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101110111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +010101100110 +010001010110 +010101100111 +011001110111 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011001111000 +011001110111 +011001100111 +010101100111 +010001010110 +010001010101 +001101000101 +001101000101 +001101000100 +001101000100 +000100010001 +000100010001 +000000000000 +000100010000 +000000000000 +000100000000 +000100000000 +000100010000 +000000000000 +000100010001 +000100010000 +000100010001 +000100010000 +001100110011 +010000110011 +000100010001 +000000000000 +000100000000 +000100000000 +000100000000 +001100100000 +011001000001 +011101000001 +011001000001 +011001000001 +010100110000 +001100100000 +000100100010 +000100100011 +000100100011 +000100110011 +000100110011 +000100110100 +000100110100 +000101000100 +000101000101 +000101000101 +000101000110 +000101000110 +000100110100 +000100110101 +000101000110 +001001000110 +001001010111 +001001010111 +001001101000 +001001101000 +001001011000 +001101100111 +011101111000 +011110001000 +011101111000 +011110001000 +011101111000 +001101010110 +010001000010 +100101100010 +100101100010 +100101100010 +100001010001 +100001010001 +011101000001 +100001010001 +011101010001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010001 +100001010001 +100101010001 +100101100001 +100001010001 +011101000001 +010100110000 +001100100001 +000101000100 +001001000101 +000101000101 +000101000101 +000101000101 +001001000101 +001001000101 +000101010101 +000101000101 +001001010111 +001001100111 +001001010111 +001001100111 +001001010110 +001101100111 +001101111000 +010101111000 +100010011001 +100110000110 +100001100001 +100001010010 +100001100010 +100101100010 +100101100010 +100001100001 +100001010001 +100101100010 +100001010001 +100001100010 +100001010001 +100001100010 +100001100010 +100001100010 +100101100010 +100101100010 +100101110010 +100101100010 +100001100010 +100001100010 +011101010001 +100001010010 +100001100010 +011101010001 +011001010001 +010101000010 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +001001010111 +001001010111 +001001100111 +001001100111 +001001101000 +001001000101 +000100110011 +001101111000 +001101111001 +001101100111 +001000110011 +010101100110 +011110001000 +011110001001 +100010011001 +011110001000 +011001110111 +011001110111 +011001110111 +011101110111 +011101110110 +011101100110 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110110 +011001110111 +011001110111 +011001110111 +011001110111 +011001110110 +110110110011 +110010000001 +101101110010 +101101110010 +100001010001 +010000110000 +001000010000 +000100010000 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010000 +001000100001 +001100100010 +001100100010 +001000010001 +001100100010 +001100110011 +001000110010 +001100110011 +001100110011 +010000110011 +000100010001 +001100110010 +001101000011 +001101000100 +001101000100 +001100110011 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110011 +001101000100 +001101000101 +010001010101 +001101000100 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110100 +100010001000 +011101111000 +011110001000 +011101111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011101110111 +011101110111 +011101100110 +010101000100 +000000000000 +000000000000 +000000000000 +001000010000 +011001000001 +100001010001 +100001010001 +100101010010 +100101100010 +100101010001 +100101100010 +101001100010 +100101100010 +100101100010 +100001100010 +011101100010 +010001010100 +001001100111 +001001101000 +000101011000 +000101101000 +000101010111 +000101000110 +001001010110 +000000100010 +000100010010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +000100100011 +001000110011 +000100100010 +000000010001 +000100100010 +001101000100 +001101000110 +001101000101 +001000110100 +000100100010 +001000110011 +010101100110 +011101110111 +011001110111 +000000010001 +000000000000 +000100100010 +001101000100 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010001010110 +001101000100 +001000110100 +001000110011 +001000110011 +001101000100 +010001010110 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010001100110 +010001010110 +010001010110 +010101100110 +011001110111 +011101111000 +011110001000 +011110001000 +011001111000 +011001110111 +011001100111 +010101100111 +010101100110 +010001010110 +001101000101 +001101000101 +001100110100 +001000110100 +001100110100 +001100110100 +001101000100 +001101000101 +001000100010 +000100010000 +000100000000 +000100000000 +000000000000 +000100000000 +000100000000 +000100000000 +000000000000 +000100010001 +000100010001 +000100010000 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010000 +010100110001 +011000110000 +011000110001 +011001000001 +011000110001 +010000110000 +001100100000 +001000100001 +000100100011 +000100110011 +000000110011 +000100110011 +000100110100 +000100110100 +000100110100 +000000110101 +000101000101 +000101000101 +000101000110 +000100110101 +000101000101 +001001000110 +001001000110 +010001101000 +001001010111 +001001101000 +001001101000 +001001100111 +001001010111 +011110001001 +011110001000 +011101111000 +011110001000 +011001111000 +001001010110 +001000100001 +100101100010 +100101100001 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101100010 +100101100010 +100001010010 +011101000001 +011000110000 +010000110001 +001001000100 +000101000101 +000101000101 +000101000101 +001001000101 +000100110100 +000100110100 +000100110100 +001001000101 +000101010110 +000101000101 +000101000101 +000101010110 +001001000101 +000101000101 +001101111000 +010001100111 +011001110111 +100110000111 +100001100010 +011101000001 +011101000001 +100001100010 +100101100010 +100001100010 +100001100010 +100101110010 +100101100010 +100101110010 +100101100010 +100001100010 +100001100010 +100001100010 +100001100010 +100101100010 +100001100010 +100001010001 +011101010001 +011101010010 +011101010001 +100001100010 +100001100010 +011101010001 +011101010001 +010101000010 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001100111 +001001010111 +001001010111 +001001101000 +001001101000 +001001101000 +001001010110 +001001010110 +001001111000 +001101111001 +001101101000 +001001010101 +001101010101 +100010001001 +100010011001 +100010011001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101110110 +011001110110 +011001110111 +011001110111 +011001110111 +011001110110 +010101100110 +110010010100 +110010000010 +101101110010 +101001100001 +100101100010 +011101010010 +001100110001 +001000100000 +001000100001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +001000010001 +001000100001 +001000100001 +000100010001 +001100100001 +001100110010 +001100110010 +001100110010 +001100110010 +010101000010 +001100110010 +001101000011 +001101000100 +010001010101 +001101000100 +001101000011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110010 +001101000011 +001101000100 +010001010110 +010001010110 +001101000100 +001101000011 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110010 +001000110010 +001000110001 +001000100010 +000100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010000 +000000000000 +000100000000 +001000010001 +001000100001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +011001100110 +011110001000 +011001110111 +011001110111 +011101110111 +011110001000 +011101110111 +100010001000 +011101110111 +011101110110 +011101110111 +011101100110 +010101000100 +001000010001 +000000000000 +000000000000 +000000000000 +000100010000 +010100110001 +100001010001 +100001010001 +100001010001 +100101010010 +100101010010 +100101100010 +101001100010 +100101100010 +100101100010 +100101100010 +011101100010 +010101010100 +001001101000 +001001111001 +000101101000 +000101101000 +000101101000 +000101000110 +001001000110 +000000100011 +000100010010 +000100100010 +000000100010 +000000010001 +000000010001 +000000010001 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +001000110011 +001101000100 +001000110100 +000100100011 +000100100010 +001000110100 +001101000101 +010001010110 +010001010110 +001101000101 +001101000100 +011001100110 +011101110111 +011001100111 +000000010001 +000000000001 +000100010010 +001101000100 +010101100110 +010101100111 +010101100110 +010101100110 +010001010110 +010001100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +001101000101 +001101000100 +001101000100 +001101000100 +001000110100 +001101000101 +010101100110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001100111 +010101100111 +010101100110 +010001010110 +010001010101 +010101100110 +011001110111 +011110001000 +011110001000 +011101111000 +011001110111 +010101100110 +010001010110 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001100110100 +001100110100 +001101000100 +001101000101 +001000100010 +000100010000 +000100010000 +000100010001 +000000000000 +000100010000 +000100010000 +000000000000 +000100000000 +001000100001 +000100010000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +001100100000 +010100110000 +011000110001 +011001000001 +011000110001 +010100110000 +010000100000 +010000100000 +001100100001 +000100100010 +000000100011 +000000100011 +000100110011 +000100110100 +000100110100 +000100110100 +000000110100 +000101000110 +000101000101 +000101000110 +000101000101 +000101000110 +001001010111 +000101000110 +001001010111 +001001100111 +001001101000 +001001111000 +001001101000 +001001010111 +011010001000 +100110011001 +011101111000 +011110001000 +011001111000 +001001010110 +000100100010 +100001010010 +100101010001 +100001010010 +100001010001 +100001010001 +100001010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010010 +100101010010 +100001010001 +011101000001 +011001000001 +010100110000 +001000110011 +000101000100 +001001000101 +000101000101 +001001000101 +000101000100 +000101000100 +000100110011 +001001000101 +000101010110 +000101000101 +000101000101 +001001010110 +001001000101 +000100110011 +001001010111 +010001111000 +010001100110 +100010001000 +100001010010 +011101000001 +011001000001 +011101010001 +100001010010 +100001010010 +100001100010 +100101110010 +100101110010 +100101110010 +100101100010 +100001100010 +100001100010 +100001100010 +100101110010 +100001100010 +100001100010 +011101010001 +011101010001 +100001100010 +100001100010 +100001010010 +100001100010 +011101100001 +011101100010 +011001010010 +001001010101 +001001010101 +001001010101 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +001001100111 +001001101000 +001001100111 +001001100111 +000101010110 +001001100111 +001001101000 +001001111001 +001101101000 +001101111000 +001001010111 +011001111000 +100010011001 +100010011001 +100010011001 +011110001001 +100010001001 +100010001001 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001110110 +011001110111 +011001110111 +011001110111 +010101100110 +010101100101 +110010010011 +110010000001 +101101110010 +101001110010 +101001110010 +100001100010 +010101000001 +001000110001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010001 +001000100010 +001000010001 +001100100001 +010000110010 +001100110010 +001100110010 +001100110010 +010001000010 +001100110011 +001101000011 +010001000100 +010001010101 +010001000100 +001101000011 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001101000011 +001101000100 +001101000101 +001101000100 +001101000011 +001100110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110010 +001100110011 +001100100001 +001100100001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110011 +011101111000 +011001110111 +011001100110 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +001100110011 +000100000001 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +010000110001 +011101010001 +100001010001 +100101010010 +100101010010 +100101100010 +100101100010 +100101100001 +100101100001 +100101100010 +100101100010 +100001100010 +010101100100 +001001100111 +001001111001 +001001111001 +000101101000 +001001111001 +000101010111 +000101000101 +001001000101 +000000100010 +000100100010 +000100100010 +000100100010 +000000100001 +000100010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000100010 +001000110011 +001101000100 +001101000101 +001000110100 +000100100011 +000100100011 +001000110100 +010001010101 +010001010111 +010001010110 +010001010101 +011001100110 +011101110111 +011001100110 +000000010001 +000000000001 +000100010001 +001101000100 +010101010110 +010101100111 +010101100110 +010101100110 +010001010110 +010001100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +001101000101 +001101000101 +001101000101 +001000110100 +001101000100 +010101100110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +010101100110 +010001010110 +010101100110 +011001110111 +011110001000 +011101111000 +011001110111 +011001100111 +010101100110 +010001010101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001100110100 +001100110100 +001000110100 +001100110100 +001000100010 +000000000000 +000000000000 +000100010000 +000100010001 +000100010000 +000100000000 +000000000000 +001000100010 +001000010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +001100100000 +010100110000 +010100110001 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +000100100010 +000100100011 +000000100011 +000000100011 +000100110100 +000100110100 +000100110100 +000000110100 +000101000101 +000101000101 +000101010110 +000101010110 +000101000110 +000101010111 +000101000110 +000101010111 +000101100111 +000101010111 +001001101000 +001001101000 +001001010111 +010001100111 +101010101010 +011110001000 +011110001000 +011010001000 +001001010110 +000100100010 +010101000001 +100101010001 +100001010010 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101010001 +011101010001 +100001010001 +100101010001 +100101010001 +100001010001 +100101010001 +100101010001 +100001010001 +011101000001 +011101000001 +010100110001 +001100110010 +001001000101 +000100110100 +001001000101 +000101000101 +000101000101 +000101000101 +000100110011 +000100110100 +001001010110 +000101010101 +000101010101 +001001010110 +001001010110 +000100110011 +001001010110 +001001101000 +001101100111 +011101110111 +011001000010 +011001000001 +011101010010 +100001010001 +100001010001 +011101010001 +100001010010 +100001010001 +100001100010 +100101100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001010010 +011101010001 +100001010001 +100001100010 +100001010010 +100001010001 +100001100010 +100001100010 +011001010010 +001101010101 +001001000101 +000101000101 +001001010110 +001001010110 +000101010110 +001001010111 +001001010111 +001001100111 +001001100111 +001001010111 +001001100111 +001001010111 +001001101000 +001001101000 +001001101000 +001001100111 +001001101000 +001101111000 +010001010101 +100010001001 +011110011001 +100010011001 +100010001001 +100010001001 +100010001001 +011110001000 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001110110 +011001110111 +011001110111 +011001110111 +010101100110 +011001100100 +110110100011 +110010000001 +101101110010 +101001110010 +101001110010 +100101100001 +011101010010 +001100110001 +000100100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +001000010010 +001000100001 +001100100001 +011001010010 +001100110001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001101000011 +001101000100 +001101000100 +001100110011 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001101000011 +001101000011 +001100110011 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +000100100000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +011001100110 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011101110111 +011001100110 +010001000100 +001000100010 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100100000 +011101000001 +100001010001 +100001010001 +100101010010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +011001100011 +001101100101 +001101100110 +001001100111 +000101101000 +001001111001 +001001101000 +000000110101 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100100011 +000100110011 +000100100011 +000100110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100011 +001000110100 +001101010101 +001101000101 +010001000100 +011001010100 +011101100101 +010101010101 +000000010001 +000000000001 +000000010001 +001100110100 +010101010110 +010101100111 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001100110 +010001010101 +010001010101 +001101000101 +001101000100 +001101000100 +010001010110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +010101100110 +010101100110 +011001110111 +011001110111 +011001100110 +010101100110 +010101100110 +010101100111 +010001010110 +001101000101 +001101000100 +001101000101 +001101000101 +001101000101 +001100110100 +001000110100 +001000110011 +001000100011 +001000100011 +001000110011 +001000110011 +000000000000 +000000000000 +000100010000 +001000100001 +001000010001 +000100010001 +001000100001 +001100100001 +010100110001 +010000110000 +001100100000 +001100100000 +001000010000 +001100100000 +001100100000 +010000100000 +010000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100100000 +010100110000 +011000110001 +011000110001 +010000100000 +001000100010 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000100110100 +000000110100 +000101000101 +000101000101 +000101010110 +000101010110 +000101000110 +000101010111 +000101010110 +000101010111 +001001100111 +000101010111 +001001101000 +000101010111 +001001101000 +001001000110 +100010001001 +100010001000 +011110001000 +011010001001 +000101010110 +000000100010 +001100100001 +100101010010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100101010001 +100001010001 +100001010001 +011101000001 +011001000001 +010000110010 +000100110100 +000101000100 +000101000101 +000101000101 +000101000101 +000100110101 +000100110100 +000100110100 +000101000101 +001001010110 +000101010110 +001001100111 +001001010111 +000100110100 +001001010101 +001001010110 +001101100110 +001101000101 +010101000010 +011101010001 +100001100010 +100101100010 +100001100010 +100001010010 +100001010010 +011101000001 +011101010001 +100001010010 +100001100010 +100001010001 +100001010010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001010010 +100001010001 +100001100010 +100001100010 +100001100010 +100001010010 +011101010010 +011001010010 +001101010100 +001001000101 +000101000101 +001001010110 +001001010111 +001001010110 +001001010111 +001001010110 +001001010110 +001001101000 +001001010111 +001001100111 +001001101000 +001001010111 +001001100111 +001001101000 +001001100111 +001001100111 +001101111001 +001001010110 +011001100110 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011101110111 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001100101 +011001110110 +011001110111 +011001110111 +011001110111 +100010011000 +101010101000 +110110100011 +110010000001 +101101110010 +101001110010 +101001110010 +101001100010 +100101100010 +010101000001 +001100100000 +001100100000 +001100110001 +001100110001 +001000010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100001 +001000100010 +000100010001 +000100010000 +001100100001 +001100100000 +000100010000 +001000010010 +001000100001 +100001110011 +010000110001 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +010101010100 +010101010100 +001100110011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000100001 +001000100001 +000100010000 +000100010000 +000100000000 +000100000000 +001000100010 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010001000100 +010101100110 +010101010101 +010101010101 +010101010101 +010001000101 +001100110011 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +010000100000 +011001000001 +100001010001 +100001010001 +100101010001 +100101010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100001100010 +011101100010 +010001100011 +010001100100 +001001100111 +000101101000 +000101011000 +000101010111 +000101000110 +000000100011 +000100110011 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000100100011 +001000110100 +001001000101 +001001000101 +001000110100 +000100100010 +000000010010 +000000010010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001000110011 +001100110011 +010101000011 +011001010100 +010101010100 +000000010001 +000000000000 +000000010001 +001000110011 +010001010110 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010101 +010101010110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000100 +001101000100 +010001010110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +010101100111 +011001110111 +011001110111 +010001010101 +010001000100 +010101100110 +011001110111 +010101100110 +001101010101 +001101000100 +001100110100 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001100110011 +000100010001 +000000000000 +000000000000 +000100010000 +000100010000 +001000010000 +010000110001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110001 +010100110001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100100000 +010100100000 +010100110000 +011001000001 +011000110001 +010000100000 +001000100001 +000000100010 +000000100010 +000000100010 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000000110101 +000101010110 +000101000110 +000101000110 +000101010111 +000101010110 +000101000101 +000101010110 +000101010111 +001001011000 +001001101000 +001001101000 +001001000110 +010101101000 +100010011001 +011110001000 +010110001001 +000101000101 +000100100011 +001100100001 +100001100010 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +100001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100001010001 +100001010001 +100001010001 +100001010001 +011001000001 +010000110001 +000100110100 +000101000100 +000101000100 +000101000101 +000101000101 +000101000101 +000100110100 +000101000100 +000101000101 +000101000101 +001001010110 +001001010111 +000101010111 +000101000100 +000101000100 +001001100110 +001001100110 +001101010110 +010000110010 +011101010001 +100001010001 +100001100010 +100001100010 +100001010001 +011101010010 +011101000001 +011101000001 +011101010001 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +100001100010 +100001010010 +100001010010 +100001100010 +100001100010 +011101010010 +011101010001 +011001000010 +001101010100 +001001000101 +001001000110 +001001000110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010111 +001001101000 +001001100111 +001001100111 +001001101000 +001001010111 +001001100111 +001001100111 +001001100111 +001101111000 +001101111001 +001101111001 +001001000100 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011001110111 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001110110 +011001110111 +011001110111 +011001110111 +011001110111 +011001100101 +110010010010 +101110000010 +101001110010 +101001110010 +101001110010 +101001110010 +100101100010 +100001100010 +011101010001 +011101010001 +011101100010 +011001010010 +001100100001 +000100010001 +000100010001 +000100100010 +000100100001 +000100010001 +000100100010 +001000100010 +000100100001 +001100110011 +001100110010 +010000110001 +011001000001 +011101100010 +011001010010 +010000110001 +001100100001 +011101100011 +010101000001 +001000110001 +001000110010 +001100110010 +011001010100 +101010011000 +011101110111 +100010011000 +011101110110 +001101000011 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100000000 +000000000000 +000000000000 +000000000000 +001000100001 +001000100001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +000000000000 +000000000000 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +001000100010 +001000100010 +000100010001 +000000000000 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010000 +010000110000 +011001000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100001100010 +011101100010 +011001100010 +010101100011 +010001110111 +001001101000 +000101000110 +000101000110 +000101010111 +000101000110 +000100110100 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +001000110011 +001101000101 +010001010111 +010001010111 +001101000101 +000100100011 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110010 +001100110010 +010001000011 +010101010100 +010101010100 +000000010001 +000000000000 +000000000000 +001000110011 +010001010101 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000100 +001001000100 +010001010110 +010101100111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +011001100111 +011001110111 +011001100111 +001101000100 +001101000100 +010101010110 +010101100111 +010101100110 +010001010101 +001101000101 +001000110100 +000100100011 +000100100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001000100010 +000000000000 +000000000000 +000100010001 +001000100001 +000100000000 +001100100000 +011101010010 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110001 +011000110001 +011000110000 +010100110000 +001000100001 +000100100010 +000000100010 +000000100010 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000101000101 +000000110100 +000000110101 +000101010111 +000101000110 +000000110100 +000101000110 +000101000110 +000101010111 +001001010111 +000101010111 +000101000110 +001101100111 +100010011001 +011110001000 +010101111000 +001001000101 +001000100010 +001000100000 +011101010010 +100101100010 +100001100010 +100001010001 +011101010001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010000110001 +001001000100 +000100110101 +000100110100 +000101000101 +000101000101 +000101000101 +000101000100 +000101000101 +000101000101 +000101000101 +000101010101 +001001100111 +001001100111 +000101010101 +000100110011 +001001010110 +001001100110 +001101010110 +001100110010 +011101010001 +011101010001 +011101010001 +100001010010 +011101010001 +011101010010 +011001000001 +011001000001 +100001010001 +100101100010 +100001100010 +011101010001 +011101010001 +100001010010 +100001100010 +100001010001 +100001100010 +100001100010 +100001100010 +100001010010 +100001010010 +100001010010 +011101010010 +011101010001 +011001000010 +001101010101 +001001000110 +001001000110 +001001000110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010111 +001001100111 +001001100111 +001001100111 +001001101000 +001001100111 +001001100111 +001001101000 +001001101000 +001001111001 +001101111001 +001001010111 +001000110100 +010101010101 +011101111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +010101100101 +010101100101 +010101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100100 +011001100100 +011001100101 +011001100110 +011001110111 +011110001000 +010101111000 +001101100110 +001101010100 +110010010011 +110010000010 +101001110010 +101001110010 +101001110010 +101001110010 +100101100010 +101001100010 +100101100010 +100101100010 +100101100010 +100001010001 +010101000001 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +001000100001 +010001000010 +010101010010 +010101000010 +011001010010 +100101100010 +100101100001 +100101100010 +100101110010 +100101110011 +010101000010 +010101000001 +100101110011 +010001000010 +001000110010 +001100110001 +101010011000 +011001100110 +001101010110 +001101010110 +010001100111 +011001111000 +001101000100 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100000 +001000010001 +000100000000 +000100010001 +010001000100 +001101000011 +001000100001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +010101000011 +011001000010 +011001000010 +001100100001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000010000 +010000110000 +011101000001 +011101000001 +100001010001 +100001010001 +011101010001 +100001010001 +100001010001 +100001100001 +100101100010 +100101110010 +100101110010 +100001100010 +011101010010 +011001100010 +001101100110 +000101010111 +000101000110 +000101010111 +000101011000 +000101010111 +000100110101 +000000010010 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100110011 +001101000101 +010001100111 +010101100111 +010001010110 +001000110100 +000000010010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110010 +001100110011 +010001000011 +010001000100 +000000000000 +000000000000 +000000000000 +001000100011 +010001010101 +010101100110 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000100 +001100110100 +010001010101 +010101100111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011101111000 +011101111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +010001000100 +001101000100 +010001010110 +010101100111 +010101100110 +010101100110 +010001010101 +001101000100 +001000110011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001100110011 +000100010001 +000000000000 +000100010000 +001000010000 +000000000000 +001000010000 +010100110001 +011101010001 +011101000001 +011001000001 +011001000001 +011001000001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110001 +011000110001 +011000110001 +011001000001 +011000110000 +010100100000 +001100100000 +001100110010 +000000010010 +000000010010 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000000110101 +000101000110 +000000110101 +000000110101 +000101000110 +000101000101 +000101000110 +001001000110 +000101000110 +000101010110 +001001010111 +100010101010 +011110001000 +010101101000 +001000110101 +000100100010 +000000010000 +011001000001 +100101100010 +100101100010 +100001010010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101010001 +100101010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010010 +100001010001 +100001010001 +011101000001 +010000110001 +001101000011 +001000110100 +000100110100 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +001001100111 +001001100111 +001001010110 +000100110011 +001001010110 +001101100111 +010001100110 +001000100010 +011001000001 +011101010001 +011101000001 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +011101010001 +100001100010 +100001010010 +011101010010 +011101010001 +100001100010 +100101100010 +100101100010 +100001100010 +100001010010 +100001010001 +100001010010 +011101010010 +011101010001 +011101010001 +011001000001 +010101000010 +001101000101 +001001000110 +001001000110 +001001000110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010110 +001001010111 +001001100111 +001001100111 +001001101000 +001001100111 +001001101000 +001001101000 +001001101000 +001001101000 +001101111001 +001001000100 +001000110011 +001100110011 +011001110110 +010001100110 +010001010101 +010101100110 +011001110110 +011001110110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +010101100101 +010101100101 +010101100101 +011001100110 +011110001000 +011010001000 +010001111000 +001001010101 +001000110011 +100110000011 +101110000010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101100010 +100101100010 +100101100010 +100001100010 +011101100010 +010001000001 +001000100001 +000100100001 +000100100001 +001000100001 +001100110001 +011001010001 +100001100010 +100001100010 +100101110010 +101001110010 +101001110010 +100101100010 +100101100001 +101001110010 +100101110010 +011101010010 +101110000011 +110010110101 +100001110100 +010101010011 +100010000111 +001101010101 +001001010110 +001001010110 +001001000101 +001101100111 +010101110111 +010001000100 +001100110010 +001100110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100100000 +001100110001 +001000100001 +001100110011 +001000100010 +000100010000 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +010101000010 +011001000001 +010000110001 +001000010000 +001000010000 +000100010000 +000000000000 +000000000000 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000100000 +010000110000 +011101000001 +100001010001 +100001010010 +100001010001 +011101010001 +100001010001 +100001010010 +100001100010 +100001100010 +100101100010 +100101100010 +100001100010 +011001010001 +010001000010 +001001000100 +000101000110 +000001000110 +000101010111 +000101010110 +000101010111 +000101000101 +000000010001 +000100010010 +000000010001 +000000010001 +000000010001 +000100100010 +001000110011 +001101000101 +010101100111 +010101100111 +010001010110 +001101000100 +000100100010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +001000110010 +001000110011 +001101000011 +001101000100 +000000000000 +000000000000 +000000000000 +001000100010 +010001000101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010101 +001101010101 +001101000101 +001101000100 +001101000100 +010001010101 +010101100111 +011001110111 +011001111000 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +010001000100 +001100110100 +010001010110 +010101100111 +010101100110 +010101100110 +010001010110 +001101000100 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001100110100 +010001000100 +000000000000 +000100000000 +000100010001 +000000000000 +001000010000 +001100010000 +011001000001 +011101000001 +011001000000 +011000110001 +011001000001 +011001000001 +011000110001 +011000110001 +011000110001 +011001000001 +011000110001 +011000110001 +011000110001 +011000110001 +011001000001 +011101000001 +011001000001 +011000110001 +010100100000 +001100100000 +010000110010 +000100010001 +000000010010 +000000100011 +000000110100 +000000100011 +000000110011 +000000100011 +000000100011 +000000110011 +000000110100 +000000110101 +000000100100 +000000100100 +000000110101 +000101000110 +000101000101 +000101000110 +000101000110 +000101000110 +000101010110 +001001010111 +011110011010 +100110011001 +010101101000 +001000110110 +000100100010 +000000100010 +010000110001 +100001100001 +100101100010 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +011101000001 +010000110000 +010000110011 +001000110100 +000100100100 +000100110100 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101010110 +000101010110 +001001010110 +000100110100 +001001010110 +010101110111 +010101010100 +000100100010 +010101000001 +011101010001 +011101010010 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +011001000001 +011101010001 +011001000001 +011101000001 +100001010010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010001 +100001010001 +100001010010 +011101010001 +011101010001 +011101010010 +011001000001 +010100110001 +001101000100 +001001000110 +001001000110 +001001000110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010110 +001001010111 +001001100111 +001001101000 +001001101000 +001001101000 +001001101000 +001001101000 +001001111000 +001001101000 +001101111001 +001101100111 +000100100010 +001000110011 +010001000100 +010001100110 +010001010100 +010101100110 +011001110110 +011001110110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001110111 +011110011001 +010101111000 +001101100111 +001001000101 +001000110011 +001100110001 +100101110010 +101001110010 +100101100010 +101001110010 +101001110010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +011101100010 +010101000001 +001100110001 +001100110001 +010000110001 +010101000001 +100001100010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101110010010 +101110010010 +101010000001 +110010010010 +110110100100 +110010100111 +011001110101 +001001000101 +000101000110 +001001010110 +001001000101 +001001010110 +001001100111 +010001111000 +001100110010 +001100110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +001000100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +001100110010 +000100010000 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +010000110001 +010000110001 +001000010000 +001000010000 +001100100001 +001000100001 +000000000000 +000000000000 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000010000 +001000100000 +010000110001 +011101000001 +100001010001 +100001010010 +100001010001 +011101010001 +100001010001 +100001010010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100001 +011101100010 +001000110010 +000100110100 +000101000110 +000101010111 +000101010110 +000101000110 +000101000110 +001001010110 +000000100010 +000100010001 +000000010001 +000000010001 +000000010001 +000100100010 +001000110100 +001101010110 +010101100111 +010101100111 +010001010110 +001001000100 +000100100010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +000000000000 +000000000000 +000000000000 +000100100010 +010001000101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000100 +001100110100 +010001010101 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +010001010101 +001100110100 +010001010110 +011001100111 +010101100110 +010101100110 +010001010110 +001100110100 +000100100010 +000100100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110100 +001101000100 +000100100010 +000100010001 +000100010001 +000000010000 +001000100000 +001100010000 +010000100000 +011101000001 +011101000001 +011001000001 +010100110000 +010100110000 +011000110000 +011000110000 +011101000001 +011001000001 +011000110000 +011000110001 +011000110001 +011000110001 +011000110001 +011100110001 +011000110001 +011000110001 +010100110000 +010000100000 +001100110010 +001000100011 +000000010010 +000000010011 +000000100100 +000000110011 +000000110011 +000000100011 +000000110011 +000000110011 +000000110100 +000100110101 +000000110100 +000000110100 +000001000101 +000101000110 +000101000101 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +010001111001 +100110101010 +010101111000 +001000110110 +000100100010 +000000100010 +001000100001 +011101010001 +100001010001 +100101100010 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101100010 +100101100001 +100101100010 +100101100010 +100101100001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010000110001 +001100110011 +001000110011 +000100100100 +000100100100 +000100110101 +000100110101 +000101000101 +000101000110 +000101000101 +000101000101 +000101000101 +000101010110 +000101010110 +001001010110 +000100110100 +000101000101 +010001100111 +001101000011 +000000100010 +001100110001 +011001000001 +011101010001 +011101010001 +100001010010 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010001 +100001010010 +100001100010 +100001010001 +100001010010 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +001101000100 +001001000110 +001001000110 +001001000111 +001001010111 +001001010111 +001001010111 +001001010111 +001001010110 +001001010111 +000101010111 +001001010111 +001001100111 +001001101000 +001001101000 +000101101001 +001001101000 +000101101000 +001101111001 +001110001010 +000101000100 +001000110011 +001000100010 +010001010101 +010101100110 +011001110111 +011001110111 +011001110110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011010001000 +011010001001 +010001110111 +001001100111 +001001000101 +001001000011 +000100100010 +100101100010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +101001110010 +100101110010 +100101100010 +100001100010 +011001000001 +011101010001 +100001100010 +100101110010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101110000010 +110010010010 +101010000010 +101001110010 +101110000010 +101001110011 +001101010100 +001001000110 +001001010110 +001001010110 +001001010111 +001001010111 +000101010110 +001101100111 +010001100110 +001000100001 +001000110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000100001 +000100000000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +001000100001 +000100010000 +001000100001 +001000010000 +001000010001 +000100010000 +000000000000 +000000000000 +000000000001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010000 +001100100000 +001100100000 +010100110001 +011101000001 +100001000001 +100001010001 +011101010001 +011101010001 +100001010010 +100001010010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +011001010011 +000100110100 +000101000110 +000101000110 +000101000110 +000101000110 +000101010110 +001001101000 +000100100011 +000100010001 +000000010001 +000000010001 +000000010001 +000100100010 +001000110100 +001101010110 +010101100111 +010101100111 +010001010110 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100110011 +001000110011 +001000110011 +001101000100 +000000010001 +000000000000 +000000000000 +000100100010 +001101000100 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010001010101 +001101000101 +001101000101 +001101000100 +001000110100 +010001010101 +010101100111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010001010101 +001101000100 +010001010101 +011001100111 +010101100111 +010101100110 +010001010110 +001101000100 +001000100010 +001000100011 +001000100011 +001000110011 +001000110100 +001000110100 +001100110100 +001101000100 +001101000101 +010001000101 +010001010110 +001000110100 +000100010001 +000000010001 +000000010000 +001000100000 +010000100000 +001100100000 +010100110001 +011101000001 +011101000001 +011001000001 +011000110001 +011001000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110000 +011000110001 +011000110000 +011000110001 +011000110001 +011000110001 +011000110000 +010100110000 +010100100000 +001100100001 +001000110011 +000000010010 +000000010011 +000000100011 +000000100011 +000000110011 +000000100011 +000000110011 +000000110011 +000000110100 +000100110101 +000000100100 +000000110100 +000001000101 +000101000101 +000100110101 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +001001101000 +100010101011 +011001111001 +000100110101 +000100100010 +000000100010 +000100010001 +011101000001 +100001010001 +100001100010 +100101100010 +100001010001 +100001010001 +100001010010 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101100010 +100101100010 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010000110001 +001101000011 +001000110011 +000100100101 +000100100100 +000100110101 +000100110101 +000100110101 +000101000110 +000101000101 +000101000101 +000101000101 +000101000110 +000101010110 +001001010110 +000101000101 +000101000101 +010001100110 +001000110011 +000100100011 +001000100001 +010101000001 +011001000001 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010001 +100001010010 +100001010010 +100001010010 +011101010001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000010 +010001000011 +001001000101 +001001000110 +001001000111 +001001000111 +001001010110 +001001010111 +001001010110 +001001010110 +000101010111 +000101010111 +000101010110 +001001010111 +001001100111 +001001101000 +001001111001 +001001101001 +001001101000 +001001111001 +001110001011 +001001010110 +000100110010 +001000100001 +001101000011 +011001111000 +011101111000 +011001110111 +011001100110 +011001100101 +011001100100 +011001100100 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +010101100110 +010110001001 +010001111000 +001001100111 +001001010110 +001001000100 +001001000011 +001100110001 +101001110010 +101110000010 +101001110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +101001110010 +100101110010 +100101110010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101010000010 +101010000010 +101001110010 +101001110010 +100101100010 +001001000101 +000101000101 +000101000101 +000101010110 +001001100111 +001001100111 +000101010110 +001001010111 +010001111001 +001101000011 +001000110001 +001000110010 +001100110010 +001000110001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +001000100001 +010101000010 +001100100001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +001000100000 +001100100000 +010000110000 +011000110001 +011101000001 +011101000001 +011101010001 +011101010001 +011101010010 +100001010010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100001 +011101100010 +001101000100 +000101000110 +000101010110 +000101010111 +000101010111 +000101100111 +001001111001 +000100110100 +000000010001 +000000100001 +000000010001 +000000010010 +000100100010 +001000110011 +001101000101 +010001100111 +010101100111 +001101000101 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +000000010001 +000000010001 +000000010000 +000100100001 +001101000100 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101110111 +011001110111 +010101100111 +010001010110 +001101000101 +001101000101 +001101000100 +001000110011 +001101000101 +010101100111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011010001000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +001101000100 +010001010101 +010101100111 +010101100111 +010101100110 +010001010110 +001101000100 +001000100011 +001000100011 +001000110011 +001100110100 +001101000101 +010001000101 +010001010101 +010101010110 +010101100110 +011001100110 +011001100110 +010001010101 +000000010001 +000000010001 +000000010001 +000100010000 +001100100000 +001100100000 +001100010000 +011000110001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110001 +011000110001 +011000110000 +011000110000 +010100110000 +010100100000 +001100100001 +001000100010 +000000010010 +000000010011 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000000110011 +000000110100 +000000110101 +000000100100 +000000110100 +000101000101 +000101000101 +000000110100 +000101000101 +000101000110 +000101000110 +000101000111 +000101000111 +000101011000 +011010001001 +011110001010 +000100110101 +000100100010 +000000100010 +000000010000 +011000110001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010010 +100101100010 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110001 +010001000011 +001000110011 +000100100100 +000100100100 +000100110101 +000100110100 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101010110 +001001010110 +000101000101 +000101000101 +010001100110 +001000110011 +000100100010 +000100100010 +010000110001 +010101000001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010001 +011101000001 +011101000001 +011101010001 +011101010001 +100001010010 +100001010010 +011101010001 +011101010001 +011001000001 +011000110001 +100001010001 +100001010001 +011101000010 +010001000011 +001001000101 +001001000110 +001001000111 +001001000111 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +000101010111 +000101010111 +001001100111 +001001100111 +001001101000 +001001111001 +001001101000 +001001111000 +001001101000 +001110001010 +001001100111 +001001000100 +001000100001 +001000110010 +010101100110 +011110001000 +011001110111 +011001100110 +011001100101 +011001010100 +011001010100 +011001010100 +011001100101 +011001100101 +011001010100 +011001100101 +011001100101 +011001100101 +010101111000 +010010001001 +001101110111 +001001010110 +001001010101 +001001000011 +001100110001 +010101000001 +101110000010 +101110000010 +101001110010 +101001110010 +101001110010 +101010000010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101100010 +100101100010 +101001100010 +101001100010 +101001100010 +101001110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +101001110010 +101001110010 +100101110010 +101001110010 +101001110010 +101001110010 +100101110001 +100101100010 +100101100010 +100001010010 +001101010100 +000101010110 +000101000101 +000101010110 +001001100111 +000101010111 +001001100111 +001001100111 +001101101000 +010001100111 +001000100010 +001100110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100000 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010000 +010101100100 +101010000110 +011001010100 +001000100001 +001000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010001 +000100100001 +001000100001 +001000100000 +001100100000 +010000110001 +010100110001 +011101000001 +011101000001 +011101000001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010010 +100101100010 +100101010001 +100101010001 +100101100010 +100101100010 +100101100010 +011001010010 +001101010101 +000101010111 +000101010111 +000101010111 +000001000110 +000101101000 +001001111001 +001001010110 +000000100010 +000000010001 +000000010010 +000000010010 +000000010010 +000100010010 +001000110011 +001101000100 +001101000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +000100110011 +001000110011 +001000110011 +001101000100 +000000000000 +000000000000 +000000000000 +000100010001 +001101000100 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010101100110 +010101100111 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +010001010110 +001101000101 +001101000101 +001101000100 +001000110100 +001101000101 +010101100110 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011010001000 +011010001000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +001101000100 +010001010101 +010101100111 +010101100111 +010101100110 +010001010110 +010001000101 +001100110100 +001100110100 +001101000101 +010001010101 +010101010110 +010101100110 +011001100110 +011001100111 +011001110111 +011001110111 +011001100110 +011001100110 +001100110011 +000000010000 +000000010001 +000000010000 +000100010000 +001000100000 +001100100000 +010000100000 +011101000001 +011101000001 +011101000001 +011000110000 +011000110001 +011001000001 +011000110001 +011000110000 +011000110000 +011000110000 +011000110000 +011000110001 +011000110001 +011000110000 +011000110000 +010100110000 +010100110000 +010000100000 +001100110001 +000100010001 +000000010010 +000000010011 +000000100011 +000000110100 +000000110100 +000000110011 +000000110100 +000000110100 +000000110100 +000000100100 +000000110100 +000101000110 +000000110101 +000000110101 +000101000110 +000001000110 +000101000110 +000101000110 +000101000111 +000101011000 +001101101000 +011010001010 +001001000110 +000100100010 +000000100010 +000000010001 +001100100001 +011101000001 +011101000001 +011101010001 +100001010001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010010 +100101100010 +100101100010 +100101100001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110000 +001101000010 +001000110010 +000100100100 +000100100100 +000100100100 +000100110100 +000101000101 +000101000110 +000101000101 +000101000101 +000101000101 +000101000101 +000101010110 +000101000110 +000101000101 +000100110100 +001101010101 +000100110011 +000100100010 +000100100001 +001000100001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +011101000001 +011101000001 +011101010001 +100001010010 +100001010010 +011101010001 +011101010001 +011001000001 +011000110001 +100001010010 +100001100010 +011101010010 +010101000010 +001000110100 +001001000101 +001001000110 +001001000111 +001001000110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010110 +000101010111 +001001100111 +001001100111 +001001100111 +000101101000 +001001101000 +001001111000 +001001101000 +001110001010 +001110001001 +001001010110 +000100100001 +001000100001 +010001000100 +011110001000 +011001110111 +011001100110 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010100 +010101010101 +010110001001 +010010001010 +001101100111 +001001010110 +001001010100 +001100110010 +010000110001 +011101010001 +101110000010 +101001110010 +101001110010 +101001110010 +101001110010 +101010000010 +100101110010 +100101110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001100010 +101001100010 +100101100001 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +101001110010 +100101100010 +100101110010 +101001110010 +101001110010 +100101110010 +100101100010 +100001010001 +011101000001 +001101000011 +000101000110 +000101000110 +000101000110 +000101010110 +000101010110 +001001101000 +001001100111 +001001101000 +010010001001 +001100110011 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100000 +000100100000 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +100110010111 +100110000111 +010001000100 +011001000010 +010101000001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100000 +001100100000 +010000110001 +010100110001 +011000110001 +011101000001 +011101000001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100001010001 +100001010001 +100101100010 +100101100010 +100101110010 +100001110010 +010101100100 +001001010110 +000101010111 +000101010111 +000001000111 +000101010111 +000101011000 +001001100111 +000100100011 +000000010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +000100110010 +001000110011 +001001000011 +001101000100 +010000110011 +001000100010 +000100010001 +000100010001 +001100110011 +010001010101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010101010110 +010101100110 +010101100110 +010101100111 +010101110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001110111 +010001100110 +010001010101 +001101000101 +001101000100 +001101000100 +010001010101 +010101100110 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011010001000 +011010001000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +001101000100 +010001000101 +010101100111 +010101100111 +010101100110 +010101010110 +010001010110 +010001010101 +010001010101 +010101100110 +010101100111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +010101100110 +010101010101 +000100100001 +000000010000 +000000010000 +000000000000 +000100010000 +001100100001 +010000100000 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110001 +011000110000 +010100110000 +011000110001 +011000110000 +010100110000 +010100110000 +011000110000 +011000110001 +011000110001 +011000110000 +011000110000 +010100110001 +001100100001 +000100010001 +000000010010 +000000010011 +000000010011 +000000110100 +000000110100 +000000110011 +000000110100 +000000110100 +000000110100 +000000100100 +000000110100 +000000110101 +000000110101 +000000110101 +000100110101 +000101000110 +000101000110 +000101000110 +000101000110 +000101010111 +001001101000 +001101101000 +001001000110 +000100110011 +000100110011 +000000010001 +000100010000 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101010001 +100001010001 +100101010001 +100101100010 +100101100010 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110000 +001100110010 +001000110010 +000100110100 +000100100100 +000100100100 +000100110101 +000101000101 +000101000110 +000101000101 +000101000101 +000101000101 +000101000101 +000101010110 +000101000101 +000101000100 +000100110100 +001001000101 +000100110011 +000100100010 +000100100001 +000100010001 +010101000001 +011101010001 +011101010001 +011101010001 +011001000001 +011101010001 +011001000001 +010100110001 +011001000001 +011101000001 +100001010001 +100001010010 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011001000001 +100001100010 +100001110010 +100001100010 +010101000010 +001001000100 +000100110101 +001001000110 +001001000111 +000101000110 +000101010110 +001001101000 +001001010111 +001001010111 +000101010110 +000101010111 +001001101000 +001001100111 +001001100111 +001001101000 +000101101000 +001001101000 +001001101000 +001110001010 +001110001010 +001101111000 +000100100010 +001000100001 +001100110010 +010101100110 +011001110111 +011001100110 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101100101 +010110001001 +001101111000 +001001010111 +001001010110 +001001000011 +010001000001 +010000110000 +011101010010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001100001 +101001110010 +101001110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +100101110010 +100101100010 +100001010010 +011000110001 +010000110001 +001001000101 +000101000110 +001001010110 +001001010111 +000101000110 +001001010111 +001001101000 +000101101000 +010010001010 +001101010101 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010101010100 +100110011000 +010101010101 +001000110011 +100001100011 +011101000001 +001000100000 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010001 +001000010001 +001000100001 +001100100001 +010000110001 +010101000001 +010100110000 +010100110001 +011000110000 +011101000001 +011101000001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +100001010001 +100101010010 +100101010010 +100101100010 +100101110010 +100001110011 +010101100100 +001101010110 +001001011000 +000101101000 +000101101000 +000101011000 +001101101000 +001001000101 +000000010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010000 +000000010001 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100110010 +000100110011 +001000110011 +001001000011 +001101000100 +100110000111 +100101110111 +100001110110 +011101100101 +011001100101 +011001100110 +011001100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011001111000 +011001110111 +010101100110 +010001010101 +010001010101 +001101000100 +001101000100 +010001010101 +010101100111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +011101111000 +011101111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +001100110011 +001101000100 +010101010110 +010101100110 +010001010110 +010101010110 +010101100110 +010101100111 +011001100111 +011001110111 +011001111000 +011101111000 +011101110111 +011101110111 +011001100110 +010101100101 +010101010101 +010001010100 +010001010101 +010101010110 +010001010101 +000100010001 +000100010000 +000000010000 +000100010000 +001000010000 +001000010000 +011001000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110000 +011000110000 +011000110001 +011001000001 +011000110001 +011000110000 +011000110000 +011000110001 +011000110000 +011000110001 +011000110000 +010100110001 +010100110000 +001000100001 +000000010010 +000000010011 +000000010011 +000000100100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110100 +000000100100 +000000100100 +000000110100 +000000110100 +000000110101 +000100110101 +000000110110 +000101000110 +000101000110 +000101000110 +000101010111 +001001101000 +000101000110 +000100110110 +000100110011 +000100110011 +000000100001 +000100010000 +010100110001 +011101000001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101100001 +100001010001 +100101010001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +010100110000 +001100110010 +000100110011 +001000110100 +000100100100 +000100100100 +000100110101 +000101000110 +000101000110 +000101000110 +000101000101 +000101000101 +000101000101 +000101010110 +000101000101 +000101000100 +000100110100 +000101000100 +000100110100 +000100100010 +000100100010 +000100010001 +010000110001 +011101010001 +011101010001 +011101010010 +011101010001 +011101010001 +011001000001 +010100110000 +011001000001 +011101010001 +011101000001 +011101010001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010010 +011001000001 +011101010001 +100101110010 +100001110010 +100001100010 +011001010010 +001101000100 +000100110101 +000101000110 +001001000111 +000100110101 +000101010110 +001001101000 +001001010111 +000101010111 +000101010110 +000101010111 +001001100111 +001001100111 +001001101000 +001001101000 +001001101000 +001001100111 +001001101000 +001001111010 +001110001010 +001101111001 +000100100011 +001000100001 +011001010010 +010101100101 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +010101100110 +011010011010 +001101101000 +001001100111 +001001000101 +001001000010 +010001000001 +010000110000 +011101010001 +101001110010 +101001110010 +100101110010 +100101100010 +101001110010 +100101110010 +101001110010 +100101110010 +101001110010 +101001110010 +101001110010 +101001100010 +101001100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101100010 +100001100010 +100001100010 +100101110010 +101001110010 +100101110010 +100101100010 +100101010010 +100001010001 +011001000001 +010000100000 +001101000011 +000101000101 +000101000101 +000101010110 +001001010110 +001001010111 +001001100111 +001001100111 +001001111001 +001101111000 +000100100001 +000100010001 +000100010001 +000100100001 +001000100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100010000 +000100100000 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +100110000111 +011001100110 +001101000100 +001000110011 +100101110010 +101101110010 +011001010001 +010000110001 +001000010001 +000100000000 +000000000000 +000100010000 +000100100000 +001000100001 +001100100001 +001000100001 +001100110001 +001100110001 +010100110001 +011001000001 +011001000001 +011000110001 +011000110001 +011101000001 +011101000001 +100001010001 +100001010010 +100001010010 +100001000001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010010 +100001010001 +100001010010 +100001010001 +100101110010 +100101110010 +100101110011 +010101100101 +001001010111 +001001111001 +000101101000 +000101101000 +001001101000 +010110001001 +001001000101 +000100010001 +000000010001 +000000010010 +000000010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100011 +000100110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100110011 +000100110011 +001000110011 +001001000011 +001101000100 +101010001000 +101010011000 +100110000111 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +100110000111 +011101110111 +011001100110 +010101100110 +010101010101 +010001010101 +010001010101 +010001100110 +010101100110 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001110111 +010101100110 +010001010101 +010001010101 +001101000100 +001101000100 +010001010101 +010101100111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011101111000 +011101111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001100111 +010101010110 +001100110011 +001100110011 +010001010101 +010101010110 +010001010101 +010001010110 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +010101100110 +010101010101 +010001010100 +001101000100 +001100110100 +001100110100 +001101000100 +001101000101 +010101100110 +010101010101 +001000010001 +000100010000 +000100010000 +000000000000 +000000000000 +010000100001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +011101000001 +011101000001 +011000110000 +010100110000 +011000110000 +011000110001 +011000110000 +011000110001 +011000110001 +011000110001 +011000110000 +011000110000 +011001000001 +010000110000 +000100010001 +000000010010 +000000010011 +000000100011 +000000100100 +000000100100 +000000110100 +000000110100 +000000110100 +000000100100 +000000100100 +000000100100 +000000110100 +000000110100 +000100110101 +000000110110 +000101000110 +000101000110 +000101000110 +000101010111 +001001101000 +001001010111 +000100110110 +000100110011 +000100110011 +000000010001 +001000010000 +001100100000 +011001000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +011101000001 +100001010001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +011101000001 +010100110000 +010000110001 +001000110011 +000101000101 +000100110101 +000100100100 +000100110101 +000100110110 +000101000110 +000101000110 +000101000101 +000101000101 +000101000101 +000101010110 +000101000101 +000101000100 +000101000100 +000101000100 +001001000100 +000100100010 +000100100010 +000100100001 +001100110001 +011101010001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010001 +010101000001 +011001000001 +011101010001 +011001000000 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101010001 +100001010001 +100101110010 +100101110010 +100101110010 +100001100010 +011101010010 +001101000011 +000100110101 +001001000110 +001001000111 +000100110110 +000101010110 +000101100111 +000101010111 +000101010111 +000101010110 +000101010111 +001001101000 +000101101000 +000101100111 +000101100111 +001001100111 +001001101000 +001001111000 +001001111010 +001001111010 +001001111001 +000100110100 +001000100001 +011001010010 +011001010011 +011001100110 +010101100110 +010101100101 +010101100101 +010101100101 +010101100101 +011001100101 +011001100101 +011001100101 +011001010100 +010101010101 +010101110111 +010110001001 +001101100111 +001001010101 +001001000011 +010001000010 +010101000001 +001100100000 +100001010010 +101001110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001100010 +101001100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101110010 +100101100010 +100101110010 +100101100010 +100101100010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010010 +100001010001 +011101000001 +010000100000 +010000110010 +001001010101 +000101000110 +001001010110 +001001010111 +001001100111 +001001101000 +000101101000 +000101101000 +010010001001 +000100100010 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100010000 +000100010000 +000100100000 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010001000011 +100110011000 +010001010110 +001001000100 +000100100010 +101010000010 +110110100010 +100101100010 +100101010010 +011101000010 +001100010000 +000100010000 +001000100000 +001100110001 +001100110001 +010000110001 +001100100000 +001100100001 +001100110001 +010100110000 +011000110001 +011001000001 +011000110001 +011001000001 +011101000001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001100010 +100101110010 +100101110010 +100101110010 +011101110011 +001101100110 +000101101000 +000101101000 +001001010111 +001001010111 +010001101001 +010110001001 +010001010110 +000100010010 +000000010001 +000000010001 +001100110011 +010101010101 +010001010101 +001100110011 +000100100010 +000100100010 +000100100011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100110011 +000100110011 +001000110011 +001001000011 +001101000100 +100110000111 +100110000111 +100110000111 +100110000111 +101010000111 +101010000111 +101010011000 +101110011000 +101110011000 +101110011000 +101110011000 +101010011000 +100110000111 +011101110111 +011001100110 +011001100110 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001110111 +010101100110 +010001010101 +010001010101 +010001000100 +001101000100 +001101000101 +010101100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101100110 +001101000100 +001100110011 +010001000100 +010001010101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101010110 +010001010101 +010001010101 +001101000100 +001100110011 +001000110011 +001000110011 +001000110100 +001000110100 +001000110011 +001101000100 +010001010110 +011001110111 +011001100110 +010001000100 +001100100010 +001000010001 +000100100010 +001100100000 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110001 +011000110001 +011001000001 +011101010001 +011101010001 +010101000001 +001100100001 +000000010010 +000000010010 +000000010011 +000000100100 +000000100100 +000000110100 +000000110100 +000000100100 +000000100011 +000000100011 +000000100100 +000000110100 +000000110100 +000000110101 +000000110101 +000100110110 +000101000101 +000101000110 +000101010110 +001001011000 +001001010111 +000100110110 +000000100011 +000000100010 +000100100010 +000100010000 +001000010000 +010100110001 +011101000001 +011101000001 +011101000001 +011000110001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +011101000001 +011000110000 +010000110001 +001000110011 +000100110100 +000100110100 +000100100100 +000100110101 +000100110101 +000101000110 +000101000110 +000101000110 +000101000101 +000101000110 +000101000110 +000101000101 +000101000100 +000101000100 +000101000100 +000101000101 +000100100011 +000100100010 +000100100010 +001100100001 +011001000001 +011001000001 +011001000001 +011001000001 +100001010001 +011101010001 +011001000001 +011001000001 +011101010001 +011001000001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +100001010001 +100101100010 +011101010001 +100101110010 +100001100001 +011101010001 +011101010001 +010001000011 +000100110100 +001001000101 +001001000111 +001001000110 +001001010111 +001001010111 +000101010111 +000101010111 +000101010110 +000101010111 +000101101000 +000101101000 +000101100111 +001001100111 +001001100111 +001001101000 +001001111001 +001001111001 +001001111001 +001001111010 +001001010110 +000100100001 +010001000010 +011001010010 +010101010101 +011001100110 +010101010101 +010101010101 +010101010100 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101010101 +010110001001 +001101111001 +001001010110 +000101000100 +001001000010 +010101000001 +010100110001 +001100100000 +100001100010 +101001100010 +101001110010 +101001110010 +101001100010 +100101100010 +100101100010 +100101110010 +101001110010 +100101110010 +100101110010 +101001110010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100001010010 +100001010010 +100001010010 +100001010001 +011101010001 +010100100000 +001100100000 +001101000100 +000101010110 +001001010110 +000101101000 +001001101000 +001001101000 +000101101000 +000101101000 +001110001010 +001000110100 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100010000 +000100010000 +011101110110 +011001110111 +001101010110 +000100100011 +010000110001 +110010100011 +110010010010 +101001110001 +100101100010 +100101010001 +100001010001 +011001000001 +011001000001 +010100110001 +001100110000 +001100100001 +001100100000 +001100100000 +010000110001 +010100110001 +010100110001 +011000110001 +011001000001 +011000110001 +011101000001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100001010001 +100001010001 +100001010010 +100001010010 +100001100010 +100101100010 +100101110010 +100101110010 +100101110010 +100001110010 +010101110100 +001001010110 +000101010111 +000101010110 +001001000110 +001001010110 +001101010111 +010101111001 +010001100111 +000100100010 +010101100101 +100110011001 +011001111000 +011001111000 +011110001001 +010101100110 +000100100011 +000100100010 +000100110011 +001000110011 +001000110011 +000100100010 +000100110011 +000100110011 +000100110011 +001000110011 +001001000011 +001101000100 +101010000111 +100110000111 +101010000111 +100110000111 +100110000111 +100110000111 +100110000111 +101010000111 +101010000111 +101010010111 +101110011000 +101110011000 +101010011000 +101010011000 +101110011001 +101110011001 +100110001000 +100110001000 +100010001000 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +010101100110 +010001010101 +010001010101 +010001010101 +001101000100 +001101000100 +010101010110 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +010101100110 +010001000100 +001100110011 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +010001000101 +001101000100 +001100110100 +001000110011 +001000100011 +000100100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000100010 +001100110011 +010001010101 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +011001110111 +010101010100 +011101000010 +011101000001 +011101000000 +011101000001 +011101000001 +011000110000 +011000110001 +010100110000 +010100110000 +010100110000 +010100100000 +010100100000 +010100110000 +011000110001 +011000110001 +011000110000 +011101010010 +011101010001 +011001000001 +010000110000 +001000100001 +000000010010 +000000010011 +000000010011 +000000100100 +000000100100 +000000110100 +000000100100 +000000100011 +000000100011 +000000100011 +000000100100 +000000110100 +000000110100 +000000110101 +000100110101 +000101000101 +000101000110 +000101000110 +001001010111 +001001010111 +001001000111 +000000100100 +000000100010 +000100100010 +000100010001 +001000100000 +010000100000 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +011101000001 +100001000001 +011101000001 +100001000001 +100001010001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +010100110001 +001000110010 +000100110011 +000100110011 +000100100100 +000100100100 +000100110101 +000101000110 +000101000110 +000101000110 +000101000101 +000101000101 +000100110100 +000100110100 +000101000101 +000101000101 +000101000100 +000101000101 +000100100011 +000100100010 +000100100010 +001000100000 +010100110001 +011101010001 +100001010010 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +011101000001 +011001000001 +011001000001 +011001000001 +011000110001 +011101000001 +011101000001 +100001010001 +100101100010 +011101010001 +100101100010 +100101100010 +100001100001 +100001100010 +011101010001 +010001000010 +001001000101 +001000110101 +001001000110 +001001000111 +000101010111 +000101010111 +000101010111 +000101010111 +000101010111 +000101010111 +000101101000 +000101101000 +000101100111 +001001100111 +001001100111 +001001111001 +001001111001 +001001111001 +001001111001 +001001111001 +001001101000 +000100100001 +001100110001 +010101010010 +010101010100 +011001100101 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101100110 +011010101011 +001001111000 +001001010101 +000101010100 +001101010011 +010101000001 +010000100000 +001100100000 +100001100010 +100101100010 +100101100010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101100010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100001 +100101010001 +100101100001 +100101100010 +100001010010 +100001010010 +100001010010 +100101100010 +100001100010 +100001010001 +100001010001 +010100110001 +001100010000 +001101000011 +000101010101 +000101010110 +000101010111 +000101101000 +001001101000 +000101101000 +000101101000 +001110001010 +001001000110 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100000 +000100100000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100010000 +001000100001 +100010000111 +001101010110 +000100110100 +000100100010 +010000110001 +100001010001 +101110000010 +101110000001 +100101100010 +100101100001 +100101100010 +100001010010 +100001010001 +011001000001 +010100110001 +010000110001 +010000110001 +010000110001 +010100110000 +010100110001 +010100110001 +010100110000 +011001000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010001 +100001010001 +100101010001 +100101100010 +100101100010 +100001010001 +011101000001 +100001010010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100001110011 +010101010100 +000101000101 +000100110101 +000100110101 +001001000110 +001000110101 +001001000101 +010101111000 +011010001001 +010001100111 +001000110100 +000000100100 +000100110101 +001001010111 +010001101000 +010101100111 +001000110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001101000011 +001101000100 +101010000111 +100110000111 +101010000111 +101010000111 +101010000111 +100110000110 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010011000 +101110011000 +101110101001 +101110011000 +101110011000 +101110101000 +101010011000 +101010011000 +100110011000 +100110001000 +100010000111 +011001110110 +010101010101 +010001010101 +001101000101 +001101000100 +001000110100 +010001010110 +010101110111 +011001111000 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +010101100110 +010001010101 +001101000011 +001101000011 +001101000100 +001100110100 +001100110100 +001100110100 +001000110100 +001000110011 +001000110011 +001000100011 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001100110011 +010101010110 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011101110111 +011101100011 +011101000001 +011101000000 +011101000001 +011101000001 +011000110001 +011000110000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100110000 +011000110000 +011000110001 +011000110000 +011101000001 +011101000001 +011001000001 +010100110000 +010000100001 +000100010001 +000000010010 +000000010011 +000000100011 +000000100011 +000000100100 +000000100100 +000000010010 +000000100010 +000000100011 +000000100100 +000000110100 +000000110100 +000100110101 +000000110101 +000101000101 +000101000101 +000101000110 +001001010111 +001001010111 +001001000111 +000000100100 +000000100010 +000000100010 +000100100001 +001100100000 +001100100000 +010100110001 +011101000001 +011101000001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +011000110001 +010000110001 +000100100010 +000100110100 +000100110100 +000100100100 +000100110101 +000101000110 +000101000110 +000101000110 +000101000101 +000101000101 +000100110100 +000100110100 +000101000101 +000101000101 +000101000100 +000101000100 +000100100010 +000100100010 +000100100010 +001000100000 +010000100000 +011001010001 +011101010001 +100001010010 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +010101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101010001 +100001010001 +100001010001 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +011101000001 +010000110001 +001001000100 +000100110100 +001001000110 +001001000111 +000101010110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010111 +000101010111 +000101010110 +000101010111 +001001100111 +001001100111 +001001101000 +001001101000 +001001111001 +001001111001 +001001111000 +001001111000 +000100110010 +001100100001 +010101000001 +010101000011 +010101010101 +010101010100 +010101010100 +010101010100 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010101110111 +010110011011 +001001100111 +000101010101 +001001000100 +010001010010 +010101000001 +010000100000 +001100100000 +100101100010 +100101100010 +100101110010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +101001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100001100010 +100101100010 +100001010001 +100101010001 +100101100010 +100101100010 +100001100010 +100001010010 +100001010010 +100101100010 +100001100010 +100001010001 +011101000001 +011000110001 +001100010000 +001000110010 +001001010101 +000101000110 +000101010111 +000101010111 +000101101000 +000101101000 +001001111000 +001001111001 +001001010110 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +010001010100 +011110001000 +001001000101 +000000110011 +000000100001 +000100010000 +001100010000 +101001100001 +110010000010 +101001100001 +100101100010 +100001010001 +100001010001 +100001010001 +011101000001 +011000110001 +010100110001 +010100110001 +010100110000 +011001000001 +011000110001 +010100110001 +010100110000 +011001000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010010 +100101010010 +100101010010 +100101100010 +100101100010 +100001100010 +100001010001 +100001010001 +100001010001 +100101100001 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100001110010 +011001010011 +001000110100 +000100110100 +000100100100 +000100110100 +000100110100 +000100110011 +010001010110 +001001010110 +000000110101 +000100110101 +000000110101 +000000110101 +000100110110 +000101000111 +010001111001 +011110001001 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000011 +001101000100 +011101100101 +100001110110 +100110000111 +101010011000 +101010000111 +101010000111 +101010010111 +101010000111 +100110000111 +100110000111 +100110000111 +100110000111 +100101110110 +100110000111 +100110000110 +101010000111 +101010011000 +101010010111 +101010011000 +101010011000 +101010000111 +101010011000 +101110011000 +101110011000 +101010011000 +101010011000 +100110000111 +100001110110 +011001100101 +010001010100 +010101010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001100111 +011001100111 +010101100110 +010101010101 +010001000100 +001101000100 +001101000100 +001000110011 +001000100011 +001000110100 +001100110100 +001101000100 +001100110100 +001000110011 +000100100010 +000100010010 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010110 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +100001100100 +100001000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110001 +010100110000 +010100100000 +010100100000 +010100110000 +010100110000 +010100110000 +010100100000 +011000110000 +011000110000 +011000110001 +011001000001 +011000110001 +010100110000 +010100110000 +001100100001 +000000010001 +000000010010 +000000010010 +000000100011 +000000100011 +000000100011 +000000010010 +000000010010 +000000100010 +000000100011 +000000110011 +000000100011 +000100110100 +000100110101 +000100110100 +000100110101 +000101000101 +001001000110 +001001000111 +001001000111 +000100100100 +000000100010 +000000100010 +000100100001 +001100100000 +001100100000 +010000110000 +011001000001 +011101000001 +011101000001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +011101000001 +011100110001 +010100110000 +001100100001 +000100110011 +000100100100 +000100100100 +000100110101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000100110100 +000100110101 +000101010101 +000101000101 +000101000100 +000101000100 +000100100010 +000100100010 +000100100010 +001000100001 +001000010000 +011001000001 +011101010001 +100001010001 +011101010001 +011101010010 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +100001010010 +011101010001 +100001010001 +100001010001 +100001010001 +100001100010 +100101100010 +100101110010 +100101100010 +101001100010 +101001110010 +011101010001 +010000110000 +001001000011 +000100110100 +001001000110 +000101000110 +000101000110 +000101010111 +000101010111 +000101010111 +000101010111 +000101010111 +000101010110 +000101000101 +001001100111 +001001100111 +000101010111 +000101010111 +001001101000 +001001111001 +001001101001 +001001111000 +001001111001 +001001010101 +001000100001 +010000110001 +010101000011 +010101010100 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +011010001001 +001110001010 +000101010110 +000101000100 +001001000011 +010001000010 +010101000001 +001100100000 +010000100000 +100001100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101010010 +100101100010 +100101100010 +100101100010 +100001100010 +100001100010 +100001100010 +100001010010 +100101100010 +100101100010 +100001010010 +100001010001 +100001010010 +100001100010 +100001100010 +100001010001 +011101010001 +011000110001 +010000100000 +001000100001 +001001010101 +000101010110 +000101010110 +000101010111 +000101101000 +000101101000 +001001111000 +001001111001 +001001100111 +000100010001 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +011101110110 +010101110111 +000100110100 +000000100010 +000000010001 +001000010000 +010000100000 +100101100001 +110110010010 +101001100001 +100101010010 +100001000001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110001 +011000110001 +011000110001 +011000110001 +011001000001 +011000110001 +011000110001 +011001000001 +011101000001 +011101010001 +011101010001 +100001010001 +100001010010 +100101100010 +100101100010 +100001100010 +100001010010 +100001100010 +100001010010 +100001010010 +100101010010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100001100010 +011001010010 +001000110010 +000100110100 +000000100011 +000000100011 +000000010010 +000100100010 +000100110100 +000000110101 +000101000110 +000100110110 +000000110101 +000000100100 +000000100100 +000001000110 +000101000111 +010101111001 +011010001001 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000011 +001101000100 +001000100001 +001100110010 +010101000011 +011001010101 +011001100101 +100001110110 +100110000111 +101010001000 +101010001000 +101010011000 +101110011000 +101110011000 +101110011000 +101010010111 +101010011000 +101010000111 +101010000111 +101010000111 +101010011000 +101010011000 +101010010111 +101010000111 +101010000111 +101010000111 +101110011000 +101110101001 +101110101001 +101110101001 +101110101000 +101010011000 +101010011000 +100110011000 +100110001000 +100010001000 +011101110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011101111000 +011101111000 +011101111000 +011001111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001100110 +010001010101 +001101000100 +010001010101 +010001010101 +001101000100 +001000110100 +001101000100 +001101000100 +001101000100 +001000110011 +000100100010 +000100010001 +000100010001 +000100010010 +000100100010 +001000100010 +001000100011 +001000100010 +001000100010 +001100110011 +010101010101 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +100001110101 +100101010010 +011101000001 +011000110000 +011000110000 +011000110000 +011000110001 +011000110001 +010100110000 +010100100000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110001 +011000110001 +011000110000 +010100110000 +010100110000 +010000110000 +000100010001 +000000010010 +000000010010 +000000010010 +000000100011 +000000100011 +000000010010 +000000010010 +000000010010 +000000100010 +000000100011 +000000100011 +000000100011 +000100110100 +000100110100 +000100100100 +000100110100 +000100110100 +000100110101 +001001000110 +000100100100 +000000010010 +000000100010 +000100100001 +000100010000 +001100100000 +010000100000 +011000110000 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011000110000 +010100110000 +001000100001 +000100100011 +000100100100 +000100110101 +000100110101 +000101000101 +000101000101 +000101000101 +000101000101 +000100110101 +000101000101 +000101010110 +000101000101 +000101000100 +000101000100 +001000100010 +000100100001 +000100100010 +000100010001 +000100000000 +010100110001 +011101010001 +011101010001 +011101010001 +011101010010 +011001000001 +011101000001 +011001000001 +011001000001 +011001000001 +011101010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101110010 +100001100010 +100101110010 +101001110010 +101001110010 +101001100010 +100001010010 +010000110000 +001000110010 +001001000101 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010111 +000101010110 +000101000110 +001001010111 +000101010110 +000101010110 +000101010111 +001001101000 +001001111001 +001001101001 +001001111001 +001001111010 +001001100111 +001000100001 +001100110001 +010101000011 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +011010011010 +001001101000 +001001010110 +000101000101 +001101000011 +010001010010 +010000110001 +001100100000 +010000110001 +100001100010 +100101100010 +100101100010 +100001100001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101010010 +100001010010 +100001010010 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100101100010 +100101100010 +100001010001 +100001010001 +100001100010 +100001100010 +100001100010 +100001100010 +011101010001 +011000110001 +010000100000 +001100100000 +001001010100 +000101010110 +000101000110 +000101010111 +000101101000 +000101101000 +000101101000 +000101111001 +001001111000 +000100100010 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000100100001 +100010001000 +001101010101 +000100110011 +000100100010 +001000100001 +001100100000 +010000100000 +100001010010 +101101110010 +101001100010 +100101010010 +100001000001 +100001010001 +011101000001 +011101000001 +011001000001 +011000110001 +011000110001 +011000110001 +011000110001 +011000110001 +011000110001 +011001000001 +011101000001 +011101010001 +100001010010 +100001100010 +100001100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010010 +100001010010 +100001010010 +100001010010 +100101100010 +100101010010 +100101100010 +100101100010 +100101110010 +100101110010 +100001110010 +011101100010 +011001000001 +001000110010 +000100100011 +000000010010 +000000010001 +000000000001 +000100100010 +000100110100 +000100110101 +000000110100 +000000100100 +000000110101 +000000110100 +000000100100 +000000110110 +000001000111 +000101000110 +010101111001 +010001100111 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101000100 +010000110011 +001100100010 +001100100001 +001000100001 +001000010001 +001100100010 +001100100010 +010101000100 +011001010101 +011101110110 +100110000111 +101010001000 +101110011000 +101110011000 +101110101000 +110010101001 +101110101001 +110010101001 +110010101001 +110010101001 +101110101001 +101110011000 +101010011000 +100110000111 +101010000111 +101110011000 +110010101001 +110010101001 +101110101001 +110010101001 +110010111001 +110010101001 +110010111001 +101110101001 +101110011000 +101010011000 +100110011000 +100010001000 +100010000111 +011101111000 +011101111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011101111000 +011101111000 +011101111000 +011001111000 +011001110111 +011001110111 +011001100110 +010001010101 +001101000011 +010001010101 +010101100110 +010101100110 +010001010101 +001101000101 +001101000100 +001000110011 +000100100010 +000100010001 +000100010001 +000100010010 +000100010010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100001 +001000110011 +010101010101 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +100001110110 +100101100010 +100001010001 +011101000001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100100000 +010100110000 +010100110000 +011000110000 +011000110000 +011000110000 +010100110000 +010100110000 +010000100000 +001000010000 +000000010001 +000000010010 +000000010010 +000000100011 +000000100010 +000000010010 +000000010010 +000000010010 +000000010010 +000000100010 +000000010010 +000000100011 +000000100011 +000100100011 +000100100100 +000000100011 +000100110100 +001001000110 +000100110100 +000000010001 +000000110010 +000000100001 +000000000000 +001100100001 +010000100000 +010100110000 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +010100110000 +010000100001 +000100100010 +000000100011 +000100110100 +000100110101 +000101000101 +000101000101 +000101000101 +000001000101 +000000110101 +000001000101 +000101000110 +000101000110 +000101000101 +000101000101 +001000110011 +000100100001 +000100100010 +000000010001 +000100010000 +001100110001 +011101010001 +011101010001 +011101010001 +011101010010 +011001000001 +011101000001 +011001000001 +010100110001 +010100110000 +011101000001 +100001010010 +100001010001 +100001010001 +100101100010 +100101110010 +100101110010 +100101110010 +101001110010 +101001110010 +101001100010 +100001010001 +010100110000 +001100110001 +001001000100 +000100110100 +000101000110 +000101010111 +000101010110 +000101010111 +000101010111 +000101010111 +001001010111 +000101010110 +000101010110 +000101010111 +000101010110 +000101010111 +000101100111 +000101101000 +000101101000 +001001101000 +001001111000 +001001111001 +001001100111 +000100110010 +001000100001 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010101 +010110011010 +001001101000 +000101010110 +001001000100 +010101100011 +010101000001 +010000110001 +010000100000 +010000110001 +100001010010 +100101100010 +100101100010 +100001010001 +100001010010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001010010 +100001010010 +100001010010 +100001010010 +100001010001 +100001010001 +100001010010 +100001010001 +100001010010 +100001010010 +100001100010 +100001100010 +100001100010 +100101100010 +100101110010 +100101100010 +100001100010 +011101010001 +011001000001 +010000100000 +001100010000 +001101000100 +000101000110 +000101000110 +000101010111 +000101101000 +000101101000 +000101010111 +000101101000 +001001111001 +000100100010 +000100010001 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +001100110010 +011110001000 +001001000100 +000000100010 +001000100001 +001100100000 +010000100000 +010000100000 +100001010010 +101001100010 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110001 +011001000001 +010100110000 +011001000001 +011101000001 +100001010010 +100001010010 +100001100010 +100001100010 +100101100010 +100101100010 +100001100010 +100101100010 +100101100010 +100001010010 +100001010010 +100001010010 +100001100010 +100101100010 +100001010010 +100001100010 +100101100010 +100101110010 +100001110010 +100001100010 +011101100010 +010101000001 +001100110001 +000000100010 +000000010001 +000000000000 +000000010001 +000100100011 +000000100011 +000100110100 +000000110101 +000000100100 +000000110101 +000000110101 +000000100100 +000000110100 +000001000110 +000000110110 +001001011000 +010110001011 +000100110101 +001000110100 +001000110011 +001000110011 +001000110011 +001001000100 +001101000100 +001101000100 +011001010100 +011001010100 +010101010100 +010101000011 +010000110011 +001100100010 +001100100001 +001000010001 +001000010001 +001000010001 +001100100010 +001100110010 +010101000100 +011001010101 +100001110110 +101010011000 +101010011000 +101110101000 +110010111001 +110010111001 +110010101001 +101110101001 +110010111001 +101110101001 +110010101001 +110010111010 +110010111010 +110010111010 +110010101001 +101110101000 +101110011000 +101110101001 +101110101000 +101110011000 +101110011000 +101110011000 +101110101000 +101110011000 +101010011000 +101110101000 +101010101001 +101010011001 +100110011000 +100010001000 +011110001000 +011101111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +010101100110 +010001000100 +001100110011 +010001010101 +011001110111 +010101100111 +010001010110 +010001010101 +001101000100 +000100100010 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000110011 +010001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011101110110 +100101100010 +100001010001 +100001000001 +011000110001 +010100100000 +010000100000 +010100110000 +010100100000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100110000 +011000110001 +011000110000 +010100110000 +011000110001 +011000110001 +010100110000 +010000100000 +001100100001 +001000100001 +000100010001 +000000010001 +000000010001 +000000010010 +000000010010 +000000010011 +000000010011 +000100010010 +000000000001 +000000010010 +000100100011 +000100100011 +000100100100 +000100100100 +000100100100 +001000110101 +000100110101 +000100100010 +000100010001 +000000100010 +000000010001 +001000010000 +001100100000 +010000100000 +011001000001 +011001000001 +011001000001 +011101000001 +011101000001 +011001000001 +011000110001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001000001 +011101000001 +011101000001 +011000110001 +010000100001 +001000100010 +000000100010 +000100110100 +000100110101 +000101000101 +000101000101 +000101000101 +000001000101 +000000110101 +000001000101 +000101000101 +000101000101 +000101000101 +000101000101 +001001000100 +001000100001 +000100100001 +000100100010 +000100100001 +001000100000 +011001010001 +011101010001 +011101010001 +011101010010 +011101010001 +011101000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101100010 +100101100010 +100101110010 +101001110010 +101001110010 +101001100010 +101001100010 +100001010001 +010100110000 +010000110001 +001000110011 +000100110100 +000101000110 +000101000110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010111 +000101010110 +000101010110 +001001100111 +001001100111 +000101100111 +000101101000 +000101100111 +001001100111 +001001111001 +000101101000 +001001111001 +001001101000 +000100110100 +001000100001 +001100110010 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010101100110 +010110001010 +001001100111 +001001010101 +001101010011 +010101010010 +010001000001 +010100110001 +010000100000 +010000100000 +100001010010 +100001100010 +100101100010 +100001100010 +100001010010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101010010 +100001010010 +100001010001 +100001010010 +100001010001 +100001010001 +100001010001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010001 +011101010001 +011001000001 +010000100000 +001100010000 +001100110010 +001001000101 +000101000111 +000101000111 +000101010111 +000101101000 +000101101000 +000101011000 +001001101000 +000100110011 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +010001010100 +011010001000 +000100110100 +000000100010 +001000100001 +010000100000 +010000100000 +010000100000 +100101100010 +101001100001 +100101010001 +100001010001 +100001100010 +100001100010 +100001010010 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +100001010010 +100001100010 +100001010001 +100001010001 +100001100010 +100001100010 +100001010010 +100001010001 +100001010010 +100001010010 +100001010010 +100001010010 +100001100010 +100101100010 +100001100010 +100001010010 +100001100010 +100101100010 +100101110010 +100001100010 +100001100010 +011101010010 +010101000001 +001100100000 +000100100001 +000000010001 +000000000000 +000100100011 +001000110100 +000100100011 +000000100100 +000000100100 +000000100100 +000000110100 +000000110100 +000000100100 +000000100100 +000000110101 +000000110110 +000101000111 +001101101001 +001101011000 +000000100011 +001001000100 +001000110011 +001000110011 +001001000100 +001101000100 +001101000100 +011001010100 +010101010101 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010000110011 +001100100010 +001100100010 +001000100001 +000100010000 +001000010001 +001100110011 +010101000100 +011001010100 +011101110110 +100110000111 +101010011000 +101110101001 +101110101001 +101110101000 +110010111001 +110010111010 +101110101001 +110010101001 +101110101001 +101110101001 +101110101001 +110010111001 +101110101000 +101110101000 +101110101000 +101010010111 +101110011000 +101110101000 +110010101001 +110010101001 +110010111001 +110010111001 +110010101001 +101110101001 +101110101001 +101010011001 +100110011000 +100010001000 +011110001000 +011101110111 +011001110111 +011001100111 +010101100110 +010001000101 +001100110011 +010001010101 +011001110111 +011001110111 +010101100110 +010001010110 +001101000100 +001000100010 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000110011 +010001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011101110111 +100101100011 +100001010001 +100001000001 +011000110001 +010100100000 +010100110000 +011000110000 +010100110001 +010100110001 +010000110001 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100110000 +010100110000 +010100100000 +011001000001 +011101000001 +011100110001 +010100110000 +011000110000 +010101000001 +001100110001 +001000010000 +000100000000 +000000010001 +000000010010 +000000010011 +000100010011 +000100010011 +000100010011 +000000010010 +000000010010 +000100100010 +000100100011 +000100100100 +000100100011 +000100100100 +001000100011 +001000110011 +000100010000 +000000010001 +000000100010 +000000010000 +000100010000 +001100100000 +011001000001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011000110000 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +010100110000 +001100110001 +000100100010 +000000100011 +000000110101 +000001000101 +000101000110 +000101000101 +000101000101 +000000110101 +000101000101 +000101000101 +000101000110 +000101000101 +000101000101 +000101000100 +001000100010 +000100100001 +000100100010 +000000010001 +000100010000 +011001000001 +011101010001 +011001000001 +011101010001 +011101010001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101010001 +100001010010 +100101100010 +100101100010 +100101100010 +101001110010 +101001110010 +101001100010 +100101100001 +100001010001 +011001000001 +010100110000 +001000110010 +000100110100 +000101000101 +000101000110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010110 +000101010110 +000101010111 +000101100111 +001001100111 +000101100111 +000101100111 +000101100111 +001001100111 +001001101000 +001001101000 +001001111000 +001001111000 +001001000101 +000100100001 +001000110010 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110010 +010101100111 +001101111001 +001101010110 +010001100100 +001101000100 +001001000011 +010001000010 +010100110001 +010000100000 +001100100000 +011101010010 +100001100010 +100001010010 +100001100010 +100001010010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100001010010 +100001010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001100010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100001100010 +100001100010 +100001010001 +100001100010 +100001100010 +011101010001 +011101000001 +011101000001 +011000110001 +010100110000 +001100010000 +001100100001 +001001010101 +000101000111 +000101000111 +000101010111 +000101101000 +000101111000 +000101101000 +001001101001 +000101000100 +000000010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +011001100110 +010101100110 +000000100011 +000100100001 +001100100001 +010100110000 +010000100000 +010000100000 +101101110011 +101001110001 +100001010001 +100101100010 +100101100010 +100101100010 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011001000001 +011001000001 +011101010001 +100001100010 +100001100010 +100001010010 +011101010001 +011101010001 +100001010010 +100001010010 +100001010010 +100001010010 +100001010010 +100001010010 +100001010010 +100101100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001110010 +100001100010 +100001100010 +100001100010 +011001010010 +010000100000 +001000100000 +000100010000 +000000010000 +000100100010 +001000110100 +000100100011 +000000100100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000101000111 +000101101000 +001101111001 +000100110101 +000100100011 +001000110100 +001000110011 +001001000011 +001101000100 +001101000100 +011001010101 +011001010101 +011001100110 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +010101000011 +010101000011 +011001010100 +011001010101 +010101000011 +010000110010 +001100100010 +001000100001 +001000010001 +001000010001 +001100100010 +010001000011 +011001010101 +011001010101 +100001110110 +101010011000 +101010011000 +101110011000 +101110101001 +110010101001 +110010101001 +110010101001 +110010111010 +110010111001 +110010111010 +110010101001 +101110101000 +101110010111 +101110010111 +101010010111 +101010010111 +101110011000 +110010101001 +101110101001 +101110011000 +110010101001 +110010111001 +110010101001 +110010111001 +110010111010 +101110101001 +101010101001 +100110001000 +011101110111 +010101010101 +001100110011 +010001010100 +011001100110 +011001100111 +010101100110 +010001010110 +010001000101 +001000100011 +000100010010 +000100010010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001100110011 +010001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +100101100011 +100001010001 +100001010001 +011001000001 +010100100000 +011000110000 +011001000001 +010101000011 +010001010100 +010001010101 +010001000100 +010000110010 +010000100001 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +100001010001 +100001010001 +011101000001 +011000110001 +011001000001 +011001000001 +010100110000 +011000110001 +010000110001 +000100010000 +000000010001 +000000010010 +000100010011 +000000100011 +000100100011 +000100100011 +000000010010 +000000010010 +000100010010 +000100100011 +000100100011 +000100100011 +001000100010 +010001000100 +000100100001 +000000010000 +000000100001 +000000010001 +000100010000 +001000010000 +010100110001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011000110001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001010001 +100001010010 +100001010001 +011101000001 +011000110000 +010000100000 +001000100001 +000000100011 +000000110101 +000001000101 +000101000110 +000001000101 +000101000101 +000000110101 +000000110101 +000101000101 +000100110101 +000100110101 +000101000101 +000101000101 +000100110011 +001000100001 +000100100001 +000000010001 +000100010001 +010101000001 +100001010001 +011101010001 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010001 +100001010001 +100001010001 +100001100010 +100001010001 +100001010001 +101001110010 +101001110010 +101001100010 +100101100001 +100001010001 +011101000001 +011001000001 +010000110001 +000100110011 +000100110101 +000101000110 +000101010110 +000101010110 +000101010110 +000101010111 +000101000110 +000101000110 +000101010111 +000101100111 +000101100111 +000101100111 +001001100111 +000101100111 +000101100111 +001001100111 +001001100111 +000101101000 +001001101000 +001001010110 +001000110011 +001000100001 +010000110011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110010 +010101100111 +001101101001 +010001010110 +010001000011 +001001010101 +001001000100 +010101000010 +011000110001 +010000110000 +001100100000 +011101000001 +100001100010 +100001100010 +100001100001 +100001100010 +100101100010 +100001100010 +100001100010 +100001100001 +100101110010 +100101100010 +100001010001 +100101100010 +100101100010 +100101010010 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100001100010 +100001100010 +100101100010 +100101110010 +100101100010 +100001100010 +100001010010 +100001010001 +100001100010 +100001010001 +011101010001 +011001000001 +011001000001 +011001000001 +010100110000 +001100010000 +001000010000 +001101010100 +000101010101 +000101000110 +000101010111 +000101011000 +000101111001 +000101101000 +000101101001 +000101010110 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100100001 +011001110111 +001101010110 +000000100010 +001100100001 +010100110001 +010100110001 +010000100000 +011001000001 +101110000011 +101001110010 +100001100010 +100101100010 +100101100010 +100001010001 +011101000001 +100001010010 +100001010010 +100001100010 +100001100010 +100001100010 +011101010001 +011001010001 +100001100010 +100101100010 +100001100010 +011101010001 +011101000001 +011001000001 +011101000001 +011101010001 +100001010010 +100001010010 +100001100010 +100001010010 +100001010010 +100101010010 +100001010010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101010010 +010000110000 +001100100000 +001000010000 +000100010001 +000000100011 +000100110101 +000000100100 +000000110100 +000000110101 +000000110101 +000000110100 +000000100011 +000000110101 +000000110101 +000000110101 +000000110101 +000001000110 +000101011000 +001001111010 +001101101001 +000000010010 +001000110100 +001000110011 +001001000011 +001101000100 +001101000100 +010101000100 +011001010101 +011101100110 +011101100110 +011101100110 +011101100110 +011001010100 +011001010100 +011001010100 +010101000011 +010101000010 +011001000011 +011101100101 +011001010100 +011001010100 +011001000100 +010101000011 +010101000011 +010000110011 +010000110010 +001100100010 +001100100010 +001000100001 +001100100010 +010000110011 +010101000011 +011001010100 +011101100110 +100001110110 +100110000111 +101010011000 +101110101001 +101110101001 +101110101001 +101110101000 +110010101001 +110010101001 +101110101000 +110010101000 +101110101000 +101110101000 +110010101001 +101110101001 +101110101000 +110010111001 +110010111010 +110010111010 +110010111001 +110010111010 +110110111010 +110111001010 +110010111010 +110010111010 +101110101000 +101010010111 +100010000110 +100010000111 +011101110111 +010101100110 +010001100110 +001101000100 +001000100011 +000100010010 +001000100010 +001100100011 +001100110011 +001000100011 +001000100011 +001000110011 +001100110011 +001101000100 +010001000100 +010001000100 +010101010101 +011001100111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +100001110011 +100101100001 +100101100010 +011101000001 +010100110000 +011000110000 +010100110010 +010001000100 +010001010101 +010001100111 +010001010110 +010101010110 +011001100110 +011101010100 +011001000010 +010100100000 +010100100000 +010000100000 +011000110000 +100101010001 +100001010001 +011101000001 +011000110001 +010100110000 +011001000001 +011101000001 +100001000001 +011000110000 +001000100000 +000100010001 +000100010001 +000000010010 +000100100011 +000100100100 +000000100011 +000100100011 +000000010010 +000100010010 +000100010010 +000100100100 +001000110110 +010001010110 +010101010110 +000100100010 +000000010000 +000000010001 +000000100010 +000000010001 +000100010000 +001100100000 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110001 +010100110000 +011000110000 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001010001 +100101010001 +100101010010 +100001010001 +011101000001 +011000110001 +010100100000 +001100100001 +000000100010 +000000110100 +000000110101 +000101000101 +000101000101 +000000110100 +000000110101 +000000110101 +000000110101 +000101000101 +000101000101 +000101000101 +000101000101 +000100110100 +001000100001 +000100010001 +000100100001 +000000010001 +001100110000 +100001010010 +100001010010 +011101000001 +011101010001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100101100010 +101001100010 +100101100010 +100101100010 +011101010001 +011101010001 +011101010001 +010100110001 +000100110011 +000100110100 +000101000110 +000101010110 +000101000110 +000101000110 +000101010111 +000101010111 +000101000101 +000101010110 +000101010111 +000101010111 +000101100111 +001001100111 +000101100111 +000101100111 +001001100111 +001001100111 +001001101000 +001001101000 +001001010110 +010001010100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010101110111 +011010001001 +010101010101 +001001000100 +000101010101 +010001010011 +011001000001 +010100110001 +010000110000 +001100100000 +011001000001 +100001100001 +100001100010 +100101100010 +100001100010 +100001010001 +100001010001 +100001010001 +100001100010 +100101110010 +100101100010 +100001010010 +100001010001 +100001100001 +100001010001 +100001010010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001100010 +100001010001 +100001010010 +100001100001 +100001100010 +100001010010 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011001000001 +011001000001 +011001000001 +010100110000 +001100010000 +000100010001 +001101010100 +010001010101 +001001000110 +000101000110 +000101001000 +000101101001 +000101101001 +000101101001 +001001100111 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +001100110011 +010101100111 +000101000100 +000100100001 +010100110001 +010100110000 +010000110001 +001000010000 +011101010010 +101110000010 +101001110010 +100101100010 +100001100001 +100001100010 +100001010010 +011101010001 +100001010010 +100001100010 +100101110010 +100101110010 +100001100010 +011101010010 +011101010001 +100001100010 +100101100010 +100001100010 +011101010001 +011001000001 +011000110001 +011001000001 +011101000001 +100001010010 +100001100010 +100001100010 +100001100010 +100001010010 +100001010001 +100001010001 +100001010001 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101010010 +010100110001 +001100100000 +001000010000 +001000100001 +000100110100 +001001000101 +000000110100 +000000110101 +000000110101 +000000110101 +000000100100 +000000100011 +000000110100 +000001000110 +000000110101 +000001000110 +000001000110 +000001010111 +001001111010 +001101111010 +000000100011 +000100100011 +001000110100 +001001000100 +001101000100 +001101000100 +010101000011 +010101000011 +011001010101 +011001100101 +010101010100 +011001100110 +011001110110 +011001100101 +011001000011 +011001010100 +010101000011 +011001000011 +011101010100 +010101000010 +010101000011 +011001010100 +011001010100 +011001010011 +011001000011 +011001010011 +011001010100 +010101000011 +010000110011 +010101000100 +010001000011 +010000110010 +001100110010 +001000010001 +001000100001 +001100110010 +010101000100 +011001010101 +100001110110 +100110000111 +100110000111 +101010011000 +101110011000 +110010101001 +101110101000 +101110011000 +101110101000 +101110101000 +110010101001 +110010111001 +110010111001 +110110111010 +110010111001 +110010101001 +110010101000 +101110101000 +101110101000 +110010111001 +110010111001 +110010101001 +110010111001 +110010101001 +110010111001 +110010111001 +101110101001 +101010011000 +100001110111 +011001010101 +010000110011 +001100110011 +001100110011 +001000110011 +001000110100 +001101000100 +010001000100 +010001010101 +010101010110 +010101100110 +010101100110 +011001100110 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +100101110100 +100101100010 +100001010001 +011001000001 +010100100000 +010100110000 +010001000011 +001101010101 +001101010110 +010001100111 +010001010110 +010001010110 +011001110111 +011101111000 +011101110111 +100001100100 +100001010010 +100001000000 +100101010001 +100101010010 +100001000001 +011100110001 +011000110001 +010100110000 +011001000001 +100101010001 +101001010010 +100101010010 +010101000001 +000100100001 +000100110011 +001000100010 +000100100010 +000100100100 +000100100011 +000000010011 +000100010011 +000100010011 +000100100100 +000100100101 +001000110111 +010101101000 +010101100110 +001100110100 +000100010001 +000000000000 +000000010001 +000000010001 +000000010000 +000100010000 +010100110001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110001 +011000110001 +011000110001 +011100110001 +011101000001 +011101000001 +011101000001 +100001000001 +100001010001 +100001010001 +100001010001 +011101000001 +011001000001 +011000110000 +010000100000 +000100010001 +000000110100 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000000110101 +000000110101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000100 +000100100010 +000100010001 +000000010001 +000000010001 +001000100001 +011101010010 +011101010001 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010001 +011101010001 +100001010010 +011101010001 +011101000001 +100101100010 +100101100010 +100101100010 +100001010001 +011101000001 +011101010001 +100001010001 +011001000001 +001000100010 +000100110100 +000101000110 +000101010110 +000101000110 +000101010110 +000101010111 +000101010110 +000100110101 +000101000101 +000101010110 +000101010110 +000101100111 +000101100111 +000101100111 +000101101000 +001001100111 +001001100111 +001001101000 +001001100111 +001001010110 +001101000100 +010000110011 +001100110010 +001100110010 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110011 +011001111000 +011110000111 +010001010100 +000101000101 +000101010101 +010101010010 +011101000001 +010100110001 +010000100000 +001100100000 +011001000001 +100001010001 +100001100010 +100101110010 +100101100010 +100001100001 +100001010010 +100001010010 +100001100010 +100101100010 +100101110010 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010001 +100001010010 +100001100010 +100001100010 +100001010010 +100001010001 +100001010001 +100001100010 +100001010001 +011001000001 +011000110001 +011001000001 +011001000001 +010100110001 +010000100000 +000100010001 +001001000101 +010101010100 +001101010110 +000100110110 +000101001000 +000101101001 +000101101001 +000101111001 +001101111001 +000100010001 +000100010000 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +010001010101 +010101100111 +001000110011 +010001000001 +011001000001 +010000110001 +001000010000 +000100010000 +100101100010 +101101110010 +101001110010 +100101100010 +100101100010 +100001010010 +100001010001 +100001010010 +100101100010 +100101100010 +100101110010 +100101110010 +100001100010 +011001010001 +011101010001 +100001100010 +100001100010 +100001100010 +011101010010 +011001000001 +011001000001 +011001000001 +011101010001 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001010001 +100001010001 +100001010001 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101010001 +011001000001 +010100110001 +001100100000 +001000010000 +000100010001 +000100110100 +001001000101 +000000100100 +000000110100 +000000110101 +000000110101 +000000110101 +000000110101 +000000110100 +000000110101 +000001000110 +000001010110 +000001010111 +000001010111 +001001111010 +001101111011 +000100110101 +000000010010 +001001000100 +001101000100 +001101000100 +001101000100 +011001010100 +011001010100 +011001010101 +011001100101 +011001010101 +011001110111 +011110001000 +011001110111 +010101010100 +010101010100 +011001100101 +010101000011 +010101000011 +010000110001 +010100110010 +011001000011 +011001010011 +011001000011 +010100110010 +011001000011 +011001010100 +011001010100 +011001010100 +011001100110 +011101110110 +011001010101 +011001010100 +010101000100 +001100110010 +001000100001 +001000100010 +001000100010 +001100110011 +001100110011 +010000110011 +010001000011 +011001010101 +100001110110 +100110000111 +101010010111 +101110011000 +101110011000 +101110011000 +110010111001 +110110111010 +110010111001 +101110101000 +110010101000 +110010101001 +110010101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101001 +110010101001 +110010111001 +110010111001 +110010111001 +110010101000 +110010101000 +101110011000 +101010010111 +100010000110 +011101110110 +011001100101 +010101100110 +010101100110 +010101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +100001110100 +100001010010 +100001010011 +010100110001 +010000100000 +010000110001 +010001000011 +001101010110 +001101010110 +010001100111 +010001010110 +010001010110 +011001100111 +011101111000 +011001111000 +011001110111 +100001110110 +100101110100 +100101100010 +100101010001 +100001000001 +011000110001 +011000110001 +011000110000 +100001010001 +100101010001 +100001010001 +100001010001 +100101100010 +011101010010 +010001000010 +001100110001 +001000100001 +000100100010 +000100100011 +000100010011 +000100100100 +000100100100 +000100100100 +000100100100 +001000110101 +011001100111 +010101100110 +010001010101 +001000100010 +000000000001 +000000000000 +000000010001 +000100010000 +000100000000 +001100010000 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110001 +011000110000 +010100110000 +011000110001 +011000110001 +011000110001 +011000110001 +011100110001 +011101000001 +011101000001 +100001010001 +100101010001 +100001010001 +100001010001 +011101000001 +011001000001 +011000110001 +010000100000 +001000010001 +000000110100 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000000110101 +000000110101 +000000110101 +000101000101 +000101000101 +000100110101 +000101000101 +000100110100 +001000010001 +000100010001 +000000010001 +000100010000 +011001000001 +011001000001 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011000110000 +010100110001 +011001000001 +011101000001 +011101000001 +011101000001 +011001000001 +100001100010 +100001010001 +100001010010 +011101010001 +011101010001 +100001010001 +100001010001 +011101000001 +001100100001 +000100110100 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +000101000110 +000100110100 +000101000101 +000101010110 +000101010110 +000101101000 +000101100111 +000101100111 +000101101000 +001001101000 +001001101000 +001001101000 +001001100111 +001001010110 +001101000100 +010001000011 +001100110010 +010000110010 +010001000011 +010001000011 +010000110011 +010000110010 +001100110010 +001100110011 +010101111000 +010101110110 +001001000100 +000101010101 +001001010101 +010101000001 +011001000001 +010100110001 +010000100000 +001100010000 +010100110000 +100001010010 +100001100010 +100101100010 +100101110010 +100001100010 +100001010010 +100001010010 +100001010001 +100001100010 +100101100010 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100001010001 +100001010001 +100001100010 +100001100001 +100001010001 +100001010001 +100001010001 +011101010001 +100001010001 +100001010010 +011101000001 +011001000001 +011001000001 +011000110001 +010100110000 +010000100000 +000100000000 +001000110100 +001101010101 +010001010110 +000100110110 +000101000111 +000101101001 +000101101001 +000101101001 +001110001010 +000100100010 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +010101010101 +100110001000 +011101100110 +011101100011 +010101000001 +001000100000 +000100010000 +001000010000 +100101100010 +101001110010 +101001110010 +100101100010 +100001010001 +100001010010 +100001010001 +100001100010 +100101100010 +100101100001 +100101110010 +100101110010 +011101100010 +011001010010 +011001000001 +100001100010 +100001100010 +100001010001 +011101010001 +010100110001 +010100110001 +011001000001 +011101010001 +100001100010 +100101100010 +100101100010 +100101100010 +100101110010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101100010 +011101100010 +011101010001 +011001000001 +010100110000 +001100010000 +001000010000 +000100010000 +000100100011 +001000110100 +000100100011 +000000100100 +000000110100 +000000110101 +000000110101 +000000110101 +000000110101 +000000110101 +000001000110 +000001010111 +000001010111 +000001011000 +000101101001 +001001111011 +001001010111 +000000010010 +001000110100 +001001000100 +001101000100 +001101000100 +011101100110 +011001100101 +011001100110 +011001100101 +011001100101 +011101111000 +011110001001 +011110001000 +011101100110 +011101110111 +011001110111 +011001100110 +010101000100 +010000110010 +010101000011 +010101000011 +010101000010 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +010100110011 +011001010100 +010101000100 +010101000100 +011001010100 +011001010101 +010101000011 +011001010100 +010101010100 +001100110010 +001100100001 +001100100010 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +010101000011 +011001010100 +011101100101 +100001110110 +101010000111 +101110101000 +101110101001 +110010101000 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +101110101000 +101110101000 +101110010111 +101010010111 +110010101000 +110010101000 +110010111000 +110010101000 +110010111000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101001 +110010101001 +101110101000 +101010011000 +100110010111 +100010000111 +011101110110 +011001100101 +010101100101 +011001100110 +011001100110 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011101110111 +100101110101 +011101000010 +010100110011 +001100100001 +001100100001 +001000100001 +001100110011 +001101010110 +001101010101 +010001010110 +010001010110 +010001010110 +010101100111 +011001110111 +011001110111 +011001110111 +011101111000 +100001110101 +100101100010 +100001010001 +011101000001 +011000110001 +010100110000 +011101000000 +101101110010 +101001110010 +010101000001 +010100110001 +100101100010 +100101100010 +010101000001 +001100100001 +001000100001 +001000100001 +000100100010 +000100100010 +001000100010 +000100010011 +000100100011 +000100100100 +000100100101 +001000110101 +010101010101 +010101010101 +010001000100 +000100100010 +000000000001 +000000000001 +000100010001 +000100010000 +000100000000 +010100110001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +010100110000 +010100110000 +011000110001 +011000110001 +011000110001 +011000110001 +011000110000 +011101000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +011000110001 +010000100000 +001000010001 +000100110100 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000000110100 +000000110100 +000000110101 +000100110101 +000101000101 +000101000101 +000101000101 +000101000101 +010001010101 +100001100110 +001000100010 +000100100001 +010101000010 +011101010010 +011001010010 +011001010010 +011001000001 +011001000001 +011001000001 +011101000001 +011001000001 +010100110001 +010100110001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010010 +011101010001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +011101010001 +010000100000 +000100110011 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +000101000110 +000100110100 +000100110100 +000101010110 +000101010111 +000101101000 +000101010111 +000101100111 +000101101000 +000101100111 +000101100111 +001001101000 +001001100111 +001001010110 +001101010101 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110010 +001100110010 +001100110011 +011010001000 +010001100101 +001001000101 +000101010110 +001101010100 +011001000001 +011001000001 +010100110001 +010000100000 +001100010000 +010100110001 +100001010010 +100001100001 +100001100010 +100001100010 +100001100010 +011101010001 +011101010001 +011001000001 +011101010001 +100001010001 +100001010001 +100001100001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001100010 +100001010001 +100001010001 +100001100010 +100001100001 +100001100010 +100001010001 +100001010001 +011101000001 +011101000001 +011101010001 +100001010001 +011101000001 +011000110001 +011000110001 +010100110001 +010000100000 +000100000000 +000100100011 +001001010101 +010001010101 +001000110110 +000100110111 +001001011001 +000101101001 +000101111001 +001110001010 +000100110011 +000100010000 +000100010010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000000000 +000100010001 +011001100110 +101010011001 +100001110101 +010101000001 +000100010000 +000000010000 +000100010000 +001000010000 +100101100010 +101001110010 +101001110010 +100101100010 +100001010001 +011101010001 +100001100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100001110010 +011101100011 +011001010010 +011001010001 +011101010010 +011101010001 +011001000001 +010100110000 +010000100000 +010100110000 +011001000001 +100001010001 +100001100010 +100001100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101100010 +011101010010 +011101100010 +011101010010 +011001000001 +010100110000 +001100010000 +001000000000 +000100000000 +000100010010 +000100100011 +000000100100 +000000100100 +000000100100 +000000110100 +000000110101 +000000110101 +000000110101 +000000110101 +000001000110 +000001010111 +000101011000 +000001011000 +000101011000 +001001111010 +001101101001 +000000010011 +001000110100 +001001000100 +001101000100 +001101000100 +011101100101 +011101100101 +011001100110 +011101110110 +011101110111 +011101111000 +011110001000 +011101110111 +011001100110 +011101110111 +011110001000 +011110001000 +011101111000 +011101100110 +011101110111 +011101100110 +010101010100 +010101000011 +010101000011 +011001000011 +010101000011 +011001100110 +011001100101 +010101000100 +010101000100 +011001010101 +010101000011 +010101000010 +011001010101 +011101100101 +011001010100 +010101000011 +010101000011 +011001010100 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010100110010 +010000110011 +001000100001 +001000100001 +001100110010 +010101000100 +011001100101 +011101100101 +100110000111 +101010010111 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +101110011000 +110010101000 +110010101000 +110010101000 +110010111001 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010111001 +110010111001 +110010101001 +101110101000 +101010011000 +101010010111 +100110000111 +100001110111 +011101110111 +011001110111 +011001110111 +011101110111 +100010000111 +101010011000 +100101110111 +010101000011 +001000100010 +000100010001 +000100010000 +000100010000 +001101000100 +010001010110 +001101000101 +010001010110 +010001010110 +010001010110 +010101100110 +011001110111 +011001110111 +010101110111 +011101110111 +100001100011 +100101100001 +100001010001 +011101000001 +011000110000 +010100110000 +011101000001 +101001110010 +110010010010 +100110000011 +010101000010 +001100100000 +010000100000 +001100100001 +001100100001 +001000100001 +001000100010 +000100100010 +001000100001 +100001100010 +011101010010 +001000100001 +000100010011 +000100010010 +000100100011 +010101010101 +010101010101 +010101100110 +001000110011 +000000010001 +000000000000 +000100000001 +000100000000 +000000000000 +001100010000 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110001 +011000110000 +010100110000 +010100110000 +011000110001 +011000110000 +011000110000 +011000110000 +011000110001 +011101000001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110001 +010100100000 +001000010000 +000100110100 +000000110101 +000000110101 +000000110101 +000000100011 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000101000101 +000101000101 +000101000101 +000101000101 +001001000100 +010101000011 +010101000100 +011001100101 +010001000011 +011001010100 +010001000010 +010001000011 +010000110010 +010000110010 +010000110010 +010101000010 +011001000001 +010100110000 +010100110000 +010100110001 +010100110001 +010100110000 +011001000001 +100001100010 +100001100010 +100001010010 +100001010001 +100001010001 +100001010001 +100001010010 +011101010001 +010100110001 +000100100010 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +000101000110 +000100110100 +000000110100 +000101010110 +000101010111 +000101010111 +000101010111 +000101100111 +000101101000 +000101100111 +000101100111 +001001111000 +001001100111 +001001100111 +001001010101 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001101000011 +011110001001 +001101010101 +000101010101 +001001100110 +001101000011 +010101000001 +011001000001 +010100110001 +010000100000 +001100010000 +010100110000 +100001010001 +100001100001 +100001100001 +100001100001 +100001100010 +011101010001 +011101010010 +011001000001 +011101000001 +011101010001 +011101010001 +100001010001 +100001100010 +100001010010 +100001010010 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001100010 +100001010001 +100001010001 +100101100010 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110001 +010000100000 +000100000000 +000100100010 +001001010110 +001101010101 +001101000101 +000100110111 +000101011001 +001001111010 +000101111001 +001110011011 +001001000101 +000100010001 +000100100001 +000100100010 +000100100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +001000110010 +011101111000 +011101100110 +010101010010 +001000100001 +000000010010 +000000010001 +000000000000 +001100010000 +100101100010 +101001100010 +100101100010 +100001010001 +100001010001 +100001010001 +100001100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100110000011 +100110000100 +100001110100 +011001010001 +011001010001 +011101010001 +011001000001 +010100110001 +010100110000 +011001000001 +011101000001 +011101010001 +100001010001 +100001100010 +100101100010 +100001100010 +100001100010 +100001100010 +100001010001 +100001010001 +100001100010 +011101010010 +011101010010 +011101010010 +011101100010 +011101100010 +011001000001 +010100110000 +001100100000 +001000000000 +000100000000 +000100100011 +000000110101 +000000110101 +000000100100 +000000100100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110101 +000001000101 +000001000111 +000001000111 +000001011000 +000101011001 +001001111010 +001110001011 +001001000110 +001000110011 +001101000100 +001101000100 +001101000100 +011001100101 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101100110 +011001100101 +011101100110 +011101110111 +011101110111 +011101111000 +011110001000 +011101100110 +011101110111 +011001100110 +010101010100 +010101010101 +011001100110 +010101000011 +010000100001 +010101000011 +010000110010 +010101000011 +011001000100 +010101010100 +011101100110 +011001010101 +010000110010 +010000110010 +010000110010 +010000110010 +010100110010 +010000110010 +010000110010 +001100100001 +001000100001 +001000010001 +001000010001 +001000010001 +001100100010 +010000110011 +011001010100 +100001110111 +100001110110 +101010000111 +101110011000 +101110011000 +110010101000 +101110101000 +101110101000 +110010101000 +101110101000 +101110101000 +101110010111 +101110010111 +101110100111 +101110101000 +110010101000 +110010101000 +110010111001 +110010111001 +110010111001 +110110111001 +110010111001 +110010111001 +110010111001 +110010101000 +110010101000 +110010101000 +101110101001 +101010011000 +101110111001 +101010101000 +100001110110 +010001000100 +001000100010 +000100010001 +000100000000 +000100010000 +000100000000 +001100110100 +001101000101 +001001000100 +001101000101 +010001010110 +010001010110 +010101100110 +011001110111 +010101110111 +010101110111 +011101110111 +100001100100 +100001010001 +100001010001 +011101000001 +010100110000 +011001000011 +011101100100 +100001010001 +101110000010 +110110010010 +101110010010 +011101010011 +001100110010 +001000100000 +001100100001 +001000100010 +001000100010 +001000110010 +001100110001 +100101100001 +101110010010 +011001010010 +000100100011 +000000000000 +000100010001 +010101010100 +010101010100 +011001100110 +010101100110 +000100100010 +000000000001 +000100010001 +000100010000 +000000000000 +000100010000 +010100110001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110001 +011000110001 +011101000001 +011101000001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110001 +010100110000 +001100100000 +000100110011 +000000110100 +000000110101 +000000110101 +000000100011 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000101000101 +000101000101 +000101000101 +000101000101 +001001000100 +001100110011 +010000110011 +001100100010 +001000100001 +001000100001 +001000100001 +001100100001 +010000110010 +010000110011 +010001000100 +010000110010 +010101000001 +011001000001 +010000110000 +010000100000 +010000100000 +010100110000 +100001100010 +100101110010 +100001100010 +100001010001 +100001010001 +011101010001 +100001010001 +100001100010 +011101010001 +010101000001 +001000100001 +000101000100 +000101000101 +000101000110 +000001010110 +000001010111 +000101000110 +000100110100 +000000110011 +000101000110 +000101010110 +000101010111 +000101010110 +000101101000 +000101101000 +000101010111 +000101100111 +001001111000 +001001101000 +001001101000 +001001000101 +001101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110010 +010001000011 +011001110111 +001001010101 +000101010110 +001001010101 +001100110001 +010101000001 +011001000001 +010100110001 +010000100000 +001000010000 +010100110001 +100001010001 +100001010001 +100001010001 +100001010001 +100001100010 +011101010010 +011101010001 +010101000000 +011001000001 +011001000001 +011101010001 +100001010001 +100001010001 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101010001 +100001010001 +100001010010 +100001010001 +100001010001 +100001100010 +011101010001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +010000100000 +001000000000 +000100010001 +001001010101 +001001010101 +001101000101 +000100110111 +000101011001 +001001111010 +000101111010 +001110011100 +001101100111 +000100100001 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +001101000100 +001101000110 +010101010100 +010000110010 +000100100010 +000100100010 +000100010001 +000100010000 +010000100000 +100101100010 +101001100010 +100101100010 +100001010010 +011101010001 +100001010010 +100001100010 +100001100010 +100001100010 +100001110010 +100001100010 +100001110010 +100001110010 +100110000100 +100001110100 +011101010010 +011101010001 +011101010001 +011001000001 +011001000001 +011101000001 +100001010010 +100001010010 +100001010010 +100001010010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001010010 +011101010001 +011101010001 +011101010001 +011101010010 +011101010010 +011001010001 +011101100010 +011001010001 +010100110001 +001100100000 +001000000000 +001000000000 +000100110100 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000000100100 +000000100011 +000000110100 +000001000101 +000001000101 +000001000110 +000001000110 +000001010111 +000101101001 +001010001011 +001001111010 +001101101000 +001000110100 +001101000100 +001101000100 +001101000100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100101 +011101100110 +011101110111 +011101110110 +011101110111 +011001100101 +011101100110 +011101110110 +011001010100 +011001010100 +011001010101 +011101100110 +011101111000 +011001110111 +011101110111 +010101010101 +011001100110 +010101100110 +010101100110 +011001100111 +010101010101 +010101000011 +010101000011 +010000110010 +011001010101 +011001010100 +010101000011 +010101000011 +011001010100 +010101000011 +010000110001 +010000110010 +010000110010 +010000110010 +010101000010 +011001010100 +011001010100 +010101000011 +010000110010 +010000110001 +010000100001 +001000010001 +001000100001 +001100100010 +001100110010 +010000110011 +010101000011 +010101000011 +011001010101 +100001110110 +100110000111 +101010010111 +101110101000 +110010101001 +110010101000 +110010101000 +101110010111 +101110010111 +101110101000 +110010101001 +101110101000 +101110101000 +101110100111 +101110010111 +101110101000 +101110101000 +101110101000 +101110101000 +101110100111 +101110010111 +110010101000 +101010010111 +011001010100 +010101000100 +010000110011 +001000010001 +000100010001 +000100010001 +000100000001 +000100010001 +000100010001 +000000000000 +000100010001 +001101000100 +001000110011 +001100110100 +001101000101 +010001010101 +010101100110 +011001110111 +011001110111 +010101100110 +010101010101 +010000110010 +010100110001 +011101000001 +011100110000 +010000110000 +011001100110 +011101110111 +011101010010 +100101100001 +110010010010 +110110010001 +110010010010 +100001110011 +010001000010 +001000100001 +001000100010 +001000110010 +001000100001 +011001000001 +101001110010 +101110000010 +101001110011 +001101000100 +000100010010 +000100000000 +000100010000 +001100110010 +011001010101 +011001100110 +010001010101 +000000000001 +001101000100 +001000100010 +000000000000 +000100000000 +010000100000 +011001000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +010100110000 +010100100000 +010100100000 +010100110000 +011000110000 +011000110000 +011000110001 +011000110001 +011001000001 +100001000001 +100001010001 +011101000001 +011101000001 +011000110001 +010100110000 +010000100000 +000100100010 +000000110100 +000000110100 +000000110100 +000000100011 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000100110101 +000101000101 +000101000101 +000101000101 +001001000101 +010001000100 +001100110010 +001000100001 +001000010001 +000100010000 +000100010000 +000100010000 +001100110010 +001100110011 +010001000101 +001100110011 +010000110010 +010100110001 +010100110000 +010000100000 +001100100000 +011001000001 +100101100010 +100101100001 +100101100001 +100001010001 +100001010001 +100001010001 +100001010010 +011101010001 +011101000001 +011001000001 +001100100001 +000100110011 +000100110100 +000101000101 +000001010110 +000001010110 +000101000101 +000100110100 +000000110011 +000101010110 +000001010110 +000101010111 +000101010110 +000101010111 +000101010111 +000101010110 +000101100111 +000101111000 +001001111000 +001001101000 +001001000100 +001100110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001101000011 +001100110011 +010001000100 +011001110110 +000101000100 +000101010110 +000101000011 +001100110001 +011001000001 +011001000001 +011000110001 +010000100000 +001000010000 +010100110001 +100001010010 +100001100001 +100001010001 +100001010001 +100001100010 +011101010001 +011001010001 +010101000000 +010100110000 +011001000001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010010 +100001010001 +011101010001 +011101010001 +011101010001 +011101000001 +011101000001 +011101000001 +011001000001 +011101000001 +011001000001 +011001000001 +011101000001 +100001010001 +011101000001 +011101000001 +011000110001 +010000100000 +001000010000 +000100000000 +001001000101 +000101010101 +001101000110 +000101000111 +000101011001 +001001111011 +000101111010 +001010011100 +001101100111 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000110001 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010001010101 +001101000110 +010001000011 +000100100001 +000000100011 +000100100010 +000100010000 +001000010000 +010000100000 +100101100010 +100101100010 +100101100010 +011101000001 +011001000001 +100001010010 +100001010010 +011101010001 +011101010010 +011101010001 +011001000001 +011101010001 +100001110010 +100110000011 +100001110011 +100001100010 +100001010010 +100001010001 +011101010001 +011101000001 +011101010001 +100001010001 +100001010001 +011101000001 +100001010001 +100001010010 +100001010010 +100001010010 +100001100001 +100001100010 +100001010010 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101100001 +011101100010 +011101010010 +010100110001 +001100100000 +001000000000 +000100000000 +000100110011 +000000110100 +000000110100 +000000110101 +000000110101 +000000110100 +000000110101 +000000110100 +000000110100 +000001000110 +000001000101 +000001000110 +000001000110 +000001010111 +000001101001 +001010001011 +000101011000 +001101111001 +001001010110 +001001000011 +001101000100 +001101000100 +011001100110 +011101100110 +011001100101 +011001010101 +011101100101 +011101100110 +011101100101 +011101100101 +011001010101 +011001010101 +011101100110 +011001010100 +011101010100 +011101110110 +011101110110 +011101100101 +011001010100 +011001010100 +011001100101 +011001100111 +011001100110 +011101110110 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +011001100110 +011001010101 +011101100110 +010101010100 +010100110010 +010100110010 +010000110010 +010101010100 +010101000100 +010101010100 +011001100110 +011001010101 +011001010101 +011101100110 +011101100101 +011101010100 +011101100101 +011001010011 +011001000010 +010100110010 +010000110011 +010000110010 +010000110010 +010101000011 +010000110010 +001100100001 +001000100001 +001100100001 +001100100010 +010000110011 +011001010100 +100001110110 +100001110110 +101010000111 +101110010111 +101110011000 +101110101000 +110010101000 +110010101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +110010111001 +110010101000 +110010111000 +110010111000 +110010101001 +011001100101 +001000010010 +001000100010 +001000100010 +000100010001 +001000100010 +001000100010 +000100000000 +000100010001 +000000000000 +000100000000 +010000110010 +001100110011 +000100100010 +001100110011 +001101000100 +010001010101 +010101100110 +011001110111 +010001010101 +001100110011 +001100100011 +001000100010 +001000100001 +010000110001 +010000100000 +010101000011 +011110001000 +011110001001 +011101110110 +011101000001 +101001110010 +110010010010 +110110010001 +101110000010 +100101110011 +011001010010 +001100110010 +001000110010 +001000100001 +011101010001 +101001110010 +101110000010 +101110000010 +011001010011 +001101000100 +001000010001 +000100010000 +000100000000 +001000010001 +010101010100 +011001100110 +010001000100 +010101100110 +010101010101 +000100010001 +000000000000 +001000010000 +010100110001 +011101000001 +011101000001 +011100110001 +011000110001 +011000110001 +011000110000 +010100110000 +010100100000 +010100100000 +010100110000 +011000110000 +011000110000 +011000110000 +011000110001 +011101000001 +100001010001 +011101000001 +011000110001 +011000110001 +010100110000 +010100100000 +001100100001 +000000100011 +000000110100 +000000110100 +000000100010 +000000100011 +000000110100 +000000110100 +000000110100 +000000110100 +000100110101 +000101000101 +000101000101 +000101000101 +001001000101 +001000100011 +001000100001 +001000010001 +000100000000 +000100010000 +000100010000 +001000100001 +010001000011 +001000100010 +001100110011 +001100110100 +001100110011 +010000110010 +010100110000 +010000110000 +010000110001 +011101010001 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011001000001 +011101000001 +010000100000 +001000100010 +000100100011 +000000110100 +000101010110 +000001010110 +000101000101 +000100110100 +000000110100 +000101010110 +000001010110 +000101010111 +000101010110 +000101010110 +000101010110 +000101010110 +000101100111 +000101111000 +001001111001 +001001100111 +001000110011 +001100110010 +001100110010 +010000110011 +010000110011 +001100110011 +001100110010 +001100110011 +001100110010 +010101010100 +010101110110 +000101010101 +000101000101 +000100110010 +001100110001 +010101000001 +011001000001 +011000110001 +010000100000 +001000010000 +011000110001 +100001010010 +100101100001 +100101100010 +100001010001 +011101010001 +011101010001 +011001000001 +010101000001 +010100110001 +010100110000 +011101010001 +100001010010 +100001010001 +100001010001 +100001100010 +100001100010 +100001010001 +100001010010 +011101010001 +011101000001 +011101010001 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011001000001 +100001010010 +011101000001 +011001000001 +011000110001 +010100110000 +001100010000 +000100000000 +001000110011 +000101010110 +001001010101 +000101000110 +000101001000 +001001101010 +000101111010 +001010011100 +001101111000 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +000100100001 +000100100001 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +010001010110 +000100110101 +001000110011 +000000100010 +000000100010 +000100100001 +001000010000 +001000010000 +001100010000 +100101100010 +100101100010 +100001010010 +011001000001 +011101000001 +011101010001 +011101010001 +011001000001 +010100110000 +011101010001 +011001000001 +011101100010 +100101110010 +100101110010 +100001110010 +100001100010 +100001100010 +100001010001 +100001100010 +100001010010 +100001010001 +100001010001 +100001010001 +011101000001 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011101010010 +011101010010 +011101010001 +011101010001 +011101010010 +100001100010 +100001100010 +100001100010 +011101010001 +011001000001 +010000100000 +001000000000 +000100000000 +000100100010 +000000100011 +000000100100 +000000110101 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000001000101 +000001000110 +000001000110 +000001010111 +000001011000 +000101101001 +001010001011 +000101011000 +000101000110 +001001010110 +001001000100 +001101000100 +001101000100 +011001111000 +011101110111 +011001100110 +011001100110 +011101100110 +011101100101 +011101010101 +011101010100 +011101100101 +011101010100 +011101010100 +011001010100 +011001010100 +011101100110 +011101110110 +011101100110 +011101100101 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +011101100110 +011001100101 +011001100110 +010001000100 +100010001000 +011101110111 +010001000100 +001100110011 +011001100110 +011001100110 +010101010101 +011001110111 +011101110111 +011001100110 +010101100101 +011001100110 +011001100110 +011001010100 +010101000011 +011001010011 +011101100101 +011101010100 +011001000011 +010101000010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +010100110011 +010000110010 +010000110010 +001100100001 +001000100001 +001100100010 +001100100001 +010000110011 +010101000100 +011101010101 +100001110110 +100110000110 +101010010111 +101110010111 +101110010111 +101110100111 +110010101000 +110010101000 +110010101000 +110010101000 +110010111001 +101110101000 +011001010101 +001100100011 +010000110011 +001000100010 +000100010001 +000100010001 +000100010001 +001000100010 +000100000000 +000000000000 +000100000000 +001100100001 +010101000010 +010000110010 +010000110010 +001100100010 +001100110011 +010001000011 +010101010101 +010101010101 +001100110011 +001000100010 +001100110011 +001100110011 +000100010010 +000100010001 +000100010000 +010101010100 +010101100110 +010001000100 +010101010101 +011101100100 +011101010001 +101110000010 +110110010010 +110010010001 +101101110010 +100101100001 +011001010010 +001100110010 +001100110001 +100001010001 +101001110010 +101110000010 +110010000010 +100001100010 +010001010101 +010101010101 +000100010000 +001000010000 +000100000000 +001000010000 +010001000011 +011001100110 +011001100110 +011001110110 +001100110011 +000100000001 +000100000000 +001100100000 +011101000001 +011101000001 +011000110000 +011000110000 +011000110001 +011000110000 +010100110000 +010100100000 +010100100000 +010100100000 +010100110000 +011000110000 +011000110000 +010100110000 +011000110000 +011101000001 +011101010001 +011000110000 +011000110000 +011000110000 +010100110000 +010000100000 +001000100001 +000000110011 +000000110100 +000000100010 +000000100011 +000000110100 +000000110100 +000000110100 +000000110100 +000100110101 +000001000101 +000101000101 +000101000101 +001000110011 +001000100010 +000100010001 +001000100010 +000100010000 +000100010000 +000100010000 +001100110010 +010001000011 +001100110010 +001000100010 +001100110011 +001100110011 +001100110100 +010000110011 +010000110010 +011001000010 +011101010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +011101010001 +011101010001 +011101010001 +011001000001 +010100110000 +001100100000 +000000010001 +000100110011 +000101010110 +000001010110 +000101000101 +000100110100 +000000110011 +000101010110 +000001010110 +000101010111 +000001010110 +000101010110 +000101010111 +000101010110 +000101100111 +000101101000 +001001111001 +001001100110 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001101000100 +001101100110 +000101010101 +000100110011 +000100100001 +001100110001 +010101000001 +011001000001 +011000110001 +010000100000 +001100010000 +011101000001 +100001010001 +100101010001 +100101010010 +100101100010 +100001010001 +011101000001 +011001000001 +010100110001 +011001000001 +011101010001 +011101010010 +100001010001 +100001010001 +100001010001 +100001010001 +100001100001 +011101010001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +100001010001 +011101010001 +011101000001 +011101010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +010100110000 +001100010000 +000100000000 +001000100010 +001001010110 +001001010110 +000100110110 +000101001000 +001001101010 +000101111010 +001010011100 +001101111001 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +000100100001 +000100100001 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +010001010110 +001001000101 +000100110011 +000000100010 +000000100010 +000100010001 +001000010000 +001000010000 +001100010000 +100101100010 +101001100010 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010010 +011001010001 +011101100010 +100001110010 +100101110010 +100001110010 +100001100010 +011101100010 +011101100010 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011001000001 +011101000001 +011101010010 +011101010001 +011101010001 +011101010010 +011101010001 +011101010001 +100001100010 +011101100001 +100001010001 +100001100010 +100001100010 +100001010001 +100001010010 +011101010001 +011001000001 +010000100000 +001000010000 +000100000000 +000100100010 +000000110100 +000000110100 +000000110100 +000000110100 +000000110101 +000001000110 +000000110100 +000000110100 +000000110101 +000001000110 +000001000110 +000001010111 +000001011000 +000101101001 +001001111011 +001001101000 +000100110100 +001000110100 +001101000100 +001101000100 +001101000100 +011001111000 +011101111000 +011110001000 +011110001000 +011101110111 +011101110111 +011101100110 +011001010101 +011101100101 +011101010100 +011101010100 +011101100101 +011001100101 +011101100110 +011001010100 +011001010011 +011101100101 +011101110110 +011101110111 +011101110111 +100010000111 +011101110111 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +010101010101 +010101010101 +011001100111 +011101110111 +100010001000 +011001100111 +011001100110 +010101010100 +011001100110 +011101110110 +010101010101 +011001010100 +011101010100 +011101100101 +011001010100 +011001010100 +010101000011 +011001010100 +010101000011 +010101000011 +010000110010 +010100110011 +010101000011 +011001010011 +011001010100 +010101000011 +010100110010 +011001010100 +010101010100 +010001000011 +010101000011 +010000110010 +001100100001 +001000100001 +001100100001 +001100100010 +010000110011 +010101000100 +011101100101 +100101110110 +101010010111 +101110101000 +101110101000 +110010101000 +110010101000 +011001010101 +001100110011 +010000110011 +001000010001 +000100010001 +000100010000 +000100000000 +000100000000 +000100010001 +001000010001 +001000010001 +001000010001 +010000110010 +010000110001 +010101000011 +010101000011 +010100110010 +010000110010 +001100100001 +001100110011 +001100100011 +001000100010 +000100010001 +001000100010 +001000100010 +000100010001 +000100000001 +000100010001 +000100010001 +001000100010 +001000100001 +001000110010 +011101110111 +011001010011 +100001010001 +110010000010 +110010010010 +101110000010 +101001110010 +100001010001 +010000110001 +010100110001 +100001010001 +101001110010 +101101110010 +101110000010 +101110000011 +010101000010 +011001111000 +001100110011 +001000100000 +001100100000 +001000010000 +001000010000 +010001000011 +011001100101 +010101010101 +010001000100 +001000010001 +000100000000 +000100010000 +010100110001 +100001000001 +011101000001 +011000110000 +011000110000 +011000110000 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +010100110000 +011000110000 +011000110000 +010100110000 +011000110000 +100001010010 +011000110000 +011000110000 +011000110000 +010100110000 +010100100000 +010000100000 +001000100001 +000100100011 +000000100010 +000000100010 +000000100100 +000000110100 +000000110100 +000000110100 +000000110100 +000001000101 +000101000110 +000101000100 +001100110011 +001000100001 +000100010001 +001000100001 +001100110010 +001000010001 +001000100001 +001000100010 +001100110010 +001000100010 +001000100010 +001000100010 +001100110011 +001101000100 +001101000101 +010000110010 +011001000001 +011101000001 +011101010001 +011101000001 +011101010001 +011101010001 +011101010001 +100001010001 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +001000100000 +000100010000 +000100100011 +000001000110 +000001010110 +000101000101 +000100110100 +000000110100 +000101010110 +000001010110 +000101010110 +000001010110 +000101010110 +000101010111 +000101010110 +000101010111 +000101010111 +001001101000 +000101010101 +000100100001 +001000110010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000110100 +001001010111 +000000110011 +000100110011 +000100110010 +010000110001 +011001000001 +011101000001 +011001000001 +010000100000 +001100010000 +011101000001 +100001010001 +100101100001 +100101100010 +100101010001 +100001010001 +011101000001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110001 +011001000001 +011101000001 +011101000001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +100001010010 +011101000001 +011101000001 +011101000001 +011000110001 +010100110000 +010100110000 +010000100000 +000100000000 +001000100001 +001001010110 +000101010110 +001001000110 +000101001000 +001001101010 +000101111010 +001010001011 +001110001001 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100010 +001000110010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +011110001000 +011001110110 +000100100010 +000000110011 +000000100010 +000100010000 +001000010000 +001000010000 +001100010000 +100101100010 +101001100010 +011001000001 +010000110000 +010100110001 +011001000001 +011101000001 +010100110000 +010100110001 +010101000001 +011001000001 +011101100010 +100001100010 +100001100010 +100001110010 +100001100010 +100001110011 +100001100011 +011101100011 +011101100010 +011101010001 +011101010001 +011101010001 +011101010001 +011001000001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010010 +100001100010 +100001100010 +100001100010 +100001100001 +100001100001 +100001010001 +011101010001 +011101000001 +011000110001 +010000100000 +001000010000 +000100000000 +000100100010 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000000110100 +000000110100 +000000110100 +000000110101 +000001000101 +000001000110 +000001010111 +000001101000 +000101111001 +000101101001 +001101101000 +001001000110 +000100010010 +001101000100 +001101000100 +001101000100 +011001110111 +011010001000 +011001111000 +011110001000 +011101111000 +011110001000 +011110001000 +011101110110 +011101110110 +011001010101 +011101010100 +011101110111 +011001100110 +011001010100 +011001000011 +011001010100 +011101100101 +011001010100 +011101100101 +011101110111 +100010001000 +100010001000 +011101100110 +011101100110 +011101110111 +011001010101 +011001000011 +011001010100 +011101100101 +011001010100 +011001010100 +011001010101 +011101100101 +011101100110 +011101110111 +011001110111 +001100110100 +001100110011 +010101010101 +010101010101 +011001100110 +011001100101 +011101100110 +011101110111 +011101110110 +011101100101 +011001010100 +011101110110 +011101100101 +010101000011 +010101000011 +010101000100 +010101000011 +010000110010 +010101000011 +010101000100 +010000110010 +010101000010 +011001100101 +011001100101 +011001010100 +011101100101 +011001010100 +011001000011 +011001010011 +010101000011 +001100100001 +001100100001 +001100100010 +001100100010 +010101010100 +011001010100 +100001110110 +100101110110 +100001110110 +001100110011 +001100110011 +000100010001 +000100010001 +000100010001 +000100000000 +001000100001 +010000110011 +010001000011 +010101000100 +010101000100 +010101000011 +010000110010 +010101000011 +011001000011 +011001000011 +010000110010 +010000110010 +001100110011 +001100110011 +000100010010 +000000000001 +000100000001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010001 +000100010000 +000100010001 +011001100111 +011101110111 +011001000010 +100101100001 +110010000010 +110010010010 +101101110010 +101001110010 +011001000001 +010000100000 +011101010001 +101001100010 +101001110010 +101001110010 +110010000010 +011101010010 +001101000101 +001101010111 +000100010000 +001000010000 +001100100001 +001100100001 +001100100000 +010101000011 +010001000100 +001100110100 +001100110011 +000100010000 +000100000000 +010000100000 +100001000001 +011101000001 +011101000001 +011000110001 +011000110001 +011000110000 +011000110000 +011000100000 +010100100000 +010100100000 +010000100000 +010100110000 +011000110000 +010100110000 +010100100000 +011001000001 +011101000001 +011000110000 +011000110001 +010100110000 +010100110000 +010100110000 +010100100001 +001100100001 +000100010001 +000000010010 +000000100011 +000000110011 +000000110011 +000000110100 +000000110100 +000001000101 +000101000101 +000100110100 +001100110011 +001100110011 +000100100001 +001000110010 +010001000011 +001000100010 +000100100001 +001000100010 +001000100010 +001000010000 +001000100001 +001100110010 +001100110011 +001101000100 +010001000101 +010000110010 +011001000001 +011001000001 +011101010001 +011101000001 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101000001 +011001000001 +010000110001 +000100100001 +000100010000 +000100100010 +000101000110 +000001010110 +000101000101 +000100110011 +000000110100 +000001010110 +000001010110 +000001010110 +000001000110 +000101010110 +000101010110 +000101010110 +000101010111 +000101010111 +000101101000 +000101010110 +000100100001 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110100 +001001000110 +000000110011 +000101000100 +001001000011 +010001000010 +011001000001 +011101000001 +011001000001 +010000100000 +001100100000 +011101000001 +100001010001 +100101010001 +100101100001 +100101010001 +100001010010 +011101010001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +011101000001 +011101010001 +010100110001 +010101000001 +011001000001 +011101000001 +011101000001 +011101010001 +011101010001 +011101010010 +100001010010 +100001010001 +011101010001 +011101010001 +100001010010 +100101100010 +011101010001 +011101000001 +011101000001 +011001000001 +010100110000 +011000110000 +010100100000 +001000000000 +001000100001 +001001010110 +000101010110 +000101000110 +000101001000 +001001101010 +000101111010 +001010001011 +010010001001 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100100001 +000100100001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100011 +011001110111 +010001010101 +000000100010 +000000110011 +000000100010 +000000010000 +001000010000 +001000010000 +001100010000 +100001100010 +100101100010 +011001000001 +010000100000 +010100110000 +011101000001 +011101010001 +010100110001 +010000100000 +010000100000 +010100110000 +011001000001 +011001010001 +100001100010 +100001100010 +011101100010 +100010000101 +100001110101 +100110000101 +100001110011 +011101010001 +011101010001 +011101000001 +011101010010 +011001000001 +011001000001 +011001010001 +011001000001 +011001000001 +011101010001 +011101010001 +100001100010 +100001100010 +100001100010 +100001010010 +100001010001 +011101010001 +011101000001 +011001000001 +010100110000 +010000100000 +001000000000 +001000010000 +001000110010 +000000100011 +000000100100 +000000110100 +000000110100 +000000110101 +000000110100 +000000110100 +000000110100 +000000110101 +000001000101 +000000110101 +000001000110 +000001011000 +000101111010 +001001101001 +001001010110 +001001010110 +000000010001 +001101000100 +001101000100 +001101000100 +011001110111 +011110001000 +011110001000 +011001111000 +011101111000 +011110001000 +011110001000 +011101111000 +011101110111 +011001010100 +011101100101 +011101111000 +011001100110 +011101100110 +011101110111 +011101110111 +011101100110 +011001010100 +011101100101 +011101110110 +011110001000 +100010001001 +011101110111 +011101100110 +011101110111 +011101100101 +011101010100 +011001010100 +011101100101 +011101010100 +011001010011 +011101010100 +011101100101 +011101100110 +011101110110 +011110001000 +010101010110 +010101010101 +011001100111 +011001100110 +011110001000 +011101111000 +010001000100 +100010001000 +100110011001 +011101100110 +010101010100 +011001100101 +011101100110 +011101100101 +011101100110 +011101100101 +011001010100 +010101000100 +010101000011 +010101010100 +010101000100 +010101000011 +011001010100 +011001010101 +011001010100 +011101100101 +011101010100 +011101010011 +011001010100 +011001100101 +011001010100 +010101000010 +011001010011 +010100110010 +010100110010 +010101000011 +011001010100 +010001000011 +001100110011 +001100110011 +001000100010 +001000010001 +000100000000 +001000010001 +001100110010 +010101000011 +010101000100 +010101000100 +011001010101 +011001010101 +011101010100 +011001010011 +010101000011 +011001010011 +011001010011 +010001000011 +001100110010 +001000100011 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010001 +010001000100 +011101110111 +011101100101 +011001000001 +101001110001 +110110010010 +110010000010 +101101110001 +100101100010 +010100110001 +011101000001 +100101100010 +101001110010 +101001110010 +101110000010 +100101100010 +001100100010 +001101010111 +001101000110 +001100100001 +001000010000 +001000010000 +001100100000 +010000110001 +010001000011 +001100110011 +001100110011 +001000100001 +000000000000 +000100010000 +011001000001 +100001000001 +011101000001 +011100110001 +011000110001 +011000110001 +011000110000 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +010100110001 +010100110000 +010100110000 +010100100000 +011001000001 +011001000001 +011000110001 +011000110001 +011100110001 +011100110001 +011000110000 +010100110000 +001100010000 +000100010001 +000000010010 +000000100011 +000000100011 +000000110100 +000000110100 +000001000101 +000101000101 +000101000101 +001000100011 +001000100011 +001000100011 +001000100010 +001000100001 +001100110010 +001000100010 +001100110011 +001000100010 +001100110001 +010100110000 +001100100001 +001100110011 +010001000101 +010001000100 +001100110010 +010100110001 +010100110000 +011001000001 +011101000001 +011101000001 +011101000001 +100001010001 +011101000001 +011101000001 +011101010001 +011101010001 +010001000001 +000100110001 +000100100010 +000100100001 +000100100001 +000101000101 +000101000110 +000100110100 +000100110011 +000000110100 +000101000110 +000001010110 +000101010110 +000001000101 +000101010110 +000101010110 +000101010110 +000101010111 +000101010111 +000101101000 +000101010110 +000100100001 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110011 +001001010110 +000101000100 +000101000101 +000101000100 +010001000010 +011101010001 +011101010001 +011000110001 +010000100000 +001100100000 +011101000001 +100001010001 +100101010001 +100101100001 +100101010001 +100101100001 +100001010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001100001 +100001100001 +100001100001 +011101010001 +011001000001 +010000110000 +011001000001 +100001010001 +011101000001 +011001000001 +011101000001 +100001010001 +011101010001 +100001010001 +011101010001 +100001010001 +011101010001 +011101010001 +100001100010 +100001100001 +011101000001 +011101000001 +011101000001 +011000110000 +011000110001 +010100100000 +001000010000 +001000010000 +001101010100 +000101010110 +000101000110 +000001001000 +000101101010 +000101111010 +001010011100 +010010001001 +001000110010 +001000100010 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000110100 +001101010110 +001101000100 +000000100011 +000000100011 +000000010001 +000100010000 +001000010000 +001000010000 +001000010000 +011101010010 +100101100010 +011000110000 +010000100000 +010000110000 +011001000001 +011101000001 +010100110000 +010000110000 +010000110001 +010000110000 +010100110001 +010000110000 +011001010001 +100001100010 +011101100010 +100010000100 +100010000110 +100110011000 +100110000110 +100001110011 +011101010010 +011101010010 +011001000001 +010100110001 +010101000001 +010101000001 +011001000001 +011001010001 +011101010001 +011101010001 +100001100010 +100101100010 +100001100010 +100001010010 +100001010001 +011101010001 +011101000001 +011001000001 +010000110000 +001100100000 +001000000000 +001100010000 +001100110010 +000000110011 +000000100100 +000000110100 +000000110100 +000000110101 +000000110101 +000000110100 +000000100100 +000000110100 +000001000101 +000000110101 +000001000110 +000001000111 +000101111010 +000101010111 +001001000110 +001001000110 +000000010001 +001101000100 +001101000100 +001101000100 +011101110111 +011110001000 +011110001000 +011101111000 +011001111000 +011001110111 +011101110111 +011101111000 +011101110111 +011101100110 +011101110111 +011101111000 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011101110110 +011101110111 +011101110110 +011101110110 +011101100110 +011101100100 +011101010100 +011101010100 +011001010100 +011101100101 +011101100110 +011101110110 +011110001000 +011001100110 +011101110110 +011101100110 +011101110110 +011110001000 +011110001000 +010101100101 +100010001000 +100110011010 +011001100110 +010000110011 +001100110011 +011101110110 +100010001000 +011101110111 +011101100110 +011001100101 +011101100110 +011101110111 +011101110111 +100001110111 +011101110110 +010001000011 +010101000011 +011001000011 +011101100101 +010101000011 +010101000011 +010101010100 +011101110110 +100010000111 +011001010100 +011001000011 +011001000011 +011001010011 +011001000011 +010101000011 +010000110010 +000100010010 +001000100010 +000100010001 +001000010001 +000100010001 +010001000011 +010101000011 +010101000011 +011001000100 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +010001000011 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010000 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100010 +001100110010 +001100100001 +010100110000 +100101100010 +101110000011 +101110000010 +101001110010 +100001010010 +011000110001 +100001010001 +100101100010 +101001110010 +101001110010 +101001110010 +010100110001 +001000110100 +001101011000 +011001100110 +010101000010 +001100100000 +001000010000 +001100100000 +010101000010 +001100100010 +001000100001 +001000100001 +001000010000 +000000000000 +001100100000 +011001000001 +100001000001 +011101000001 +011000110001 +011000110000 +011000110000 +010100110000 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +010100110000 +011000110001 +010100110000 +010000100000 +011000110001 +011100110001 +011101000001 +011100110001 +011100110001 +011000110001 +010100110000 +010000100000 +001000010000 +000000010001 +000000010010 +000000010010 +000000100011 +000100110100 +000100110100 +000101000101 +000101000110 +001001000100 +000100010001 +000000000000 +000100100010 +001000100010 +001100110010 +001000100001 +001000100001 +001100110010 +010101000010 +011101000001 +011000110001 +001100110001 +001100110011 +010001000100 +010001000100 +010001000011 +010000110001 +010000110000 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101010010 +010000110001 +000100110010 +000100110011 +000100110011 +000100100001 +000100100001 +000000110100 +000101000101 +000100110100 +000000110011 +000100110100 +000101000110 +000101010110 +000101010110 +000001000101 +000101010110 +000101010110 +000101010110 +000101010111 +000101010111 +000101101000 +001001010110 +000100100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000110011 +000101000110 +000101000100 +000101010101 +001001010100 +010101010010 +100001100010 +011101010001 +011000110001 +010000100000 +001100100000 +011101000001 +011101010001 +100001010001 +100101010001 +100101100010 +100101100001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +011101010001 +011001000001 +011001000001 +011001000001 +011101010001 +011101000001 +011101000001 +100001010001 +100001100010 +011101010001 +011101010001 +011101000001 +100001010001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011001000001 +011000110000 +010100100000 +001100010000 +001000010000 +001101000011 +000101010110 +000101000110 +000101001000 +001001101010 +000101111010 +001010011100 +001110001001 +001000110010 +001000100001 +001000100001 +001000100001 +001000100010 +001000110010 +001100110011 +001000110010 +001000100010 +001000100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000000001 +001001000101 +000100110100 +000100110011 +000000110011 +000000110011 +000000100001 +000100010000 +001000010000 +001000010000 +001000000000 +011001000001 +100001010001 +011001000001 +010000100000 +010000110000 +010100110001 +010100110000 +010000110000 +010100110000 +010000110000 +010000110000 +010100110001 +010100110000 +011001000001 +011101010010 +011101100010 +100101110011 +100110000101 +100110011000 +100110011000 +100110000101 +100001100010 +011101010001 +011001010001 +010101000001 +010000110000 +010101000001 +011001000001 +011001010010 +011101010010 +011101010001 +100001010001 +100001100010 +100001100010 +100001010001 +100001010001 +011101010001 +011101000001 +010100110000 +010000100000 +001000010000 +000100000000 +010000110001 +001000110010 +000000100011 +000000100100 +000000110100 +000000110100 +000000110101 +000000110101 +000000100100 +000000100100 +000000110101 +000001000101 +000001000101 +000001000110 +000001000110 +000101101001 +000101000110 +001001010111 +000100100100 +000000010001 +001101000100 +001101000100 +001101000100 +011101111000 +011101111000 +011110001000 +011110001001 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011101110111 +011101110111 +011110001000 +011101110111 +011101110111 +011101110110 +011101100101 +011101010101 +011101100110 +011101100110 +011101110110 +011101110110 +100001110111 +011101111000 +011101100110 +011101100101 +011101100110 +011101100101 +011101100101 +100001110111 +100010000111 +100010001000 +100010001000 +100010001001 +011101111000 +011101100110 +100001110110 +100010000111 +100010000111 +100001110111 +100010000111 +010101010101 +010001000100 +011101110110 +011001100110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011001010100 +010101000011 +011001010100 +010101000100 +011101110110 +011101100110 +010000110010 +010101000011 +011101100101 +011001010100 +011001010100 +010101000100 +000100010010 +000100010001 +000100000000 +000100010000 +001100100001 +010101000011 +010101000011 +010000110010 +010101000011 +010101000011 +010000110011 +010000110011 +010101000011 +010000110011 +010000110010 +010000110010 +000100010001 +000000000000 +000100010001 +000100010001 +001000010001 +000100010000 +000000000000 +000000000000 +000100000000 +000100010000 +001000010001 +001000100010 +001100100010 +001100110010 +001100100010 +001000100001 +001100100001 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100001 +010000110001 +010101000010 +011001010010 +011101010010 +011001000001 +100001100010 +100101110010 +101001110010 +101001100001 +101101110010 +011101000001 +001000100010 +000101000110 +001101010111 +011001100110 +011101100100 +011101010011 +011101010010 +100001100011 +001000100000 +001000010001 +001000100001 +001000100001 +000100010000 +000100000000 +001100100000 +011000110001 +011101000001 +011100110001 +011000110000 +011000110000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010000010000 +010000100000 +010100110000 +010100110000 +010000100000 +010000100000 +011000110001 +011101000001 +011101000001 +011100110001 +011100110000 +011000110000 +010100100000 +001100100000 +000100010000 +000000010010 +000000010010 +000000010011 +000000100011 +000000100011 +000000100011 +000101000101 +001001010101 +000100100010 +000000000000 +000100010001 +001101000100 +010101010110 +001100110010 +001100100010 +001000100010 +010000110001 +011101000001 +011101000001 +010100110001 +001100100001 +001000100001 +001100110011 +010001000100 +010001000100 +010000110010 +010000110001 +010101000001 +011001000001 +010101000001 +011001000001 +011101010001 +010101000001 +000100100010 +000000110011 +000101000100 +000100110010 +000100100001 +000100100001 +000100100011 +000000110011 +000100110011 +000000100011 +000000100011 +000101000101 +000101000110 +000101000101 +000001000101 +000001010110 +000101000110 +000101010110 +000101010111 +000101010111 +000101101000 +001001010111 +000100100001 +001100110010 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100011 +000100110101 +000100110011 +000101000100 +000101000011 +010101010010 +100001100001 +011101010001 +011000110001 +010000100000 +010000100000 +011101000001 +100001010001 +100101010001 +100101010001 +100101100010 +101001100010 +100101100001 +100001010001 +100001010001 +100001010001 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011001000001 +011101000001 +011101000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +100001010001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010010 +100001010001 +011101000001 +011101000001 +011000110000 +010100100000 +001100010000 +001000010000 +010001000011 +000101010110 +000100110110 +000101000111 +001001101010 +001001111011 +001010011100 +001110001001 +001000110010 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100001 +000100100001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001001000101 +000100110100 +000100110011 +000000110011 +000000100010 +000100100001 +001100100000 +001100010000 +001000010000 +001000000000 +010100110001 +100001010001 +011101000001 +010000100000 +010000100000 +010000110000 +010000100000 +010000100000 +010000110000 +010100110001 +010000110000 +010100110001 +010100110001 +011001000001 +011101010001 +100001100010 +100001110010 +100110000011 +100110000110 +100010001000 +100110000101 +100001110010 +011101100001 +011101100010 +011001010001 +010101000001 +011001010010 +011101100011 +011101110100 +100001100010 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011101000001 +010100110001 +001100100000 +001000010000 +001000010000 +011001000010 +001100110001 +000000100010 +000000100100 +000000100100 +000000110100 +000000110101 +000000110101 +000000100011 +000000100100 +000000110101 +000000110101 +000001000101 +000001000110 +000001000110 +000001101000 +000101000110 +000101101000 +000000100011 +000100010010 +001101000100 +001101000100 +001101000100 +011110001000 +011101111000 +011110001000 +011110001001 +011110001000 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011110001000 +100010001000 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +100001110110 +100001110111 +011101111000 +011110001000 +100010001000 +011101110111 +011101110111 +011101110111 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011101100101 +011101100101 +011101110110 +011101110110 +100010001000 +100010001000 +100001110111 +011101110110 +011101110110 +011101110110 +011001010100 +011101100100 +011001100101 +010101010101 +011101100110 +011001010101 +011101100110 +011101100110 +011101110110 +011101110110 +011101100101 +010101000011 +011001010101 +011001100101 +011101100101 +011001010100 +011001010100 +010100110010 +010100110010 +011101010100 +011101100100 +011101100100 +010101000100 +000100010010 +000000000000 +000000000000 +001000100001 +010101000011 +010101000011 +010000110010 +010101000011 +010101000011 +010000110010 +010101000011 +001100100010 +010000110010 +001100100010 +001100100010 +001000010001 +000000000000 +000100010001 +000000000000 +000100010000 +000100010000 +000100000000 +000100010000 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000010001 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001100100010 +010000110010 +001100110010 +010000110011 +010101000011 +011101100100 +110010100111 +110010100111 +110010100110 +110010010101 +101110010100 +100101110011 +010001000001 +000100110011 +001001000110 +010001010111 +010101100101 +011001100101 +011001010100 +010101000010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010000 +001000010000 +001100100000 +011000110001 +011101000001 +011100110000 +011100110000 +011000110000 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +001100010000 +010000100000 +010100100000 +010000100000 +001100010000 +001100010000 +011000110001 +011100110001 +011100110001 +011100110000 +011000110000 +010100100000 +010000100000 +001000010000 +000100010001 +000000010010 +000000010011 +000000010011 +000000100011 +000000010010 +000100100011 +000100110100 +000100100010 +000100010001 +000000000000 +001100110100 +010001000100 +001100110010 +001100100010 +001000100010 +000100010000 +011001000001 +011101000001 +011101000001 +010100110001 +001100100001 +001100100010 +001100110011 +010001000100 +010001000100 +010000110010 +010100110001 +010000110000 +010000110000 +011001000001 +011001000001 +001000110001 +000100110011 +000101000100 +000101000100 +000100100010 +000100100010 +000000100010 +000100100011 +000000100010 +000000010001 +000100100011 +000000100011 +000100110101 +000101000110 +000101000101 +000000110101 +000001010110 +000101000110 +000001010110 +000101010111 +000101010111 +000101101000 +001001100111 +000100100010 +010000110010 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000110100 +000100110011 +000101000100 +000100110011 +010101010010 +100001100010 +011101010001 +011000110001 +001100100000 +010000100000 +011101000001 +100001010001 +100101010001 +100101010001 +100101010010 +101001100010 +100101100001 +100101010001 +100101010001 +100101100010 +100101010001 +100001010001 +100001010001 +100001010001 +011101010001 +011001000001 +010100110001 +011001000001 +011101010001 +100001010001 +100001010001 +011101000001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101010001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110000 +010100100000 +001100010000 +001000010000 +010001000011 +000101010110 +000000110101 +000101000111 +000101011010 +001010001011 +001010011100 +001110001001 +001000110010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001001000101 +000000100100 +000100110011 +000100110011 +000000010001 +001000100000 +001100100000 +001100010000 +001000010000 +001000000000 +001100100000 +011101010001 +011101000001 +010000100000 +010000100000 +010000100000 +010000110000 +010000110000 +010000110000 +010000110001 +010100110000 +010100110000 +010100110000 +010101000000 +011101010001 +100001100010 +100001110010 +100110000010 +100110000100 +100010000110 +100001110100 +100001110010 +100001100010 +100001100010 +011001010010 +011001010011 +011101100011 +100001110100 +100010000101 +100001100011 +011101010001 +011101000001 +011101010001 +100001010001 +011101010001 +011101000001 +011101000001 +011001000001 +010100110001 +001100100000 +000100000000 +001100100001 +011001010010 +010000110001 +001000100010 +000000100011 +000000110100 +000000110100 +000000110101 +000000110100 +000000100011 +000000100011 +000000110101 +000001000101 +000001000101 +000000110100 +000000110101 +000001011000 +000001000110 +001001111010 +000100110101 +000100100010 +001101000100 +001101000011 +001101000100 +011101110111 +011101110111 +011101111000 +011110001001 +100010001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011110000111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011110001001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001000 +011110001000 +011101110111 +011101111000 +100010001000 +011110001000 +011110001000 +011110001000 +100001110111 +011101110110 +011101100110 +011101100101 +011001010100 +011101010100 +011101100101 +011101100101 +011101100100 +011101100101 +011101100101 +011101100110 +011101100110 +100001110110 +100001110111 +011101110110 +011101100101 +011101100100 +011101010011 +011101100101 +011101100110 +011101100110 +011101100110 +011101100101 +011001010100 +100001110111 +100001110111 +100001110110 +011001010100 +010101010100 +011001100101 +100001110110 +011001010100 +010101000011 +011001010011 +010101000010 +010101000010 +011101010011 +011101100101 +010101000100 +000100010001 +000000000000 +000000000000 +000100010000 +010000110011 +011001010100 +011001010100 +011001010100 +011001010100 +010101000011 +010101000010 +010101000010 +010000110010 +001100100001 +001100100010 +000000000000 +000100010001 +000100010001 +000000000000 +000000000000 +001000010001 +001000100001 +001000010001 +001000100001 +010000110010 +010000110010 +001100100010 +010000110010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100001 +001100100010 +001100100010 +010000110011 +010000110011 +010000110011 +010101000011 +100001110101 +101110010110 +101110010111 +110010100111 +101110100110 +110010100111 +110010101000 +101110100111 +101010101000 +011110000111 +011101110111 +011001110110 +010101100101 +010001000100 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +000100010001 +001100100001 +010000100000 +010100110000 +011101000001 +011100110001 +011000110001 +011000110001 +010100100000 +010100100000 +010000100000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +001100010000 +011000110001 +011101000001 +011100110001 +011100110001 +011000110000 +010000100000 +001100100000 +001000010000 +000100010001 +000000010010 +000000010010 +000100100011 +000100100011 +000000010010 +000100010011 +000100010011 +000100100011 +000100100011 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +000100010000 +001100100000 +011101000010 +011001000001 +011101000001 +011101000001 +011001000001 +010100110001 +010100110010 +010101000010 +010100110001 +011000110000 +010000110000 +001100110001 +010101000010 +001100110001 +000100110010 +000100110011 +000100110100 +000100110011 +000100100011 +000100100011 +000100100010 +000000100010 +000100100010 +000000010001 +000100100011 +000000010010 +000100110100 +000101000110 +000101000101 +000000110100 +000001000110 +000101000110 +000001000110 +000101010110 +000101010111 +000101101000 +001001101000 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000110011 +000100100001 +000101000100 +000101000100 +010001000010 +011101010010 +100001010001 +011000110000 +001100100000 +001100100000 +011101000001 +100001010001 +100101010001 +100101010001 +100101010010 +101001100010 +100101100001 +100101100010 +100101010001 +100101010010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011001000001 +011001000001 +011001000001 +011101010001 +100001010001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110000 +010100100000 +001100010000 +001000010000 +010001000011 +000101010101 +000000110101 +000100110111 +001001011010 +001010001011 +001010011100 +001101111000 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001001000101 +000000100011 +000100100011 +000000100010 +000000100001 +001000100000 +001100100000 +001100010000 +001100010000 +001000000000 +001000010000 +011001000001 +011101000001 +010100110000 +010000110000 +001100100000 +010000110000 +010000110001 +001100100000 +001100100000 +010000110001 +011001010001 +011001000001 +010100110000 +011001000001 +100001100010 +100001100010 +100001110010 +100001110010 +100001110011 +100001110011 +100001100010 +100001100010 +011101100001 +011101100010 +011101100011 +100001110011 +100001110011 +100001110100 +100001110011 +011101010010 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101000001 +011000110001 +010000110001 +001000010000 +000100000000 +001100100000 +011001000001 +010000110001 +001100110001 +000000100011 +000000110100 +000000100100 +000000110100 +000000110100 +000000100011 +000000100011 +000000110100 +000000110101 +000000110100 +000000100011 +000000110101 +000101010111 +000001000111 +001110001011 +001001011000 +001000110011 +001101000100 +001101000100 +001101000100 +011101110110 +011101100110 +011101110111 +100010001000 +100010001000 +011101111000 +011101110111 +011101111000 +011110001000 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +011110001000 +011101110111 +011101110111 +100001110111 +011101100101 +011101100101 +011101110110 +011101100110 +011101100101 +011101100110 +100001110111 +100001110111 +011101100101 +011101100100 +011101100100 +011101100101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +100001110110 +011101100110 +011101100101 +100001110110 +011101100101 +010000110011 +100001110111 +100010001000 +100010000111 +010000110010 +010000110010 +011001010100 +010101000011 +011001010011 +011101100101 +010101010100 +000100010001 +000100010001 +001000010001 +001100100010 +010101000010 +011101010100 +011101100100 +011001010100 +011001010011 +011101100101 +011101100101 +011001010011 +011001000011 +011001000011 +001000010001 +000100000000 +001000010001 +000100000000 +000100010001 +000100000000 +001100100001 +010101000011 +010101010100 +001100110010 +001100110010 +001100100010 +001100110010 +001100100010 +010000110010 +010000110011 +010000110011 +010000110010 +001100100010 +001100100001 +001100100010 +010000110010 +010000110010 +010000110010 +010000110010 +001100100010 +010000110010 +010000110010 +010101000011 +100101110101 +101010000101 +101110010110 +101110010110 +101110010110 +110010100111 +101110100111 +101110100111 +110010111000 +101110100111 +110010111000 +110010111000 +101010010111 +100110000110 +011101100101 +010101000010 +001100110001 +001100110010 +001100110010 +010001000011 +010101010100 +010101010100 +001100100001 +010000110001 +001000100000 +010000100001 +011001000001 +010100110001 +010100110000 +010000100000 +010000100000 +010000100000 +001100100000 +001100100000 +010000100000 +010100100000 +010100100000 +010100100000 +010100100000 +011000110000 +011101000001 +011100110001 +011000110000 +010100110000 +010000100000 +001100010000 +000100010000 +000100010001 +000000010001 +000000010010 +000000010011 +000100100100 +000000100011 +000100010010 +000100010011 +000100100011 +001000110100 +001000100011 +000100010001 +000100100010 +000100010001 +001100110011 +001101000100 +001000100001 +001000010000 +011001000001 +011101010001 +011001000001 +011101000001 +011101000001 +011001000001 +011000110001 +011000110001 +011000110001 +011000110000 +010000110001 +001000110010 +000100110010 +000100110011 +000000110011 +000100110011 +000100110011 +000100110010 +000000100010 +000000100011 +000100110011 +000100100010 +000000100010 +000000100010 +000100100011 +000100010010 +000000100011 +000100110101 +000101000101 +000000110100 +000001000110 +000001000101 +000001000110 +000101010110 +000101000110 +000101101000 +001001101000 +001000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100001 +001000110011 +000100100001 +000101000100 +000101000100 +001101000010 +011001010010 +100001010001 +011001000001 +010000100000 +001100010000 +011101000001 +100001010001 +100101010001 +100101010001 +100101010001 +100101100001 +100101100001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101010001 +100001010001 +011101000001 +011001000001 +011101010001 +011101000001 +011101000001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +010100110000 +001100010000 +001000010000 +010101000011 +000101000101 +000000110100 +000101000111 +001001011010 +001001111011 +001010011100 +001101111000 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000110100 +000000100011 +000000100010 +000000110011 +000000100001 +001000010000 +001100100000 +001100100000 +001100010000 +001000000000 +001000000000 +011000110001 +011001000001 +010100110000 +010100110001 +001100100000 +010000100000 +010000110000 +001100100000 +001100010000 +010000100000 +011001000001 +011001000001 +010100110000 +011001000001 +011101100010 +100001100010 +011101010010 +011101100001 +011101100010 +011101100010 +011101010010 +011101010001 +011101010001 +011101100010 +100001110011 +100001110010 +100001100010 +100001100010 +100001100010 +011101010001 +100001010010 +100001010001 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +001100100000 +001000010000 +000100000000 +001000010000 +010101000010 +010101000010 +001100100001 +000000110011 +000000110100 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000100100 +000000110101 +000000100100 +000000100011 +000000110101 +000000110110 +000001010111 +001110001011 +001101111010 +001001000100 +001101000100 +001101000100 +001101000100 +011101100110 +011101100110 +011101110110 +011101110111 +100010001000 +011101111000 +011101110111 +011101111000 +011110001000 +011101111000 +011101110111 +011101110111 +011110001000 +100010001000 +100001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +011110001000 +011110001000 +100010001001 +100010001001 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +011110001000 +100010001001 +011101110111 +011101110110 +011101110111 +100001110111 +100001110111 +100001110111 +100010001000 +011101100110 +011101100101 +011101110110 +100010000111 +100010001000 +100001110110 +011101100101 +011101100101 +011101100101 +011101110110 +100001110110 +100001100101 +011101100100 +011101010011 +100001100100 +100001100100 +011101010100 +011101100101 +011001010101 +011101100101 +100001110110 +100001110111 +011101100110 +011101110110 +100001110110 +100001110110 +001100110010 +001100110010 +011101100101 +011101100101 +010101000011 +010101000011 +010101000011 +000100000001 +000000000000 +001000010001 +010000110010 +010000110010 +010101000010 +011001010100 +011001010100 +011001010100 +011101110111 +011101110111 +011001100101 +011001010011 +011101010011 +001000010000 +000100010001 +000100010001 +000000000000 +000100000000 +001100100001 +010000110010 +010101000011 +010101000011 +010000110010 +001100110010 +001000100001 +001000100001 +001000010001 +001100100010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010101000011 +010000110011 +010101000011 +010000110011 +011101010100 +101010010110 +101010010110 +101010000110 +101110010110 +101010000110 +101010000110 +101110100111 +101110100111 +110010101000 +110010100111 +110010100111 +101110100111 +110010100111 +101110010110 +101110100111 +101110010110 +101010000101 +100110000110 +100110000111 +011101110101 +011101110110 +011101110110 +010101010100 +001100110010 +001000100001 +001100100010 +001100110010 +001100100001 +001000100000 +001000010000 +001100010000 +001100100000 +001100100000 +010000100000 +010000100000 +010100100000 +010100100000 +011100110001 +011000110001 +010101000010 +011101000001 +011000110001 +011000110000 +010100100000 +001100100000 +001000010000 +000100010001 +000000010001 +000100010001 +000000010010 +000000010010 +000100100011 +000100010010 +000000010010 +000100010010 +000100100011 +000100110100 +000100100100 +001000100010 +001000100010 +001000100011 +010001010101 +001101000100 +001100110011 +000100010001 +001100100000 +011101010001 +011101000001 +011101000001 +011101000001 +011001000001 +010100110000 +011000110000 +011000110001 +011000110000 +010100110001 +001100110001 +001000110011 +000100110011 +000100110011 +001000110010 +010000110001 +000100100010 +000000100011 +000100110011 +000100110100 +000000100011 +000000100010 +000100110011 +000000100010 +000100010011 +000000010010 +000000100011 +000000110100 +000000110100 +000101000101 +000001000110 +000001010110 +000101010110 +000101000110 +000101101000 +001001101000 +001001000100 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +000100100001 +000100110010 +000100110011 +000100110010 +010101000010 +100001010001 +011101000001 +010000100000 +001100010000 +011101000001 +011101000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101000001 +011101010001 +011101010010 +011101010001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +010100110000 +001100010000 +001000010000 +010101000010 +000101000101 +000000110100 +000100110111 +001001101010 +001001111011 +001110011100 +001101101000 +001000100010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000110011 +000000010010 +000000100010 +000000100010 +000100100001 +001100100000 +010000100000 +001100100000 +001100010000 +001000000000 +000100000000 +011001000001 +011101000001 +011000110000 +010100110001 +001100100000 +001100100000 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000110000 +010100110001 +011001000001 +011101010001 +011001010001 +010101000001 +010101000001 +011001000001 +011001000001 +011001000001 +011101010001 +011101010001 +011101100010 +100001110010 +100001100010 +011101010001 +011101010001 +100001010010 +011101010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +001100100000 +001000010000 +000100000000 +001100100000 +010101000011 +010001010101 +001100110010 +000000100011 +000000100011 +000000100011 +000000100100 +000000100100 +000000100100 +000000100100 +000000100100 +000000110100 +000000100100 +000000100011 +000000110100 +000000110101 +000101101000 +001110011100 +001110001011 +001001000100 +001101000100 +001101000100 +001101000100 +011101111000 +011101110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101110111 +011101111000 +100010001000 +100010001000 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011110001000 +100010001001 +011110001001 +011110001000 +011110001001 +011110001000 +011101110111 +100001110110 +100001110111 +100010001000 +011101110110 +011101100110 +011101100101 +011101110110 +100010000111 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101100101 +011101110110 +100001110110 +011101100101 +100001110110 +011101100101 +011101010100 +011101100100 +011101010100 +011101100100 +011101100100 +011101100101 +100001110110 +100001110111 +100010000111 +100001110110 +011101100101 +011101100101 +011001010100 +011101100101 +011101100101 +011101100101 +010101000011 +000100000000 +001100100010 +001000010001 +000100010000 +010000110011 +010101010100 +010101000011 +010001000011 +011001100101 +011001010101 +011101110110 +011110001001 +011110001000 +011101111000 +011001100101 +011101010011 +001100100001 +000100010001 +000000000001 +000000000000 +000100010000 +010000110010 +010101000011 +011001010100 +011001010011 +011001000011 +010101000011 +010000110011 +010000110010 +010000110010 +010000110010 +001100100010 +001000100001 +001000010001 +001100100010 +001100100010 +001100100010 +001100100011 +001100100010 +010000110011 +010101000011 +010101000011 +011001000100 +011001010100 +101010000110 +101110010110 +101110010110 +101010000110 +101010000110 +101010000110 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +110010111000 +101110010111 +110010100111 +110010100111 +101110100110 +110010100111 +101110010110 +101110010110 +110010100111 +110010110111 +110010111000 +011101100101 +010000110100 +001100110011 +001000100011 +001000100010 +001000010001 +001000100010 +000100010001 +000100000000 +001000010001 +001000010000 +001000010000 +001100100000 +001100100000 +010000110000 +010100110001 +011101000001 +010000110010 +001100100010 +010100110001 +010100110000 +010100100000 +010000100000 +010000100000 +001100100001 +000100100001 +000100100001 +000100010001 +000100010010 +000100010011 +000000100011 +000100010010 +000000010010 +000100010010 +000100100011 +000100100100 +000100110101 +010001010110 +010101010110 +010101100110 +010001010101 +001101000101 +010001000100 +001100110011 +000100010000 +010100110001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110001 +010000110001 +010000110001 +010000110001 +010100110001 +010100110000 +001000100001 +000000100011 +000000110011 +000100110100 +000000100011 +000000100011 +000101000101 +000100100011 +000100100011 +000000010001 +000000010010 +000000100011 +000000100100 +000101000101 +000001000110 +000001010110 +000101000110 +000001000110 +000101101000 +001001101000 +001001000100 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110001 +000000010000 +000100110011 +000000110011 +001100110001 +011101010001 +011101000001 +010100110001 +001000010000 +011000110001 +011101000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101100010 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011101010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110000 +001100010000 +001000010000 +010001000010 +000101000100 +000000100011 +000000110111 +001001101010 +001010001011 +001110011100 +001101100111 +001000110010 +001100110011 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100011 +000000010001 +000000100010 +000000010001 +001000100001 +010100110000 +010000100000 +010000100000 +001100010000 +001000000000 +001000000000 +011000110001 +011101000001 +011001000001 +010000100000 +001100010000 +001000010000 +001100100000 +001100100000 +010000100000 +001100100000 +001100100000 +010100110001 +010100110001 +011001000001 +011001000001 +011001000001 +010000110000 +010000110000 +010100110001 +010100110000 +010100110000 +011001000001 +011001010001 +011001000001 +011101100010 +011101010001 +011001000001 +011101010001 +011101010001 +011101010001 +011101010001 +011101000001 +011101000001 +011101010001 +011101000001 +010100110001 +010000100000 +001100010000 +001000010000 +000100000000 +001000010000 +010001000011 +010001010110 +010001010101 +000100100100 +000000100011 +000000100011 +000000100100 +000000100100 +000000110100 +000000110100 +000000100100 +000000110100 +000000110100 +000000100100 +000000110101 +000000110110 +001001111010 +001110011100 +001110001010 +001001000100 +001101000100 +001101000100 +001101000100 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +100001110111 +100001110111 +011101110111 +011110001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +011101110111 +100001110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +011101110111 +011101110111 +100010001000 +100010001000 +100010001001 +100010011001 +100010001001 +011110001000 +011101100110 +011101100110 +100010001000 +011101110110 +011001010011 +011101100100 +100001110111 +100001110111 +011101110110 +011101100101 +011101100101 +100001110110 +100001110110 +100001110101 +100001110110 +100010000111 +100001110110 +100001110101 +100001100101 +100001100101 +100001100100 +011101010011 +011101010011 +011101010100 +011101010100 +011101100101 +100001110110 +100001110110 +100001110110 +011101100101 +011101100100 +100001110110 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011101100101 +001100100010 +000100010000 +011101100101 +011001100101 +011101100110 +011001100101 +011001100110 +011101110110 +011101110111 +011101111000 +010101010110 +011110001000 +100010001000 +011101100101 +010101010100 +000100010001 +000000000000 +000100010000 +001000100001 +010000110010 +010101000010 +011001010011 +011001010011 +010101000010 +010101000011 +011001010100 +011001010100 +011001010011 +010101000011 +010101000011 +010000110010 +001100100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000010001 +001100100010 +001000010001 +001100100010 +010000110011 +011101010100 +101110010111 +101110010111 +101110010111 +101110010110 +101110010110 +101010000101 +101110010110 +101010000101 +101110010111 +110010100111 +101110100111 +110010101000 +110110111000 +110010111000 +110010111000 +110010101000 +110010111000 +110010111000 +110010100111 +101110010110 +101110010110 +100110000101 +010101000011 +001100110011 +001000100011 +001000100011 +001000100010 +000100010001 +001000100010 +000100010010 +001000010010 +001000100010 +001000100010 +000100000000 +000100000000 +001000010000 +001100100001 +010101000010 +010000100001 +001100100010 +001000100010 +001000010001 +001100100000 +001100100000 +001100010000 +011000110001 +010100110001 +001100110001 +001000100001 +000100100001 +000100010001 +000100010011 +000100100100 +000100010011 +000100010100 +000100010010 +000100010010 +000100100100 +000100100100 +010001010110 +010101010110 +010001010101 +010001000100 +010001000100 +010001000100 +001100110011 +000100010001 +001100100000 +011001000001 +011101000001 +011000110000 +011000110001 +011101000001 +011001000001 +011001000001 +011000110000 +011000110000 +011000110000 +011000110000 +010100110000 +010100110000 +011000110000 +010100110000 +001100100001 +000100100010 +000000110011 +000000110100 +000000100011 +000000100011 +000100110100 +000000010010 +000100100011 +000000010010 +000000010010 +000000010010 +000000100011 +000100110101 +000001000101 +000001000110 +000101000101 +000001000110 +000101101000 +001001101000 +001101010101 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110001 +001100110010 +000100010000 +000100100010 +000000110011 +000100100001 +010000110001 +011001000001 +010100110001 +001000010000 +010100100000 +011101000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101100010 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011001000001 +011101000001 +011000110000 +011101000001 +011101000001 +011101000001 +011000110000 +011101000001 +011101000001 +100001010010 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011000110000 +001100010000 +001000010000 +010001000010 +000101000100 +000000100010 +000101000111 +001001101011 +001010001011 +001110011100 +001001010110 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100011 +000000010010 +000000100010 +000000100010 +001000100001 +010000110001 +010100110000 +010000100000 +001100010000 +000100000000 +001000000000 +011000110001 +011000110000 +011000110001 +010100110001 +001100100000 +001000010000 +001000010000 +001100100000 +010100110001 +010000100000 +010000110000 +010000100000 +010100110000 +011001000001 +011001000001 +011001000001 +010100110000 +010000100000 +010000110000 +010000110000 +010000110001 +011001000001 +011001000001 +011001000001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +010100110000 +001100100000 +001100010000 +001000010000 +001000000000 +000100010000 +001100110010 +010001000101 +010001010110 +000100100011 +000000010011 +000000100011 +000000100100 +000000100100 +000000110101 +000000110101 +000000110100 +000000110100 +000000110101 +000000110100 +000000110101 +000001000110 +001010001011 +001010011100 +001001111001 +001001000100 +001101000011 +001101000100 +001101000100 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +100010000111 +100001110111 +011101110111 +011110001000 +100010001000 +011101110111 +100010001000 +100010001000 +011101111000 +011110001000 +100010001001 +100010001000 +100001110111 +011101110111 +100001111000 +011101111000 +100010001000 +100010001001 +100010001000 +100001110111 +011101110111 +100001110111 +011101110111 +100010011001 +100010011001 +100010001001 +011110000111 +100001110110 +100001110111 +100010001001 +011101110111 +011101010100 +011101110110 +100010001000 +100010001000 +011110001000 +011110001000 +011101110110 +011101110110 +011101100100 +011101100100 +100001110110 +100001110111 +100001110110 +011101100100 +011101100101 +011101010011 +011101010011 +011001000011 +011101010011 +011101010011 +011101010011 +011101100100 +100001100101 +011101110101 +100001110101 +011101100101 +100010000111 +100001110110 +100001110110 +011101110110 +100001110110 +011101100101 +100001110101 +100001110101 +010101000011 +000000000000 +010000110010 +011101100110 +100001110111 +011001010101 +011001100110 +011101110111 +011001110111 +011110001000 +010101010101 +011001100110 +011001100110 +011101110110 +011001010101 +000100010001 +000000000000 +001000100001 +010000110010 +010000110010 +010101000011 +011001000011 +011001010100 +011001010101 +011001100110 +011101100110 +011001010100 +011001000011 +011001000011 +011101010100 +011101010100 +011001000011 +010101000011 +010000110010 +010000110010 +010000100001 +001000010000 +001000010001 +000100010000 +000100010000 +001000100001 +001100100001 +010100110010 +011101010100 +100001100100 +100101110101 +101010000110 +101010000110 +101110010110 +101110010110 +110010100111 +110010101000 +110010101000 +101110100111 +101110100111 +101110100111 +110010111000 +110110111000 +110110111000 +110010100111 +110010110111 +110010100110 +110010100111 +011101010100 +010001000011 +001000100010 +001000100010 +001000100011 +001000100010 +001000010010 +001000100010 +001100110011 +001000100010 +000100010001 +000100010001 +000100010000 +000100010000 +001100100001 +001100110010 +001000100010 +001000010010 +001000100010 +000100010001 +000100010001 +000100010000 +001000010000 +001100100000 +011101000001 +010100110000 +010000100001 +001000100001 +000000010000 +000100010000 +000100010001 +000100100100 +000100010100 +000100100100 +000100010011 +000100010011 +000100100011 +000100100011 +001101000110 +010001000100 +010001000011 +001101000011 +001101000011 +010001000011 +001100110010 +001000100001 +001000100000 +010101000001 +011101000001 +011101000001 +011000110000 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +011000110001 +010000110001 +000100100010 +000000100011 +000000110011 +000000100011 +000000100011 +000000100011 +000000010001 +000000100010 +000000100011 +000000010010 +000000010010 +000000100011 +000000100100 +000001000101 +000001000110 +000001000110 +000001000110 +000101101000 +001001101000 +001101010101 +001000100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110001 +001100100001 +000100010001 +000000010001 +000100110011 +000000100010 +000100100000 +010000110001 +010000110000 +001000010000 +001100100000 +011101000001 +011101000001 +100001010001 +100101010001 +100001010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +100001000001 +011101000001 +011101000001 +100001010001 +011101000001 +011001000001 +011101000001 +011001000001 +011000110000 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110000 +001100010000 +001000010000 +010001000010 +000100110011 +000000100010 +000001000110 +000101101010 +001001111011 +001110001100 +001001000101 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100011 +000000010010 +000000100010 +000000100010 +001100100001 +010100110000 +010100110000 +010000100000 +001100010000 +000100000000 +001100010000 +011000110001 +010100110000 +010100110000 +010100110001 +001100100000 +001100100000 +001100100001 +001100100000 +010100110001 +010100110000 +011000110001 +010100110000 +010000110000 +011000110001 +011001000001 +011001000001 +010100110000 +010000110000 +010000110000 +010000110000 +010000110001 +010100110001 +011001000001 +011001000001 +011101000001 +011001000001 +010100110000 +010100110000 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +010100110000 +010000110000 +010000100000 +001100100000 +001100010000 +001000000000 +000100010000 +001100110010 +010001000101 +001101000101 +000100010011 +000000010011 +000000100011 +000000100100 +000000100100 +000000110101 +000001000101 +000000110100 +000000110100 +000000110101 +000000110101 +000000110101 +000101010111 +001010001011 +001010001011 +001101111010 +001001000100 +001101000100 +001101000100 +001101000100 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001001 +100010001000 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001001 +100010001000 +100010001000 +100001111000 +011101110111 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001001 +100010001001 +100010000111 +011101100110 +011110001000 +100010001001 +100010001000 +100001110111 +011110001000 +100010001001 +100001110111 +011110001000 +011110001001 +100010011001 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +011101010100 +011101100100 +100001100101 +011101010100 +011101010010 +011001010011 +011001000010 +011001000010 +011101100100 +100001100101 +100001110101 +100001110110 +011101100100 +011101010100 +100001110110 +100001110111 +100001110110 +100001110110 +100001110110 +100001110111 +100001110110 +011101010100 +011001000011 +001000100001 +000000000000 +001100100010 +011001010101 +011101100110 +011101111000 +100010001000 +100010001000 +100010011001 +100010001001 +011110001000 +011001100110 +011001100110 +011001010101 +000100010000 +000000000000 +001100110010 +010000110010 +010101010100 +011001100101 +011101110111 +011101110111 +011001110111 +011001110111 +011101110110 +011001010011 +010100110001 +011001000010 +011101010011 +011101010011 +011001010011 +011101100100 +011001010011 +010101000010 +010101000011 +010100110010 +001100100001 +001100100001 +001000100001 +001100100001 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +010000110010 +010101000011 +011101100100 +100101110101 +100110000110 +101010010110 +101110100111 +110010110111 +101110100111 +101110010111 +110010100111 +101110100111 +101110010110 +101110010111 +110010100111 +110010100111 +101010010110 +011001000100 +001000100010 +000100010010 +000100010010 +001000100010 +001000100011 +001000100010 +000100010001 +000100010001 +000100000000 +000100010000 +001000010001 +001000010000 +001000010001 +001100110011 +001000100010 +001000100010 +001000100010 +001000100011 +001000010010 +000100010001 +000000010001 +000100010001 +001100100001 +010000110010 +001100100010 +001000100010 +001100110011 +001000110011 +000100010000 +000100010001 +000100010011 +000100100100 +000100100100 +000100010011 +000100100011 +000100100100 +000100100011 +001101000101 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100001 +001000100001 +001100100000 +011101000001 +100001000001 +011001000001 +010100110000 +011000110001 +011001000001 +011000110001 +011001000001 +011000110001 +010100110000 +010100110000 +011000110001 +011000110000 +011000110000 +010100110001 +001000110010 +000000110100 +000000110100 +000000110011 +000000110100 +000000110011 +000000010001 +000000010010 +000000100011 +000000010010 +000000010010 +000000100011 +000000100011 +000000110100 +000001000101 +000001000110 +000001000110 +000101010111 +000101101000 +001001010101 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100010 +001100100010 +001100110010 +001100100010 +001000100001 +001000100001 +000100010001 +000000000000 +000100110011 +000000100011 +000000100010 +001100110001 +001100100000 +001000010000 +001000010000 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100101010001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +100001010001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +011101000001 +011101000001 +011101000001 +100001000001 +100001010001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +010100100000 +001000010000 +001100010000 +010001000010 +000100110011 +000000010001 +000100110110 +000101101010 +001001111011 +001101111010 +000100110100 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001100110011 +001000100011 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +000000010001 +000000100011 +000000100001 +001100100000 +010000110000 +010100110000 +010000100000 +001100010000 +000100000000 +010000100000 +011001000001 +011000110001 +010100110000 +010000110000 +010000100000 +001100010000 +001100010000 +001100100000 +010000110000 +010100110000 +011001000001 +011001000001 +010100110000 +010100110000 +010100110000 +011000110001 +010100110000 +010000100000 +010000110000 +010100110001 +010000110000 +010000110000 +010100110000 +010100110001 +011001000001 +010101000001 +010100110000 +010100110000 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +010100110001 +010000110000 +010000100000 +001100100000 +001100010000 +001000000000 +000100010000 +001100110010 +010001010101 +001000110011 +000000010011 +000000010011 +000000100011 +000000100100 +000000100100 +000000110101 +000001000101 +000000110101 +000000110101 +000000110100 +000000110101 +000000110101 +000101101000 +001010001011 +001010001011 +001110001010 +001001000100 +001101000100 +001101000100 +001101000100 +011101110111 +011101110110 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +100001111000 +011110001000 +100010001000 +100010001000 +011101110111 +011101110111 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011110000111 +011110001001 +011110001001 +100010001000 +100010001000 +100010001000 +011001100100 +011110000111 +100010001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010000111 +100010000111 +011101100101 +011001000011 +011101100100 +011101010011 +011101100100 +100001110110 +011101010011 +011101010011 +100001110111 +100001110110 +011101110101 +100010000111 +011101100101 +011001000011 +011101100100 +100001110111 +100001110110 +100001110110 +100001110110 +100010000111 +011101100101 +011101100100 +011001000011 +011101100101 +010101010100 +010101010100 +011001010100 +011101110110 +011110001000 +011101110111 +011101110110 +100010001000 +100010001001 +100010001001 +100010011001 +100010001001 +011001010101 +000100000000 +010101010100 +011001010100 +010000110010 +001100100010 +010001000011 +011001100110 +011101111000 +011101110111 +011001110111 +011101111000 +011101110111 +011001010100 +011001000011 +011101010011 +011001000011 +011101010100 +100001110110 +011001010011 +011001000010 +010101000010 +011001010011 +011001010011 +010101000011 +010100110010 +010100110010 +010000100010 +010000110010 +010000110010 +001100100001 +001000100001 +001000010001 +001000010001 +001100100010 +001100100001 +010000110011 +011001010100 +011101010100 +100101110101 +101010000110 +101110010110 +101110100110 +101110100110 +110010100111 +110010100111 +110010100110 +110010100111 +100001100101 +010000110011 +001100100011 +001000100011 +000100010001 +001000100010 +001000100010 +000100010001 +000100000000 +000100010000 +001000010001 +001000010001 +001100100010 +001100100010 +001100100010 +001100100010 +000100010001 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +000100000000 +001000010001 +000100010001 +000100010000 +000000010001 +000100100011 +000100010100 +000100100100 +000100100100 +001000100101 +000000010011 +001000110011 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +010100110001 +011101010001 +011001000001 +010100110001 +010000110000 +011000110001 +011000110001 +011000110000 +011000110001 +011000110001 +011000110001 +010100110000 +010100110000 +010100110001 +010100110000 +001100110001 +000100110011 +000000110100 +000000110100 +000100110100 +000000110011 +000000010010 +000000100010 +000000100011 +000000010010 +000000010010 +000000010010 +000100100011 +000000010011 +000000110100 +000101000101 +000001000110 +000101010111 +000101010111 +001001010101 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000000000000 +000000010010 +000000100011 +000000100010 +001000100001 +010000110001 +000100010000 +000100000000 +011000110001 +100001000001 +100001000001 +100001010001 +100001010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +100001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +010100100000 +001000010000 +001100100000 +001000110010 +000100110011 +000000010001 +000100110110 +000101011001 +001001111100 +001101111001 +000100100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +000100010001 +000000010001 +000000100001 +001000100001 +010000100000 +010100110000 +010000100000 +001000010000 +001000000000 +010100110000 +011101000001 +011000110001 +011000110000 +010000100000 +010000110001 +010000100000 +001100100000 +010000110001 +010000110000 +010100110000 +011000110001 +011001000001 +011000110001 +010000110000 +010000100000 +010000110000 +010000110000 +010000110000 +010000100000 +010000100000 +010000110000 +010000110000 +010000100000 +010000100000 +010000100000 +010000110000 +010000110000 +010100110000 +010100110000 +011000110001 +011000110001 +011000110001 +010100110001 +010100110001 +010000110000 +010000100000 +010000110000 +010000100000 +001000010000 +001000000000 +000100010000 +001000100001 +001101000011 +001000110011 +000000010011 +000000010100 +000000100011 +000000100100 +000000100101 +000000110101 +000000110101 +000000110101 +000000110101 +000000110100 +000001000110 +000001000110 +000101111001 +001010001011 +000101111010 +001101111001 +001001000100 +001101000011 +001101000100 +001101000100 +011101110110 +011101100110 +011101110111 +011101111000 +011110001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +100001110111 +100001110111 +100001110111 +011101110111 +100010001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100001111000 +011110001000 +100010001001 +100010001000 +011101100110 +011101100101 +011101110110 +011101100101 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101100110 +011101100101 +011101100100 +011101010100 +100001110111 +011101010011 +011001000011 +100001110110 +100110001000 +011101100101 +011101110110 +100001110110 +011001010011 +011101010011 +011101100101 +011101100101 +100001110110 +100001110111 +100010000111 +011001010100 +011101100101 +011101010100 +011101100101 +011001010100 +011101110110 +100010000111 +100010000111 +011110000111 +011001010101 +011001000010 +011101110110 +100010000111 +011110001000 +100010011001 +100010001000 +010101010101 +000000000000 +011001100101 +011101100101 +011101100110 +010101000100 +010000110011 +011101111000 +011101110111 +011001100111 +011101110111 +011001100111 +011101110111 +011101110111 +100010001000 +100110001000 +011001000011 +011001000011 +100010001000 +011101111000 +011001000011 +011001000010 +010100110010 +010100110010 +011001000011 +010100110010 +011001010011 +010101000010 +010101000010 +011001010100 +011001010011 +011001010100 +010101000011 +010000110010 +001100100001 +010001000011 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +011001010100 +011001010100 +011101100100 +100110000101 +101010010110 +101110100111 +101010000101 +010101000100 +001000100011 +001100110100 +001000100011 +001000010010 +001000100010 +000100010001 +001000010001 +001100100010 +001100100010 +001100100001 +001000100001 +001000010010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000110011 +001100110011 +001000100010 +000000000000 +001000010001 +000100010000 +000100010001 +000100010001 +000100010001 +000000000000 +000100010010 +000100010011 +001000100100 +000100010011 +001000110101 +000100100011 +001000100010 +001100100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001100100001 +011001000001 +011000110001 +010100110010 +010001000010 +001100100000 +010100110001 +011001000001 +011001000001 +011101000001 +011000110001 +010100110000 +010100110000 +010100110001 +010100110000 +010000110001 +001000100010 +000000110011 +000000110100 +000100110100 +000000110011 +000000100010 +000000100011 +000000100011 +000000010010 +000000010010 +000000010010 +000000010010 +000000010010 +000000010010 +000100110101 +000001000110 +000101010111 +000101010111 +001001000101 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +000100010001 +000000000000 +000000100010 +000100100010 +001000010001 +001000100000 +001000010000 +000100000000 +010100110001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011101000001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +011101000001 +010100100000 +001000000000 +001100010000 +001000110010 +000000100011 +000000010001 +000100110110 +000101011001 +001001111100 +001001010111 +000100100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +001000100001 +000000010000 +000000100001 +001000100001 +010000110000 +010000100000 +001100100000 +001000010000 +001000000000 +010100110001 +011101000001 +011001000001 +011000110000 +010100110001 +010000100000 +010100110001 +001100100000 +010000100000 +010100110001 +010100110000 +010100110000 +011001000001 +011001000001 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000110000 +010000110000 +001100100000 +001100010000 +010000100000 +010000100000 +010000110000 +010100110000 +010100110000 +010100110000 +011000110001 +010100110001 +010000100000 +010000100000 +010000110000 +010000110000 +010000100000 +001000010000 +001000000000 +000100010000 +001000100010 +010001000100 +001000100011 +000000010011 +000000010100 +000000100100 +000000100100 +000000110101 +000000110101 +000001000101 +000000110101 +000000110101 +000000110101 +000001000110 +000001000110 +001010001010 +000101101001 +000101101001 +001001101000 +001001000100 +001101000011 +001101000100 +001101000100 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011101111000 +011101110111 +011101110111 +011101111000 +011110001000 +011101110111 +011101110110 +011101110111 +011101111000 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +100001110111 +011101110111 +011101110111 +100001111000 +100001111000 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010011001 +011101110110 +011101010011 +011101100100 +011101100101 +011101110110 +011101110111 +100001110111 +011101110110 +011101110110 +100010001000 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110110 +011101100101 +011101110110 +011101100101 +011101010011 +011101100101 +100010011001 +100010000111 +011101110111 +100010001000 +011101010100 +011000110010 +011001000011 +011101100101 +100001110110 +100001110110 +100010000111 +011101110110 +011101100101 +011101100101 +100010000111 +011001010011 +011101110101 +011101100110 +100001110110 +100010000111 +011101110110 +011001010011 +011101100100 +100010000111 +100010001001 +100010011001 +100010001000 +011101110111 +001000100010 +000100010001 +001100100001 +010101010100 +011001010101 +011101100101 +100010001000 +100010001001 +011110001000 +100010011001 +011110001000 +011101110111 +011001010101 +011101100110 +011101100101 +011001000011 +010100110010 +100001110111 +011110001000 +011101110111 +011101100100 +011001000011 +010100110010 +011101100101 +011001000011 +011101100100 +100001110110 +011101100101 +011101100101 +011001010011 +011001010011 +011001010100 +011001010100 +010101000011 +010101000011 +010000110010 +010101000010 +010101000011 +010000110011 +010101000011 +010101000100 +010101000011 +010000110010 +010000110011 +010100110011 +010101000011 +010101000011 +010000110100 +001000110100 +001000100011 +001100110011 +001000100010 +000100000000 +001000100001 +001100110010 +010000110011 +010000110011 +001100110011 +000100010010 +000100000001 +001000100010 +001000100010 +001000100010 +001000100010 +000100010001 +000000000000 +000000000000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +001000100001 +001000010001 +001000100001 +001100110010 +010001000100 +010001000100 +001100110011 +001100110010 +001000100011 +001000100100 +000100100100 +000100010011 +001000100100 +000100100100 +000100010001 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +010000110001 +010100110001 +001100100000 +010101000011 +001000100001 +001100100001 +010100110001 +011000110001 +011001000001 +011001000001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +001100110010 +000000110100 +000000110100 +000000110100 +000000110100 +000000100011 +000000100011 +000000100010 +000000010010 +000000100011 +000100100100 +000000010010 +000000010010 +000000010010 +000000010010 +000000110101 +000101010111 +000101010111 +000100110101 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100001 +000100010001 +000000010010 +000100100001 +001000100001 +001000010000 +001000100001 +000100000000 +001100100000 +100001010001 +100001010001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001000001 +100001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011001000001 +011101000001 +011000110001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011101000001 +010000110000 +000100000000 +001000100000 +001000110011 +000000100011 +000000010001 +000100110101 +000101011001 +001001111011 +001001000110 +000100010010 +001000010001 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +000100100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100001 +000100010000 +000000010001 +000000010001 +001100100001 +001100100000 +001100100000 +001000010000 +001100010000 +011001000001 +011101000001 +011001000001 +011000110000 +011001000001 +010000100000 +010100110000 +001100100000 +001100100000 +010000110000 +010000110000 +010100110000 +011001000001 +010100110000 +010000110000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +011000110001 +010100110000 +010000110000 +010000100000 +010000100000 +010000110000 +010100110001 +010000100000 +001000010000 +000100000000 +000100010001 +001000110011 +010001010101 +001000100010 +000000010010 +000000010100 +000000100100 +000000100101 +000000110101 +000000110110 +000000110101 +000000110110 +000000110101 +000001000110 +000001000110 +000001010111 +001010001011 +000001000111 +001001101001 +001001101000 +001001000100 +001101000100 +001101000011 +001101000100 +011101111000 +011101111000 +011101111000 +011110001000 +011101111000 +011110001000 +011101110111 +011101110111 +011101111000 +011110001000 +011101110111 +011101110111 +011101111000 +011101111000 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +100010001000 +100001110111 +011101110111 +011101110111 +100001111000 +100010001000 +011110001000 +011110001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +011110001001 +100010001001 +100010001000 +100001110111 +011101110111 +100010001001 +100001110111 +011101010100 +011101100100 +011101100100 +011101110110 +100010001000 +100010001000 +011101100101 +011101100100 +011101110111 +100001110111 +100001110111 +100001110111 +100001110110 +011101110110 +100010000111 +100010000111 +100010000111 +100001110111 +100001110101 +011001100101 +100010001000 +100010011001 +100110011001 +100010001000 +100001110110 +011000110010 +011101000010 +011101010011 +100001100101 +100001110110 +100010001000 +100010001000 +100001110110 +100001110110 +100110001000 +011001010100 +100001110110 +011101110101 +011001010011 +011001010100 +011101100101 +011101100101 +011001010100 +011101110110 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011001100110 +011001010101 +011001100101 +011001010100 +011001010101 +100010001000 +100010001001 +011110001000 +100010011001 +100010001000 +100010001001 +100010001000 +100110011001 +011101110110 +011101110110 +011101100101 +011101100101 +011001010101 +011101110111 +100010001000 +100001110110 +011101100101 +100001110110 +011101100101 +100001110110 +011101110110 +011101100101 +011101100101 +011001010100 +010101000011 +010101000010 +011001000011 +011001000011 +011001010100 +011001000011 +010101000011 +010101000011 +010101000011 +011001010100 +010101000011 +010100110010 +010101000011 +010000110010 +010000110010 +010000110011 +010001000100 +001100110100 +000100100011 +000100010010 +001000010010 +001000100010 +001000100010 +001100110010 +001100100001 +001100100001 +010000110100 +001000100011 +000100010001 +001000100010 +001000100010 +000100010001 +001000010001 +000100000000 +000000000000 +000000000000 +000100010001 +001000100010 +001000100001 +001000100001 +001100100010 +001100110010 +010000110011 +010000110010 +010000110010 +010000110011 +010001000011 +011001010100 +010101000100 +010001000011 +010101010100 +010101010101 +010101010101 +010001000101 +010001000101 +010001010101 +001100110010 +001100110001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +000100010001 +000100000000 +010000100001 +001100100000 +001100100010 +001100100010 +001000100001 +001000010000 +010000100000 +010100110000 +011000110000 +010100110000 +010000100000 +010000100000 +010100110000 +010000100000 +001000110010 +000000110011 +000000100011 +000000110100 +000000110100 +000000100011 +000000100100 +000000010001 +000000010001 +000100100100 +000100100101 +000100100011 +000000010011 +000000010010 +000000010010 +000000100100 +000101000111 +000101010111 +001001000110 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100100001 +000000010001 +000100100010 +001000100001 +000100010000 +001000100000 +000100010000 +001000010000 +011101000001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011001000001 +011000110001 +011101000001 +011101000001 +011101000001 +100001000001 +011101000001 +011101000001 +011000110000 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011101000001 +010000100000 +000100000000 +001100100001 +001101000011 +000000100011 +000000010010 +000100110100 +000101011001 +001001111010 +000100110100 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000010000 +000000010000 +000000010001 +000000010001 +000100010000 +001000010000 +001000010000 +010000100000 +011101000001 +100001000001 +011101000001 +011000110000 +011001000001 +010100110001 +010100110000 +010000100000 +001100010000 +010000100000 +010000100000 +010100110000 +011000110001 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100010000 +001100010000 +001000010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000110000 +010100110000 +010100110000 +011000110001 +011001000001 +010100110000 +010000110000 +010000110000 +010100110001 +010100110000 +010100110001 +010000100000 +001000010000 +000100000000 +000100010001 +001101000100 +010101100110 +001000100011 +000000010010 +000000010100 +000000100100 +000100110101 +000000110101 +000000110110 +000000110101 +000000110110 +000000110101 +000001000110 +000001000110 +000101111001 +001010001011 +000001000110 +001001111010 +001101101000 +001001000100 +001101000100 +001101000100 +001101000100 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011101111000 +011101110111 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011101111000 +011110001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101111000 +011101111000 +011101111000 +100010001000 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +011110001000 +011110001001 +100010001001 +100001110111 +011101100101 +011101100101 +011101110111 +100001110111 +011101100101 +011101100101 +011101100100 +011101100101 +100010001000 +100010011001 +011101100110 +011101010011 +011101110110 +100010001000 +100010000111 +011101100101 +011101010011 +011101100101 +100010000111 +100010001000 +100001110111 +011101100101 +011101010100 +011001010011 +011101110110 +100010001000 +100010000111 +100010001000 +100010001000 +011001000010 +011000110001 +011101010100 +100001110110 +100010001000 +100010001000 +100010011001 +100110011000 +100010001000 +100110011000 +100001110110 +011101100101 +100110001000 +100010000111 +100001110111 +100001110110 +100001110110 +011101100101 +100001110111 +011101110110 +100001110111 +100010001000 +100010011001 +100010001000 +011101100101 +011101100101 +100001110111 +011101010100 +011101100101 +100010001000 +100010001000 +011101100101 +011101100101 +011101100101 +011101010100 +011001000100 +011101110111 +100010001000 +100110011001 +100010011001 +100010001000 +100010001000 +100001110111 +100001110111 +100001110110 +011101100101 +011001010101 +011001100101 +100001110111 +100010001000 +011101100101 +011001010100 +011101110111 +011101100110 +010101000011 +010100110010 +010100110010 +011101100110 +100001110111 +011101100101 +100001110111 +011101110111 +011001010101 +010101000011 +010101000011 +010101000011 +001100110010 +010000110010 +010000110010 +001100110010 +001000100010 +000100010001 +000000000000 +000000000000 +001000100010 +010001000100 +010001000100 +010101000100 +010001000100 +001000100010 +000100010001 +000100010001 +001101000100 +001100110011 +001000010001 +000100000000 +000100010000 +001000010001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +011001010100 +011001010101 +011101100110 +100001110110 +101110100111 +110010110111 +101010010111 +100010000101 +011101100100 +010101000010 +010000110010 +001100110010 +001000100001 +001000100001 +001000100010 +000100010000 +000000000000 +000100010000 +001100100001 +001100100010 +001000100010 +000100010001 +000100010000 +000100000000 +001100100000 +010100110000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +001000110011 +000000110011 +000000100011 +000000110100 +000000110100 +000000110100 +000100100011 +000000010001 +000000010010 +000000100011 +000100100101 +000100100100 +000000100100 +000000010010 +000000010010 +000100100100 +000100110110 +000101000111 +001001000111 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100100010 +001000100001 +000100010000 +001000010000 +001000010000 +001000010000 +010100110001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011101000001 +011001000000 +001100100000 +000000000000 +001100110001 +001101000010 +000000100011 +000000100010 +000000100100 +000001011000 +001001101001 +000100100011 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100001 +000100010000 +000000010001 +000000010001 +000000000000 +000100010000 +001000010000 +010000100000 +011101000001 +100001010001 +100001010001 +011000110000 +011001000001 +011001000001 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +001100100000 +001100100000 +001100100000 +010000100000 +001100100000 +001000010000 +001100100000 +001000010000 +001000010000 +001100010000 +010000100000 +010000100000 +010000100000 +010100110000 +011000110001 +011001000001 +011001000001 +011001000001 +010101000001 +010101000001 +011001010001 +011001010001 +011001000001 +010100110001 +010000100000 +001000010000 +001000000000 +000100000000 +001101000100 +010101100110 +000100100010 +000000010011 +000000100100 +000000100100 +000100110101 +000000100101 +000000110110 +000000110101 +000000110101 +000000110101 +000001000110 +000001010111 +001010001011 +001001101000 +000001000111 +001110001011 +001001100111 +001101000100 +001101000100 +001101000100 +001101000100 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011101111000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +011101100110 +011101110111 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100001110111 +011101100101 +011101100101 +011101110110 +100010000111 +011101100101 +011101010100 +011101010100 +011101100101 +100010001000 +100010011001 +011101100101 +011001000011 +011001000011 +011101010100 +011101010100 +011001010011 +011101010011 +011101010100 +011101100101 +100001110111 +100010000111 +011101100100 +011101010011 +011101000011 +011001010011 +011101100101 +100001110110 +100010001000 +100010001000 +011101110110 +011101100100 +011101100100 +100001110110 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100110001000 +011101100100 +100001110110 +100110011001 +100110001000 +100110000111 +100010000111 +100010001000 +011101110110 +011101100101 +011101100110 +011001010100 +011101100110 +011101100101 +011101010100 +011101010011 +011101100101 +011101010011 +011101010100 +100001110111 +011101100101 +011001010011 +011101100101 +011101100101 +011101000011 +011001010100 +011101100101 +011001100101 +100010001000 +100010011001 +100010001000 +100010011001 +100110011010 +100110011001 +100110011001 +100010001000 +100010000111 +011101110110 +011001010101 +011101100110 +011101100101 +011101110110 +100010000111 +011001100110 +011101100101 +011001010100 +011001100101 +011101110111 +100010001000 +011101110111 +100010001000 +100010001001 +011110001000 +011001100110 +011001010101 +010101010101 +011001010101 +011001010101 +011001010101 +001100110011 +001000100011 +000100010001 +000000000000 +000100000000 +001100100010 +010001000011 +010000110010 +010001000011 +001100110011 +000000000000 +000100010000 +001000100011 +001101000101 +001000110011 +000100010001 +001000010001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101000011 +010000110010 +010101000011 +010101000100 +010101000100 +010101010100 +011001010101 +011101100101 +110010100111 +110110111000 +110111001001 +111011001001 +110010111000 +101010010110 +101010010101 +101010010110 +100001110100 +010101010011 +001100110010 +001000100010 +001000100001 +000100010001 +001000010010 +001000100010 +001000100010 +000100010000 +000100010000 +000100010001 +000100000000 +001100100000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +001000100010 +000100110100 +000000110011 +000000110100 +000000110100 +000000110100 +000000100011 +000000100010 +000000010010 +000000010010 +000100100101 +000100100101 +000100100100 +000000010011 +000000010010 +000000100011 +000100110101 +000101000111 +001001000111 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010000 +000000010000 +000100010001 +000100010001 +001000100010 +000100010000 +001000010000 +001100100001 +001000010000 +001100100000 +011101000001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110000 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011000110001 +011100110001 +011100110001 +011000110001 +011000110000 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011000110001 +011000110000 +001100100000 +000000000000 +001100110001 +001101000010 +000000110011 +000100110100 +000000100100 +000101011000 +001001101000 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010000 +000000000000 +000000010001 +000000000000 +001000100001 +001000010000 +010000100000 +011001000001 +011101000001 +100001010001 +011001000000 +011000110001 +011000110000 +011001000001 +011000110000 +010100110000 +010100110001 +010100110001 +010100110000 +010100110000 +010100110000 +010000110000 +001100100000 +001100100000 +001100100000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +011001000001 +011001000001 +011001000001 +011101010001 +011101100010 +011101100010 +011101010001 +011001000001 +010000100000 +001000010000 +000100000000 +000100000000 +001000110011 +010001010101 +000000010010 +000000010011 +000100100100 +000000100100 +000100110101 +000000100101 +000001000110 +000001000110 +000000110110 +000001000110 +000001000110 +000001011000 +001001111010 +000001000110 +000101101001 +001110011011 +001001010110 +001101000011 +001101000100 +001101000100 +001101000100 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001001 +100010011001 +100010001000 +011001010100 +011001010100 +011101111000 +100010001001 +100010001000 +011101110111 +100010001000 +100010011001 +100010011001 +011101110111 +011101100100 +011101100101 +011101110110 +100010001000 +011101110110 +011101100100 +011101100100 +011101100100 +100001110111 +100010011010 +011101110111 +011101010100 +011001000010 +011000110010 +010100110001 +011001000010 +011101010011 +011001000010 +011001000011 +011101100100 +100001110111 +011101100100 +011101010100 +011101010011 +100001100100 +011101010100 +011101100101 +100010000111 +011101100110 +011101100101 +100001110110 +011101100101 +100010000111 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +100110011001 +100001110111 +011101010011 +100001100101 +100110011001 +100010001000 +100010001000 +100010001000 +100110001000 +100110001000 +100010001000 +100010001000 +011101110110 +011001010011 +011001000011 +011001000011 +011001000010 +011101010011 +011001000011 +100001110110 +011101100100 +011101010011 +011101100100 +011101100101 +100001110110 +011001010011 +011101100110 +100010001000 +011101110110 +100001110110 +100010000111 +100010001000 +011101110110 +011101110111 +100010000111 +100110001000 +100010011001 +100110001000 +100010001000 +100010001000 +011001100101 +011001100101 +011101110110 +011101100101 +011001010100 +011101100101 +011101110110 +011101110110 +011101110111 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011101110111 +011101111000 +100010001000 +100001110111 +011101100110 +011101100110 +001100110011 +001000100011 +001000100010 +001000100001 +001000100001 +001100110010 +010000110010 +010101000010 +010101010100 +000100010001 +000000000000 +001100110011 +001100110100 +001000110011 +000100010001 +001000100001 +001100100010 +010001000011 +010001000011 +010101010100 +010000110010 +001100110010 +010000110011 +010000110011 +010101000011 +010101010100 +011001010100 +011001010101 +011001010101 +011001100101 +011001100101 +011001010100 +010101000100 +010101000100 +011001010101 +010101000100 +011001010100 +011001010100 +011101100100 +101110100110 +101110100110 +110110111000 +110010100111 +101110010110 +110111001000 +110010111000 +111011001010 +110110111000 +011001010011 +001100110011 +001100110011 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100000000 +001000010001 +001000010010 +000100010001 +001000010000 +001100100000 +001100100000 +001100100000 +001100100000 +001100010000 +001000100001 +001000110010 +001000110010 +000100110011 +000100110011 +000000110011 +000000100011 +000100100011 +000100100001 +000100010010 +000000100100 +000100100101 +000100100101 +000100100100 +000000010010 +000000010011 +000100110101 +000101000111 +001001000111 +000100010001 +000100010000 +000100010001 +001000010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100010 +001100110001 +001100100001 +001100100000 +001000100000 +001000010000 +011001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011100110001 +011101000001 +011101000001 +011000110001 +011000110001 +011000110000 +011000110000 +011001000001 +011101000001 +011101000001 +011100110001 +011100110001 +011000110001 +011000110000 +011000110001 +011100110000 +011100110000 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +010100110000 +001100100000 +000100000000 +001100100000 +001100110010 +000100010010 +000100100011 +000100100100 +000101011000 +001001010111 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100000000 +000100010001 +000000010000 +001000010000 +000100000000 +010000100000 +011001000001 +011101000001 +011101000001 +011000110000 +010100110000 +010100110000 +011101000001 +011101000001 +011000110000 +011001000001 +010100110001 +011000110001 +010100110001 +011001000001 +010100110001 +010000100000 +001100100000 +001100100000 +001100010000 +001100100001 +001100100000 +001100100000 +001100010000 +001100010000 +001100100000 +001100100000 +010000100000 +010000110000 +010000100000 +010100110000 +010100110000 +011001000001 +011001000001 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +010000100000 +001000010000 +000100000000 +000100000000 +000100100010 +001101000100 +000000010010 +000000010011 +000100100100 +000000100100 +000100110110 +000000100101 +000001000110 +000001000111 +000001000110 +000001000110 +000001000110 +000001011000 +000001101001 +000001000110 +001001111010 +001110011011 +001001010110 +001101000011 +001101000100 +001101000011 +001101000100 +011101111000 +011101111000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +011101100111 +010101000010 +011101010100 +011101010101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +100010001001 +011101100110 +011001010010 +011101010011 +011101110110 +100010001000 +100010001000 +011101110111 +100010001000 +100001110110 +011101110110 +100010001001 +100010000111 +011101100101 +011101010100 +011101010100 +011001000010 +011001000010 +011101010011 +011001000010 +011101000011 +011101010011 +100001110110 +011101100100 +011101100100 +011101010011 +011101010011 +011101010100 +011101100101 +100001110110 +011101010100 +011001010011 +011101010100 +100001110110 +100001110111 +100010001000 +100010011000 +100010001000 +100001110111 +011101010100 +100001110110 +011101100101 +011101100100 +011101100100 +100110011001 +100010011001 +100010001000 +100010011000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100001100101 +011101100110 +011001000010 +011001000011 +011101100100 +011101100101 +100001100101 +100001110110 +011101010100 +011001010100 +100001110110 +011101100101 +011001100101 +011101110111 +100001110110 +011101100100 +011001010011 +100001110111 +100001110111 +011101010100 +100001110111 +100001100101 +100010001000 +011101100110 +011001010100 +100001110110 +100010000111 +100110011000 +100010001000 +011101100110 +011101100101 +011001010100 +011101100101 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011101111000 +011110001000 +011101111000 +011110001000 +011101111000 +011101110111 +011101110111 +010001000100 +001000100011 +001000100010 +001100110011 +010101000100 +010101010100 +011001010101 +011001010101 +011001100101 +001100110011 +000100010001 +001100110011 +001000110011 +000100010001 +001000100001 +010000110010 +010000110010 +010000110010 +010000110010 +010101000011 +010101010100 +010101010100 +010000110011 +001100100010 +010000110010 +010001000011 +010000110010 +010001000011 +010101000100 +011001100101 +011001010101 +011001010100 +011001010101 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +100001100100 +101110010110 +110110111000 +110010100111 +101110100110 +101110010110 +110010100111 +110010111000 +110111001001 +110110111000 +010101000011 +001000100010 +001000100010 +001000100011 +001000100010 +000100010001 +000100010001 +000100100010 +000100010010 +001000100010 +001000100010 +000100010001 +001000010000 +001100100000 +001100010000 +001100010000 +001100100000 +001000010000 +001100100000 +010000100000 +010000110001 +001000110010 +000000100011 +000000110100 +000100110011 +001000110011 +001100100001 +001000010001 +000000010011 +000100110101 +000100100100 +000100110110 +000000100011 +000000010011 +000000100100 +000101000111 +001001000111 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +010000110001 +010000110001 +001000010000 +000100000000 +010100110001 +100001010001 +100101010001 +100001010001 +100001000001 +011000110000 +011001000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110001 +011001000001 +011001000001 +011000110001 +011001000001 +011001000001 +011101000001 +011101000001 +011100110001 +011100110001 +011100110001 +011000110001 +011100110001 +011100110001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110000 +010100110000 +001000010000 +000100000000 +010000110001 +001100100001 +000100010010 +000100010011 +000100010100 +000101000111 +001001010110 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010001 +000100010000 +000000010001 +000100010000 +000100000000 +010100110001 +011000110001 +011001000001 +011101000001 +011000110000 +010100110000 +010100110000 +011101000001 +011101000001 +011001000001 +011000110000 +010100110000 +011001000001 +010100110000 +010101000001 +010100110001 +010000100000 +010100110001 +010000100000 +001100100000 +001100100000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110000 +011001000001 +011001000001 +011101010001 +011101010001 +011101010001 +011001000001 +010100110001 +001100100000 +001000010000 +000100000000 +000100000000 +000100100010 +001101000100 +001000110011 +000000010011 +000100100100 +000000100100 +000100110110 +000000100101 +000001000110 +000001010111 +000001000110 +000001000110 +000001000111 +000101101001 +000001011000 +000001010111 +001010001011 +001010001011 +001001010110 +001101000011 +001101000100 +001101000011 +001101000100 +011101110111 +011101110111 +011001111000 +011001111000 +011110001001 +011110001001 +011101111000 +011101111000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +100010001000 +011110001000 +011110001000 +011110001001 +100010001001 +011101111000 +011001010101 +011101100110 +011001100101 +011101010101 +011101100101 +100001110110 +100001110110 +011101100101 +011101100110 +100001110111 +011101010100 +011101010011 +011101010100 +011101110110 +100010011001 +100010011001 +100010001001 +100001110111 +011101010100 +011101010100 +011101100101 +011101100101 +011101110111 +100010000111 +011101100101 +011101010100 +011001000010 +011000110010 +011001000010 +011101010011 +011101100101 +100001110101 +100001100101 +011101010100 +011101010011 +011101010100 +011101010011 +011001000010 +011000110010 +011001000010 +011001010011 +011101100101 +100001110110 +100010000111 +100010001000 +100010001000 +100001110111 +100001110110 +100001110110 +011101100101 +100010000111 +011101100101 +100010000111 +100010011001 +100010001000 +100010011001 +100110011001 +100110011001 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100001110110 +011101100101 +100001110110 +011101100110 +100001110111 +100010000111 +011101100101 +011001000011 +011001010011 +011001010100 +011101110110 +100001110110 +100001110111 +011001010100 +011001000010 +100001110110 +100001110110 +011101010011 +011101100101 +011001010011 +011101100101 +011101100101 +011101010011 +011101100101 +100001110110 +100010001000 +100010011001 +100110011001 +100001110111 +010101000100 +011101100110 +100110001001 +100110011010 +100010011001 +011110001000 +011001100110 +011101110111 +011101111000 +011110001000 +011101111000 +011101110111 +100010001000 +100010001000 +011110001000 +010101010110 +001000100010 +001100110011 +010101010101 +010101010101 +010101010101 +011001100110 +011001010101 +011001100110 +011001100101 +010101000100 +001000100011 +000100010001 +000100010000 +010000110011 +010101000011 +011001010100 +011001010011 +010101000011 +011001010100 +010101000011 +010101000010 +001100100001 +001100100001 +001100100001 +010000110010 +001100110010 +010101000011 +010001000011 +010101000011 +010101000011 +010000110010 +010101000011 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100101110101 +110010111000 +110111001001 +110010100111 +110010100111 +110111001000 +110010100111 +100110000100 +110010100110 +110010100111 +011001010100 +001000100010 +000100010001 +001000100011 +001000100010 +000100010001 +001000100010 +001100110100 +001000110011 +000100010001 +000100010000 +000100010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +000100000000 +001000010000 +010000100000 +010000100000 +001100110001 +000100100010 +000100100010 +001000100010 +010000110001 +010100110001 +001000010000 +000000010010 +000100100101 +000100100100 +000100100110 +000100100101 +000100100011 +000000100011 +000101000111 +001101010111 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +001100110001 +010101000010 +001000100000 +001000010000 +010100110001 +100101010001 +100101010010 +100101010001 +100101010001 +011101000001 +010100110000 +011001000001 +011101000001 +011000110001 +011000110001 +011000110000 +011000110000 +011000110001 +011001000001 +011101000001 +011101000001 +011101000001 +011100110001 +011100110001 +011100110001 +011100110001 +011101000001 +011100110001 +011100110001 +011101000001 +011101000001 +011101000001 +011101000001 +011100110001 +011101000001 +011101000001 +011000110000 +010000100000 +001000010000 +000100000000 +001100110001 +001000100001 +000000010010 +000000010010 +000100010011 +000100110110 +001000110101 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000000000 +000100000000 +011000110001 +011001000001 +011001000001 +011000110001 +010100110000 +010100110000 +010100110000 +011000110001 +011001000001 +011000110001 +010100110000 +011000110001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010100110000 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +010101000001 +010000110000 +001100010000 +001000010000 +001000000000 +000100000000 +000100100011 +001101000100 +001101000101 +000000010011 +000100100100 +000000100100 +000100110110 +000000110101 +000001000110 +000001010111 +000001000110 +000000110110 +000001011000 +000101101010 +000001011000 +000101101001 +001010011100 +001010001011 +001001000101 +001101000011 +001101000100 +001101000011 +001101000100 +011001110111 +011001111000 +011001111000 +011101111000 +011110001001 +011110001000 +011001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +011110001000 +100010001001 +011110001001 +100010001001 +011110001001 +011101110111 +011101110111 +011110001000 +011101110111 +011101100101 +011001000011 +011101010011 +011101010100 +011101100101 +100001110101 +011101010100 +011101100100 +011101100101 +011001010100 +100001110111 +100010001001 +100010001000 +011101100101 +011101010011 +011101010011 +011101010011 +011101100100 +011101110110 +011101100101 +011101010100 +011101010100 +011101000011 +011001000010 +011001000010 +011101010011 +011101010100 +100001110110 +100001110111 +100001110110 +100001100101 +011101010100 +011001000010 +011000110010 +011000110010 +011001000010 +011101000011 +100001100101 +011101100101 +011101100100 +100001110110 +100010001000 +100010000111 +100001110111 +100010000111 +100001110110 +100010001001 +100010000111 +100001110111 +100110011001 +100010011001 +100110011000 +100010011001 +100110011001 +100010001001 +100010001000 +100010000111 +100010000111 +100001110111 +100010000111 +100001110110 +100010000111 +100001110111 +100001110110 +100001110111 +100010000111 +100001110110 +011101100100 +010100110010 +010101000011 +100001110110 +100010000111 +100010000111 +011001010100 +011001000010 +100001100101 +011101100100 +011101010011 +011101010011 +011101100100 +011101100101 +011101100101 +011001010011 +011001010011 +011001010100 +100010001000 +100010011001 +100110011001 +100001110111 +011101100101 +100001110110 +100001110111 +100010011001 +100010011010 +100010001001 +100010011001 +100010011001 +100110011010 +100010011010 +100010001000 +011101110111 +011101110111 +011101110111 +011001110111 +010101100110 +000100010001 +010001000100 +011001100111 +011001100110 +010101010101 +010101010101 +010101100110 +010101010101 +011001100101 +010001000100 +001000100010 +000000010000 +001000100001 +010001000101 +010101010101 +011001010101 +011001100101 +011001100101 +011101100100 +011101100101 +011101100100 +011001010011 +010101000011 +001100110001 +001100110010 +010000110010 +010000110010 +001100100010 +010001000011 +010101010100 +001100100010 +001100110010 +010000110010 +010000110011 +001100110010 +010101000011 +100001100101 +101010010111 +111011011010 +110111001001 +110111001001 +110010100111 +110110111000 +101110100110 +100101110100 +100101110100 +011001010011 +001100100010 +001000100010 +001000100010 +000100010001 +001000010001 +001000100010 +000100010001 +000100010001 +000100010000 +000100000000 +000100010000 +000100010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010001 +001000010000 +001000010000 +001000010000 +001100100000 +001100100000 +001000100001 +001000100000 +010000110000 +010000100000 +001100100000 +000100010000 +000000010001 +000000010011 +000100100101 +000100100101 +000100100101 +000100100100 +000000010011 +000101000110 +001101010111 +000100100001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +010000110010 +001100100001 +001000010000 +010100110001 +100101010010 +100101010001 +100101010001 +100101010010 +100001000001 +010100100000 +010100110000 +011100110001 +011000110001 +011000110001 +011000110000 +011000110000 +011000110000 +011101000001 +011101000001 +011001000001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110001 +011100110001 +011100110000 +011100110001 +011100110001 +011000110001 +011001000001 +011101000001 +100001000001 +011101000001 +011000110001 +011000110000 +010000100000 +000100000000 +000100000000 +010000110001 +001000100001 +000000010010 +000000010010 +000100010010 +000100110101 +000100100011 +000100010001 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000000000000 +001000010000 +011000110001 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110001 +011000110001 +011000110001 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100010000 +001100100000 +001100100000 +010000100000 +010100110000 +011101000001 +011101000001 +011001000001 +011001000001 +010100110001 +010100110000 +010000110000 +001000010000 +001000010000 +001000000000 +000100000000 +000100110011 +001000110011 +001100110100 +000000010011 +000000100100 +000000100100 +000100110110 +000000110110 +000001000110 +000001000110 +000001000110 +000001000111 +000001011001 +000101111010 +000001010111 +001001111010 +001010011100 +001010001010 +001001000101 +001101000100 +001101000100 +001101000011 +001101000100 +011001110111 +011001111000 +011001111000 +011101111000 +011010001000 +011110001000 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011001111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +100010001000 +011110001000 +011110001001 +100010001001 +011110001001 +011110001001 +011101111000 +011101111000 +011110001000 +100010001001 +011101100110 +011001010011 +011101010100 +011101100110 +011101110110 +011101100100 +011101010100 +011101100100 +011101100101 +011101010011 +011001010011 +011001010100 +011101100101 +011101100101 +011001000011 +011101010011 +011101010011 +100001110110 +100010000111 +011101100100 +011101010011 +011001000010 +011101000011 +011101010100 +100001100101 +011101100100 +011101010011 +011101100101 +100001110111 +100001110110 +011101100101 +011101100100 +011101010011 +011001000010 +011001000010 +011001000010 +011101010011 +011101100100 +011101100100 +011101010011 +011101010100 +100001110110 +011101100101 +011101100101 +011101100101 +011101100101 +011110001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110111 +100001110110 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011001010100 +011001010101 +100010001000 +011110001000 +100010000111 +011101100101 +010101000010 +011001010011 +011001010011 +100001100101 +011001010011 +011101010100 +011101100101 +011101100101 +100001100101 +011101010100 +011101100101 +100010000111 +100010001001 +100010001000 +100001110110 +011101010011 +011101100100 +011101100101 +100010001000 +100110011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010001001 +100010001000 +011101110111 +000100010001 +001000100010 +010001010101 +010101010101 +011001100111 +010101010110 +010101010110 +011001100110 +011001100101 +010001000100 +001000100010 +000000000000 +001100110010 +010101010101 +010101010101 +011001100110 +011001110111 +011101110111 +011001010100 +011101010100 +011101110111 +011101110101 +011101010011 +011001000011 +010101000011 +010000110001 +010000110010 +010101000011 +010101000011 +010101000011 +010000110010 +001100100010 +001100100010 +001100100010 +010001000100 +011001010101 +010101000011 +011001010100 +100101110101 +101010000101 +101110100110 +101110010110 +110111001000 +110010111000 +110110111000 +011101100100 +010000110011 +001000100010 +001100110100 +001000100011 +001000010001 +001100110011 +000100010001 +000000000000 +000100010000 +000100010000 +001000010000 +000100010000 +001000010000 +001000010000 +001000010001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100000 +000100010000 +000100010001 +000000010001 +000000000001 +000100100100 +000100010100 +000100100101 +000100100100 +000000010010 +000100110110 +001101101000 +000100010001 +000100010000 +000100010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001000100001 +001100100001 +000100010000 +001100100000 +100101010010 +100101010001 +100101010001 +100101010001 +100001000001 +011100110001 +010000100000 +011000110001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110000 +011101000001 +011000110001 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110001 +011100110001 +011000110001 +011000110001 +011000110001 +011000110000 +011101000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +010000100000 +000100000000 +000100000000 +010000110001 +001000100010 +000000000010 +000000010010 +000000010010 +000100100101 +000100100010 +000100010001 +000100100001 +000100010010 +000100010010 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000010000 +011000110001 +011000110001 +011000110000 +010100110000 +011000110000 +011001000001 +010100110000 +010100110000 +010100110000 +011001000001 +010100110000 +010100110000 +011000110001 +010100110000 +010100100000 +010000110000 +010100110001 +010100110000 +010100110001 +010000110000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100010000 +001000010000 +001100100000 +001100100000 +010000100000 +011001000001 +011101000001 +011000110001 +010100110000 +010000110000 +010100110000 +010000100000 +001100010000 +001000010000 +001000000000 +000100000000 +000100010001 +000100100010 +001100110011 +000000010011 +000100100100 +000000010100 +000100110110 +000000110110 +000001000110 +000001000110 +000001000110 +000001010111 +000001101001 +000101101001 +000001011000 +001010001011 +001010001011 +001110001010 +001001000101 +001101000011 +001101000100 +001101000011 +001101000100 +011001100110 +011001110111 +011001111000 +011001111000 +011010001000 +011010001001 +011101111000 +011001111000 +011101111000 +011110001000 +011110001000 +011101111000 +011110001000 +011101111000 +011110001000 +011101110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +011110001000 +011101111000 +011101110111 +011110001000 +011101111000 +011001010100 +011001010100 +100010000111 +100010001001 +100010001000 +011101100110 +011001010011 +011001010011 +100001100101 +011101010100 +011001000011 +011000110010 +011001000011 +011101100101 +011001000011 +011001000010 +011001000011 +011101010100 +100010001000 +011101100101 +011001010011 +011001000010 +011000110010 +011101010011 +100001110110 +011101110110 +011001000011 +011101010100 +100001110110 +100001110110 +100001100110 +100001100101 +011101100100 +011001000011 +011101000011 +100001100100 +011101100100 +100001100101 +100001100101 +011101010100 +011101010100 +011101010011 +011001000010 +011101010011 +011101010011 +011101010100 +100001110111 +100001110111 +011101110110 +100010000111 +100110000111 +100010001000 +100110011001 +100010001000 +100010001000 +100001110111 +100001110111 +011101100110 +011101110110 +100001110111 +100001110101 +010101000010 +100001100101 +100001110110 +100001110110 +011101110110 +011101110110 +100001110111 +011101110110 +011001100110 +011101110111 +011101110111 +011110001000 +011101110110 +011101100100 +011001010011 +011001010100 +100001110110 +100001110110 +011101100101 +011101100101 +011001010011 +100001110110 +100001100101 +011101100101 +100001110111 +100110011001 +100001110111 +011101100100 +011101010100 +011101100100 +100001110110 +100110001000 +011101110111 +100010001000 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +010001010101 +000000010001 +001100110100 +010101100110 +010001010101 +010001000101 +001101000100 +010101010101 +011001100110 +001100110011 +001000100010 +010000110011 +010101010101 +010101100101 +011001100110 +011001100110 +011101110111 +011101110111 +011101100110 +011101100110 +011101111000 +011101110111 +011101100100 +011001000010 +011001010011 +011001000011 +001100100001 +010100110010 +011001010011 +010000110010 +010101000011 +010101000011 +010101000011 +010000110010 +010101000011 +010001000011 +010001000011 +001100110010 +010101000011 +010001000011 +011001010011 +011101100100 +100001110101 +100110000101 +101010010101 +010101010011 +001100100011 +001000100010 +010001000100 +001000100010 +001000010001 +000100010001 +000100010000 +000100010000 +001000100001 +001000100001 +001000100001 +001000010000 +001000010001 +001000010000 +001000010000 +001000010000 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +000100010010 +001000100100 +000100010011 +000100100101 +000100100100 +000000010010 +000100110101 +001101010111 +000100010001 +000100010000 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001100100000 +000100010000 +001000010000 +100001010001 +100101010001 +100101010001 +100001010001 +100001000001 +011101000001 +010000100000 +010100100000 +011101000001 +011000110001 +011000110001 +011000110000 +011000110001 +011000110001 +011000110000 +011000110000 +011000110001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +100001010001 +100101010001 +100101010001 +100101010001 +100101010010 +011101000001 +001100100000 +000000000000 +000100010000 +010000110001 +001000100010 +000000000001 +000000010010 +000100010010 +000100100100 +000100010010 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100001 +000100010001 +000100010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100100001 +001000100001 +001000100010 +001000100010 +000100100010 +000100010001 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +010000100001 +011101000001 +011000110001 +010100110000 +011000110001 +011000110000 +011001000001 +011001000001 +010100110000 +010100110000 +011000110001 +011000110000 +010100100000 +010100110000 +010100110000 +010100110000 +010000110000 +010000100001 +010000110000 +010100110000 +010100110000 +010100110000 +010100110001 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +001100100000 +001000010000 +001100100000 +001100100000 +001100100000 +010100110000 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100100000 +001000010000 +001000000000 +000100000000 +000100010001 +000100100010 +000100100010 +000000010011 +000100100100 +000000100100 +000100110110 +000000110110 +000001000110 +000001000110 +000001000110 +000001010111 +000001011000 +000001011000 +000101101001 +001010001011 +001010001011 +001110001010 +001001000100 +001101000011 +001101000100 +001101000011 +001101000011 +011001010101 +011001110111 +011001111000 +011001111000 +011001111000 +011001111001 +011001111000 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +100010001001 +100010001001 +100010001001 +011110001000 +011101111000 +011101110111 +011110001000 +100001111000 +011101100110 +011101100110 +100010001000 +100010001001 +011101110111 +011101100101 +011001010011 +011001000011 +011101010011 +011001000011 +011001000010 +011001000010 +011001000011 +011101100100 +011101010100 +011001000010 +011000110010 +011001000011 +100001110110 +011101110110 +010101000011 +010100110001 +011000110010 +011001000010 +011101010100 +100010001000 +100001110110 +011101010100 +100001110111 +100001110110 +011101010100 +011101010100 +011101010100 +011001000010 +011101010011 +011101010100 +011101010011 +011101010100 +011101010100 +011101010011 +011101010100 +011101010100 +011001000010 +011001000010 +011101010011 +011101010011 +011001010011 +011101010100 +011101010100 +100001110110 +100001110111 +100010000111 +100010001000 +100001110110 +100010000111 +100010000111 +100001110111 +100001110110 +100001110110 +100001110111 +011101110101 +011001010011 +011101100101 +100001110110 +100010000111 +100001110111 +100001110110 +100010000111 +100001110110 +011001100101 +010101010101 +011101110111 +100010001000 +011101110111 +011101110110 +100001110110 +100001110111 +100010000111 +011101110110 +100110000111 +011101100101 +010101000011 +011101100101 +011101100100 +011101100101 +100110001000 +100010001000 +100001110110 +011101010011 +011001000010 +011101010011 +100001100101 +100001110110 +011101110111 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001001 +011110001000 +011001110111 +011110001000 +011001110111 +011001100111 +011001110111 +010101100110 +010101100110 +011101110111 +001000100010 +001000010001 +011001010101 +010101010101 +011001100101 +010101100101 +011001100110 +011101100110 +011101110111 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +011101100101 +011001010100 +011001000011 +010101000011 +010101000011 +011001010100 +011001000011 +010100110010 +011001010011 +011001010100 +011001000010 +010100110010 +010000110011 +010000110010 +010100110010 +010000110011 +010000110010 +001100110010 +010101000011 +010101010100 +010101000011 +010101000011 +010000110011 +001000100010 +000100010001 +001000100010 +001000100010 +000100010001 +001000010000 +001000010000 +001000010000 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000010001 +001000100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +010001000100 +010001000100 +001100110100 +001101000101 +001101000101 +001000110100 +001000110101 +001101010111 +000100010010 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100000000 +000000000000 +001000100001 +001000010000 +000100000000 +011001000001 +100101010010 +100101010010 +100101010001 +100001010001 +100001000001 +010100110000 +001100010000 +010100110001 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110001 +011000110000 +011000110001 +011000110001 +011000110000 +011000110001 +011000110000 +011000110000 +010100100000 +011000110001 +100001010001 +100101010001 +100101010001 +100101010001 +100001000001 +011101000001 +001000010000 +000000000000 +001000010000 +010000110001 +001000100010 +000000000001 +000000000010 +000100010010 +000100010011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010000 +000000010000 +000000010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100010010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100100001 +100101100010 +100001010001 +011101000001 +010100110000 +010100110000 +010100110000 +011000110001 +010100110000 +010100110000 +010000110000 +010100110000 +011000110001 +010000100000 +010000100000 +010000100000 +010000110001 +010000100000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100010000 +001100100000 +010000100000 +010100110000 +010100110000 +011000110001 +010100110000 +010100110000 +010100110000 +010000110000 +010000110000 +001100010000 +001000000000 +000100000000 +000100000000 +000000100010 +000000010010 +000000010011 +000100100100 +000000100100 +000100110110 +000000110110 +000001000111 +000001000110 +000001000111 +000001011000 +000101101001 +000001000111 +000101111010 +000101111010 +001010001011 +001110001010 +001001000100 +001101000011 +001101000100 +001101000011 +001101000011 +010000110010 +011001010101 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100001111000 +011101110111 +011101110111 +011101111000 +100010001000 +100010001000 +100010001001 +100010001001 +011101110110 +011101100100 +011101010100 +011101010011 +011001010011 +011101010011 +011001000010 +011101010100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010011 +011001000010 +011101010101 +100010001000 +011101100110 +011001000010 +011001000010 +011001000010 +011000110010 +011001010100 +011101100101 +011101010100 +100001110110 +100001100110 +011101010011 +011101010011 +011101010100 +011101000011 +011101010011 +011001000011 +011101000011 +011001000011 +011101010011 +011101010100 +011101100100 +100001100100 +011101010011 +011001000011 +011101010011 +011101010011 +011101010011 +011001000010 +011101100100 +011001010100 +011101100101 +100010001000 +100010001000 +100010000111 +100001110110 +100010000111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +011101100101 +011101100101 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +010101010100 +010101010101 +011101110110 +100001110111 +100010000111 +100001110110 +100001110110 +100001110111 +100001110110 +011001010100 +011101100100 +011001010100 +011101100101 +100001110110 +011001010011 +010101000010 +100001110111 +100010000111 +100001110111 +011101100101 +011001010011 +011001010011 +011001010011 +011101010100 +100001110111 +100010001001 +100010011010 +100010011010 +011101111000 +100010011001 +100010011001 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +100010001001 +011101111000 +011101111000 +011101111000 +011001110111 +011001110111 +011101110111 +001100110011 +000100010000 +010101010101 +011001100110 +011001100110 +010101010101 +010101010101 +010101010101 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001010101 +011001010100 +011101100101 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001000011 +011001000010 +011001010011 +010000110001 +010100110010 +010101000011 +011001010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +001000100010 +000100010001 +000100010001 +000100010001 +001000100001 +001100110011 +010001000100 +010101000100 +010000110100 +001100100010 +001000100001 +001100100010 +001100100001 +001100100001 +001000100001 +001100100010 +001100100001 +001100100001 +001100100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +001100100010 +010000110010 +010000110011 +010001000100 +010001000100 +010101000100 +011001010110 +010101100110 +010101010101 +010101010100 +011001010100 +010101000010 +001100110001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000000000000 +000100010001 +000100010000 +000100000000 +010000100001 +100001010001 +100001010001 +100001000001 +011001000010 +011001000001 +010100110000 +001100010000 +010000100000 +010100110000 +010100110000 +011000110000 +011000110001 +010100110000 +010100100000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +010100100000 +001100010000 +011000110001 +100001000001 +100101010001 +100101010001 +100101010001 +100001000001 +011000110001 +001000000000 +000100000000 +001000010000 +010000110001 +001000100010 +000000000001 +000000000010 +000100010010 +000100010010 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +100001100010 +101001100010 +100101010001 +011101000001 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110001 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100010000 +000100000000 +000100000000 +000000100010 +000100100011 +000000010011 +000100100101 +000000100100 +000000110101 +000000110110 +000001000111 +000001000101 +000001000111 +000001011001 +000101101001 +000001000111 +001001111010 +000101111001 +001010011100 +001110001010 +001001000100 +001101000100 +001101000011 +001101000011 +001101000011 +010000110010 +010001000011 +011001100110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011010001000 +011110001000 +011110001000 +011110001000 +011010001001 +011110001001 +011110001000 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011110000111 +011110001000 +100010001000 +011101110111 +100001111000 +100001110111 +011101110111 +011101110111 +011101110111 +011110001000 +100010001000 +100010001001 +100010011001 +011101110110 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +011001010011 +011101100100 +011101010100 +011001000011 +011101010100 +011101100100 +011101010011 +011101100101 +011101110111 +100010001001 +100010001000 +011101100100 +011001000010 +011001000010 +011000110010 +011101000011 +011101100101 +100001100101 +011101100101 +100001110110 +011101100100 +011101100100 +100001100101 +011101100100 +011101010100 +011101010011 +011101010100 +011001000010 +011101010011 +011101100100 +011101010100 +011001000011 +100001010100 +011101010100 +011101010011 +011001000011 +011101000010 +011101000011 +011101100100 +011001010011 +100001110110 +100010001000 +100010001000 +100001110111 +100001110111 +100001110110 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100110001000 +100010000111 +100110000111 +100010000111 +100001110111 +100001110110 +100010000111 +100010001000 +100001110111 +100010000111 +100010000111 +100001110111 +100010000111 +100010000111 +011101110110 +100001110111 +100001110111 +011101100101 +011001010100 +100001110111 +100010001000 +100010001000 +100110001000 +100001110111 +011101100101 +011101010100 +011001000011 +011101100101 +100010001001 +100010011001 +100010011010 +011101110111 +011101110110 +011101110110 +011101110111 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011001110111 +011001100110 +001000100010 +001100110100 +011001110111 +011101111000 +011001110111 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +011001100101 +011001100110 +011101100110 +011001100110 +011101110111 +011101110111 +011001100101 +011001010101 +011001010101 +010001000011 +011001010101 +011101010100 +011101010100 +011001000010 +011101010011 +011101010011 +010100110010 +010100110010 +011001010011 +011101010100 +011001010011 +011001010011 +011001010011 +010100110010 +010000110011 +000100010010 +000100010001 +000000000000 +000000000000 +000100010000 +001100100010 +001100110010 +010001000100 +010101010101 +011001100110 +011001010101 +010101010100 +010101000100 +010000110011 +001100110011 +001100110010 +001100100010 +001100110010 +010000110010 +001000100001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010001000011 +010001000100 +010101010101 +011001010101 +011001010100 +011101100101 +101010000110 +101110100111 +101010010110 +100101110110 +011101100100 +011001010011 +010000110010 +001100100001 +000100010000 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100000000 +000100000000 +011000110001 +010100110000 +010000100001 +010001000011 +010000110010 +010100110001 +001000010000 +001000010000 +010000100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100110000 +010100110000 +010100100000 +010100100000 +010000100000 +001100010000 +011000110001 +100001000001 +100101010001 +100101010001 +100101010001 +100001010001 +010100110001 +000100000000 +000100000000 +001000010000 +010000110001 +001000100010 +000000000001 +000000000001 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010000 +101110000010 +100101010001 +100101100010 +100001010001 +011000110001 +010100110000 +010100100000 +010100100000 +010100110000 +010100110000 +010000100000 +010000100000 +010100110001 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100110000 +010100110000 +010100110000 +011001000001 +011001000001 +011000110001 +010100110001 +010100110000 +010100110000 +010100110001 +010100110000 +010000100000 +010000100001 +001000010000 +000100000000 +000000010001 +000000010010 +000000010011 +000100100101 +000100100101 +000000110101 +000001000111 +000001000111 +000001000110 +000001000111 +000001101001 +000001011000 +000001010111 +000101111001 +000101111001 +001010011100 +001110001001 +001101000100 +001101000011 +001101000100 +001101000100 +001101000011 +011001010101 +011001100110 +011001110111 +011001110111 +011001111000 +011001110111 +011001111000 +011001110111 +011001110111 +011101111000 +011001111000 +011001111000 +011110001000 +011010001000 +011010001000 +011110001001 +011110001001 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011110001000 +100010001000 +100010001000 +011101111000 +011101110110 +011101110110 +011101110111 +011110001000 +100010001000 +100010001000 +100010001001 +011101110111 +011101010100 +011101110110 +100001110111 +011101100101 +011101010100 +011001010100 +011101100100 +011101010100 +011001010011 +011101100100 +011101100100 +011101010011 +011101110111 +100110011001 +100010001000 +100010001000 +100010001000 +011101010100 +011001000010 +010100110001 +011101010011 +011101100101 +011101100101 +011101100110 +100001110111 +011101100101 +011101010100 +100001110110 +100001100101 +011101100100 +011101010011 +011101100100 +011101010011 +011101010100 +011101100101 +100001100101 +011101010100 +100001100101 +011101100100 +011101010100 +011101010100 +011101010011 +010101000010 +011101100101 +011101010100 +011001000011 +100001100101 +011101010100 +011101110110 +100010000111 +100001110110 +011101100110 +011101100101 +100001100101 +100001110101 +100001110110 +100001110110 +100001110110 +100010000110 +100001110111 +100010000111 +100110000111 +100010000111 +100010000111 +100010000111 +100001110111 +100001110111 +100010000111 +100010001000 +100010000111 +100010000111 +100001110111 +100010000111 +100010000111 +100010000111 +100110000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010000111 +011101110111 +100001110111 +100010001000 +100110001000 +100010001000 +011101010101 +011001010101 +100010001000 +100010001001 +100010011001 +100010001001 +100010001000 +100001110111 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010001001 +100010001000 +100010001001 +011110001000 +100010001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001000 +011101111000 +011110001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +011101110111 +011001100110 +011001100110 +011101110110 +011101100110 +011101100101 +011101100110 +011001100110 +011001100101 +011101110110 +100001110111 +011101100100 +011001010011 +011101010100 +011000110010 +010000110001 +011001010011 +011101100100 +010101000011 +011001010100 +011001010100 +010000110010 +001100100010 +000100010010 +000100010001 +000100000000 +000000000000 +001000010001 +001100100001 +001100100001 +001100100001 +010000110010 +010101000011 +011001010100 +010001000011 +010001000011 +010101010101 +011101100110 +010101000100 +010101010100 +010001000100 +010000110011 +001100110010 +001100100010 +001100100010 +001100100010 +010000110011 +010000110011 +010000110011 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110011 +010001000100 +010101010101 +011001100110 +011101100110 +100001110110 +101110010111 +110010101000 +110010100111 +101110010110 +101110010110 +101010010110 +101010000110 +100101110101 +100001110101 +011101100100 +010101010011 +010000110010 +001000100001 +001000100001 +000100100001 +000100100001 +000100010001 +000100010001 +001000010000 +001000100001 +000100010001 +000100010001 +001000100010 +010000110011 +001100110011 +001100100010 +001100100011 +001100100011 +001100100010 +001000010000 +001000010000 +001000010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100010000 +001100010000 +011001000001 +100001000001 +100001000001 +100001000001 +100001010001 +011101000001 +010000100001 +000000000000 +000100000000 +001000010000 +001100110001 +000100100010 +000000000001 +000000000001 +000000010010 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +011001000010 +101001110010 +100101010001 +100101010001 +100001010001 +011101000001 +011000110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100100000 +010000100000 +010100110000 +010100110000 +010100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100110000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011001000001 +011001000001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010000110000 +010000100000 +001100100000 +001100010000 +000100000000 +000000010001 +000000010001 +000000010010 +000100100101 +000100100101 +000000110101 +000001010111 +000000110110 +000001000110 +000001000111 +000001101001 +000001010111 +000001010111 +000001011000 +000101111010 +000110001011 +001110001001 +001101000011 +001101000011 +001101000100 +001101000100 +001101000011 +011001100110 +011001111000 +011001110111 +011001100110 +011001110111 +011001110111 +011101111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011101111000 +011101111000 +011001111000 +011010001001 +011110001001 +011101111000 +011101111000 +011101110111 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +100010001000 +011110001000 +011101110111 +011101110110 +011101111000 +011101110111 +011101110110 +100001110110 +100001110111 +100010001000 +100001110111 +011101110111 +100010001000 +011101100101 +011101110110 +011101100110 +100010001000 +100010001000 +011101100110 +100001110110 +011101100110 +011101100101 +011101100101 +100010001000 +100010011001 +100010011001 +100010001000 +011101100101 +011101110110 +011101110110 +100001110111 +011101100101 +100001110110 +011101110110 +100001110111 +100001110110 +011101100101 +100001100101 +011101100100 +011101010100 +011101010100 +100001100101 +011101100101 +011101100101 +100001100110 +011101100110 +100001110110 +011101100101 +100001100100 +011101010100 +011101010011 +011101010011 +011101010100 +011101100101 +011101100101 +011001000010 +011001000010 +011101000011 +011001000010 +011101010100 +100001110110 +100001110111 +011101010100 +011101010100 +100001110110 +100010000111 +100001110111 +100001110111 +100001110110 +100001110111 +100001110110 +100001110110 +100001110110 +100010000110 +100001110110 +100010000111 +100010000111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100110001000 +100010000111 +100110001000 +100010001000 +100010000111 +100010000111 +100001110111 +011101100110 +100010000111 +100010001000 +100010000111 +011101100110 +011101110110 +100001110111 +100010001001 +011110001000 +011101110111 +100010001000 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +100010001001 +100010001000 +011101110111 +100010001001 +100010001001 +100010001001 +100010011010 +100010011010 +011110001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +100010011001 +011101110111 +011001100101 +010101010100 +011001010100 +010001000011 +011001010101 +011101110110 +011101100101 +011101100101 +011001010100 +011101010100 +011101100100 +010101000011 +011001010100 +011001010100 +011001000011 +011001010011 +011001000011 +010101000011 +001100110010 +001000100011 +001000100010 +001000010001 +001000010000 +001100100001 +010000110010 +010000110010 +010100110010 +010100110010 +010000110010 +010101000010 +010000110010 +001100100001 +001100100001 +001100100001 +001100100001 +010000110011 +010001000100 +010001000011 +010101010100 +011001100110 +010101010101 +010101000100 +010000110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100100010 +010000110011 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010101000101 +011001010110 +011101100110 +011001010101 +100101110101 +101110010110 +100101110101 +100101110101 +101010000110 +101010010110 +101010000110 +101110010110 +101010000110 +101110100111 +101010000110 +101010010111 +101010000110 +100010000110 +011101100100 +010101000011 +001100110010 +001100100001 +001000010000 +001100100001 +001100110011 +010001000100 +010001000100 +001100110100 +001100110100 +001100100010 +001100100011 +001100110100 +001000010001 +000100000000 +001000000000 +001000010000 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000000000 +010000100001 +011000110001 +011101000000 +011101000001 +100001000001 +100001000001 +011101000001 +001100010000 +000000000000 +000100000000 +001100100000 +001100110001 +000100010010 +000000010001 +000000000001 +000000010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +101001110010 +100101100001 +100001010001 +100001010001 +100001000001 +011101000001 +011000110000 +010100110000 +010000100000 +010000100000 +010100100000 +010100110000 +010100100000 +010100100000 +010100110000 +010100110000 +010000100000 +010000100000 +010100110000 +010000100000 +010000100000 +010000100000 +010100110000 +010000100000 +010100100000 +010100100000 +010100110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110001 +011001000001 +011001000001 +010100110000 +010100110000 +010000110000 +010000100000 +001100100000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000010001 +000000010010 +000100100101 +000100100101 +000000110110 +000001000111 +000000110110 +000001000110 +000001011000 +000001011001 +000001000111 +000001011000 +000001011000 +000101101001 +000101111010 +001101111000 +001101000011 +001101000011 +001101000100 +001101000100 +001101000011 +011001100110 +011001110111 +011001100110 +011001010101 +011001110110 +011001110111 +011001111000 +011101110111 +011001100101 +011001010100 +011001100101 +011101100110 +011001100101 +011001010101 +011001100110 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110110 +011101110111 +011101111000 +011101110111 +011101110110 +011101100110 +011101110111 +011101110111 +011101100101 +011101110110 +011101111000 +011101110111 +011101110111 +011101100101 +011101100101 +100001110111 +100001110111 +100010001000 +100010001001 +100010001000 +100010001001 +011101110111 +100010011001 +100010011001 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +011101110111 +100010011001 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010001000 +100010000111 +100001110111 +100001110111 +100001110110 +011101100101 +100001110110 +100001110110 +100001100101 +011101100100 +011101100101 +100001110110 +100001110110 +011101100110 +100001100110 +100001110110 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +100001100100 +011101010011 +011101000011 +011001000010 +011001000010 +011101100101 +100001110110 +011101100110 +011001010011 +011101100100 +100001110111 +100001110111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +100001110110 +100001110111 +100010001000 +100110001000 +100010000111 +100010001000 +100010001000 +100010001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +011101100101 +011101100110 +011101110110 +100001110110 +011101100100 +011101100101 +011101100101 +100010001000 +011110001000 +011101111000 +100010001001 +100010001001 +100010011010 +100010011010 +100010001001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010001001 +100010001000 +100010011001 +100010011001 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010001000 +011101110111 +011101100110 +011001100101 +011001100101 +011001100101 +010101000011 +010001000010 +010101000011 +011101100101 +011001010100 +010000110010 +011001010100 +011001010100 +011001010011 +011001000011 +010000110010 +010101000011 +001000010001 +000100100010 +001000100010 +001000010000 +001100100001 +010100110010 +010101000011 +011001100100 +011101100101 +011001010100 +011001010100 +011101100101 +010101000011 +010000110010 +010100110010 +010000110010 +001100100001 +001100100010 +001100100010 +001100110011 +001100100010 +010001010100 +010101010100 +010001000100 +010001000100 +010000110011 +001100110011 +001100110011 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010101000011 +010001000011 +010000110011 +010001000100 +011001010101 +011001100101 +011101100110 +011101100101 +101110010110 +101110100111 +101010010110 +101010010110 +101110100111 +101110101000 +101010010111 +101110010111 +100110000101 +100110000101 +101010000110 +101110010110 +101110100111 +101010000101 +101010000110 +101110010110 +100001110101 +001100100001 +010000110011 +010001000100 +010001000101 +001100110100 +001100110100 +001100110100 +001100110100 +001100110011 +001100110100 +001100110011 +001100110011 +001100100010 +001100100001 +001000010000 +001000010000 +001000010000 +001000010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000010000 +001000010000 +001000000000 +001000000000 +001000010001 +001100110010 +010000110010 +010000100001 +010100100000 +011000110000 +011000110001 +011101000001 +011001000001 +001000010000 +000000000000 +000100000000 +001000010000 +001000100010 +000000010010 +000000010010 +000000000000 +000000000001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010100110001 +101001110010 +100001010001 +011101000001 +011101000001 +011101010001 +011101000001 +011000110001 +010100110000 +010000100000 +001100100000 +010000100000 +010100100000 +010100110000 +010100100000 +010100100000 +010100100000 +010100110000 +010000100000 +010100110000 +010000110000 +001100100000 +001100100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +011001000001 +011000110001 +011000110000 +011001000001 +011001000001 +010100100000 +010000100000 +010000100000 +001100100000 +001100100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000010001 +000000010011 +000100100101 +000100100101 +000001000110 +000001000110 +000001000110 +000001000111 +000001101001 +000001000111 +000001010111 +000001011001 +000001011001 +000001101000 +000101111001 +001101100111 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +011001100110 +011001100101 +011001100101 +011001100101 +011001100110 +011001110111 +011001100111 +011001010101 +011001100101 +011001100100 +011101100101 +011101110110 +011001010100 +010000110001 +010100110011 +011101100101 +011001010101 +011001100101 +011101110110 +011101100110 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101100101 +011101100110 +011110001000 +011101110111 +011101110111 +100001110111 +011101110110 +100001110110 +011101100101 +011101110110 +011110000111 +100010001000 +100010001001 +100010001001 +100010011001 +100010001001 +100010001000 +011101110111 +100010001000 +100010001001 +100010011001 +100010001000 +100010001001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100001110111 +100001110110 +011101100110 +100001110111 +100001110110 +011101100101 +011101100110 +100001110110 +100001110110 +011101100100 +011101010100 +100001100101 +100001110111 +100001110110 +011101100101 +011101100101 +100001110110 +011101100101 +100001100101 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000010 +011001000010 +011001000010 +011101010100 +100001110110 +100001110110 +011101110101 +011101100101 +100001110110 +100001110110 +100010000111 +100110001000 +100001110110 +100001110111 +100001110111 +100001110110 +100001110101 +011101100100 +100001110110 +100010000111 +100110001000 +100010001000 +100010001000 +100110001000 +100110011000 +100110001000 +100110001000 +100010000111 +100010001000 +100010001000 +100010001000 +100110001000 +100110000111 +100001110110 +100010000111 +100001110111 +011101100101 +100001110110 +011101110110 +100110011001 +100010001001 +011110001001 +100010011001 +100010001001 +100010011010 +100010011010 +100010001001 +100010011001 +100010001001 +100010011001 +100010001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001000 +011101100101 +010000110010 +001100110010 +010000110011 +010000110010 +010101000011 +011001010100 +011101100101 +011101100101 +011001010011 +010101000011 +001100110010 +001000100010 +001000100001 +010000110010 +010000110010 +010101010100 +010101000011 +011101100101 +011101100110 +011101110110 +011101100101 +100001110110 +011101100101 +010101000011 +010101000011 +010100110010 +010000110010 +010101010100 +011001010100 +010101000011 +010001000011 +010101000011 +001100100001 +001000100001 +001100100010 +001100110010 +001100100010 +001100100010 +010001000100 +010101000101 +010001000100 +001100100010 +001000100010 +010000110011 +010000110011 +010000110011 +010000110011 +010101000100 +010101000101 +010101010100 +011001010100 +011101010100 +100101110100 +101010010110 +101010010110 +101110010111 +110010101000 +110010111000 +110010111001 +110010111001 +101110101000 +100110000101 +101110010110 +101110100111 +101010010110 +101110010110 +101010000101 +101110010110 +101110010101 +010000110010 +010000110011 +001100110100 +010001000101 +001100110100 +001000110100 +010001000101 +010001000100 +001100110100 +001100110011 +001100110011 +001100110100 +001100110100 +001100110011 +001100100001 +001000010000 +001000010000 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000010000 +010000100010 +001100100010 +001100100011 +001100110011 +001100110011 +001100100011 +001000010001 +001100100001 +010100110000 +011000110001 +010100110001 +000000000000 +000000000000 +000100010000 +001000010000 +001000100010 +000100010010 +000100010010 +000000000000 +000000010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +100001100010 +100001010001 +100001010001 +011101000001 +011101010001 +011101100010 +011101010010 +011000110001 +010100110000 +001100100000 +001100100000 +001000010000 +010000100000 +010100110001 +010100110000 +010100100000 +010100110000 +010100110000 +010100110000 +010000110000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100100000 +010100100000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +011001000001 +011001000001 +011001000001 +011000110001 +011001000001 +010100110000 +010100110000 +010000110000 +010000100000 +001100100000 +001100010000 +001000010000 +000100000000 +000100000000 +000000000001 +000000010011 +000100100101 +000100110110 +000001000111 +000001000110 +000001000110 +000001011000 +000001011000 +000001000111 +000001011000 +000001011000 +000001101001 +000101101001 +000101101001 +001001010110 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +011001100110 +011001100101 +011001100110 +011001100110 +010101100111 +011001110111 +010101010101 +010101000100 +011101110110 +011001100101 +011001010100 +011101100110 +011001010101 +011001000100 +011001000011 +011001010011 +010100110010 +001100100001 +010101000011 +011001010100 +010101000011 +011001100101 +011101110110 +011101110110 +011101110111 +100001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011101100110 +011001010100 +011101100110 +011101110110 +100001110110 +100001100110 +100001110110 +011101110110 +011101110111 +100010001000 +011110001000 +100010001001 +100010011001 +100010001000 +100001111000 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010001001 +100001110110 +100001110110 +100001110111 +100010001000 +100010001000 +100001110110 +100001110110 +100001110110 +100001100110 +100001100101 +011101100100 +100001100101 +100001100110 +100001100110 +011101100101 +100001110110 +011101110110 +011101100101 +100001100101 +011101010100 +011101100100 +011101010100 +011101010100 +011101100100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +011101100101 +011101100101 +011101100101 +011101110110 +011101100101 +100010000111 +100010000111 +100010001000 +100001110111 +100010000111 +100001110110 +100001110110 +100001110110 +100001100101 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100110001000 +100010001000 +100010001000 +100010000111 +100110000111 +100110001000 +100010000111 +100010001000 +100010001000 +100110001000 +100110001000 +100010000111 +100110011001 +100010001000 +100001110111 +011101110110 +100010011001 +100010011001 +100010001001 +100010011001 +100010011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100010001001 +100010011001 +100010001001 +100010001000 +100010001001 +100010011001 +100010011010 +100010011001 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100110011010 +100010011001 +100010011001 +100110011010 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +011101110110 +011101110110 +011101110110 +011101110111 +011001110111 +011110001000 +100010001000 +011101110110 +001000100010 +000100010000 +001000100001 +011001010100 +011001100101 +011001010100 +011001010100 +010101000011 +011001010100 +011001010100 +001100110010 +001000100001 +010101000011 +010101000011 +011001010101 +011101100110 +011001010100 +010101000010 +011001010100 +011101110110 +011001010100 +011001100101 +011101100101 +011101100100 +011001010011 +010101000011 +011001000011 +011001010100 +011101100101 +011001010100 +011001000011 +010101000011 +011001010011 +010101000011 +011001010011 +010101000011 +001100100001 +001000100001 +001100100001 +001000010001 +001100100010 +001100100010 +001100100010 +001100100010 +010000110011 +010101010101 +010101000100 +010001000100 +010000110011 +010101000011 +011101010101 +100001110110 +101110010111 +101110010110 +101110010111 +101010010111 +100101110101 +101010010110 +110010111000 +110110111001 +110110111001 +110010111000 +110010100111 +101110100110 +101110010110 +101110100111 +101010000101 +101010000101 +101010010101 +010101000011 +001100110010 +001100110100 +010001010110 +001100110100 +001000100011 +010001000101 +001100110100 +001100110011 +001000010001 +000100010001 +001000010001 +001000100010 +001100110011 +001100110011 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100100010 +010001000100 +001000100011 +001000100011 +001100110011 +001000100011 +001000100011 +000100010010 +001000100010 +001100100000 +010100110001 +001100010000 +000000000000 +000000000000 +001000010000 +001000010000 +001000100001 +001000100010 +000100010010 +000000000000 +000100010001 +000000010000 +000000010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100010001 +101001110010 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101010001 +010100110001 +010000100000 +001100100000 +001000100000 +000100000000 +001000010000 +001100100000 +010100110000 +010100110000 +011000110001 +010100110000 +010000100000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100100000 +010100110001 +011001000001 +011001000001 +011001000001 +011001000001 +011000110001 +010100110000 +010100110000 +010000110000 +001100100000 +001100010000 +001000010000 +000000000000 +000100000000 +000000000001 +000000010011 +000100100101 +000100110110 +000001010111 +000001000110 +000001000111 +000001011000 +000001000111 +000001000110 +000001011000 +000001011000 +000001111010 +000101111010 +000101011000 +001101010101 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001000100010 +010000110011 +010101010100 +010101100110 +011001100111 +011001100111 +011001100101 +011001010101 +011101100110 +011001010100 +010100110010 +011001010101 +011101110111 +011101100110 +011001010100 +011101100100 +011001010011 +010000100001 +001100010001 +001100010001 +001000010000 +010000110010 +011001010011 +011001000011 +011001010100 +011101100110 +011101110110 +011101110111 +011101111000 +011110001000 +011110001000 +011101110111 +011001010100 +011001010101 +100010001000 +100001110111 +100001110110 +011101100110 +011101110110 +011101110111 +011101110111 +011101100110 +011101110111 +011110001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011001 +100010011001 +100010000111 +100010001000 +100010001000 +100010011001 +100010001001 +011101110110 +011101100110 +100001110111 +100001110110 +011101100100 +011101010100 +011101100101 +100001100101 +100001100101 +100001100110 +100001110111 +100001110110 +100001110110 +100001110110 +011001010011 +011101010100 +011101010100 +011101010100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101010100 +011001000011 +011101100101 +100001110111 +011101100110 +011101100101 +011101100101 +100001110110 +100001110111 +100010001000 +100010000111 +100110001000 +100010000111 +100010000111 +100010000111 +100001110111 +100001110111 +100010000111 +100001110111 +100010000111 +100001110111 +100110001000 +100010000111 +100010000111 +100110000111 +100110001000 +100010000111 +100001110111 +100010001000 +100110001000 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100001110111 +100010000111 +100010001000 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100110101010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010011010 +100010011001 +100010001000 +100010001000 +100001110111 +100010001001 +100010011001 +100010011001 +100010001001 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010001001 +100010011010 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010001001 +100001110111 +100001110111 +100010000111 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +010101010101 +010101000100 +100001110110 +100010001000 +100010001000 +100010000111 +001100110010 +010101010100 +011101100101 +001000010001 +000000000000 +010000110010 +010000110010 +010001000011 +011001100110 +011001100110 +010101010100 +011101100110 +011101110111 +011101110111 +011101110110 +011101110110 +011001010100 +010101000011 +011101100101 +011101100110 +011001100101 +011101100110 +011001010101 +011001010101 +011101100110 +011001010101 +010000110010 +010101000010 +011001010011 +010101000011 +011001010011 +010000110010 +010000110010 +001100100001 +010000110010 +001100100001 +001100100010 +001100100010 +010101000100 +010101010101 +011001100101 +010101010101 +010101000100 +010101010100 +011101100101 +101110010111 +110010111000 +110010111000 +110010100111 +110010111000 +101110100111 +110010100111 +110010101000 +110110111001 +101110010111 +110010100111 +110010101000 +101110100111 +101110100111 +101010010110 +101010000101 +101110010110 +010101000011 +001000010001 +001000110011 +010001000110 +001100110100 +001000100011 +001100110100 +010001000100 +001000100010 +000000000000 +000000000000 +000100000000 +000100010000 +001000100010 +001000100010 +000100010001 +001000010000 +001100010001 +001100010000 +001100010000 +001000010000 +001100010001 +010000110011 +001100110100 +001000100010 +000100010010 +001100110011 +001000100011 +001000100010 +000100010001 +001000100010 +001100110100 +001000100001 +001100010001 +000000000000 +000000000000 +000000000000 +001000010000 +001000010001 +001000100001 +001000100011 +000000010010 +000000010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000000010001 +000000010001 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +011001000010 +101001100001 +100001010001 +100001010001 +100001010010 +100001010010 +011101010001 +011001000001 +010100110000 +010000100000 +010000100000 +001100100001 +000100010000 +001000010000 +001100100000 +010100110000 +010100100000 +011000110000 +010100110000 +010000100000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110001 +011001000001 +011001000001 +011000110000 +011000110001 +010100110000 +010100110000 +010100110000 +010000100000 +001100100000 +001000010000 +001000010000 +000000000000 +000000000000 +000000000001 +000000010011 +000100100110 +000101000111 +000001000111 +000001000110 +000001011000 +000001011000 +000001000110 +000001000111 +000001011000 +000001011000 +000001111010 +000101111010 +000101000110 +001101010101 +001101000011 +001101000100 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000100010001 +001000110011 +010001000100 +010101010100 +011001100101 +011001100101 +010101000011 +010100110001 +010101000010 +011101100110 +011101110111 +011001100101 +011101100101 +011001010100 +010100110010 +010000100001 +010000100001 +010000100001 +010100110010 +011001000010 +010100110001 +010000100001 +010101000010 +010101000011 +011001010100 +011101100110 +011101110111 +011110001000 +011110001001 +011101111000 +011101110110 +011110001000 +011110001000 +011101110111 +011101110111 +011101111000 +011101110111 +011101110110 +011101100101 +011101100110 +100010000111 +011110001000 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010001000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100010001000 +100001110110 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101010011 +011101010100 +100001100101 +011101100100 +011101010100 +011101010100 +011001010011 +011101010100 +011101010100 +011101100100 +011001010011 +011001000010 +100001110110 +100001110111 +011101100110 +011001010100 +011101100101 +011101010101 +010101000011 +011001000011 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100001110111 +100001110111 +100010000111 +100110001000 +100010001000 +100010000111 +100001110111 +100001110110 +100010000111 +100110001000 +100001110111 +100001110110 +100010001000 +100110001000 +100010001000 +100110000111 +100001110111 +100001110111 +100010000111 +100010001000 +100110001000 +100010000111 +100010001001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011001 +100010011010 +100010011010 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011010 +100110011010 +100110011010 +100010011010 +100010011010 +100110011010 +100010001000 +100010011001 +100010011001 +100110011010 +100110011010 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001001 +100010001000 +100001110111 +100001110110 +011101110111 +100010001000 +100010001000 +100010001000 +010000110011 +010101000100 +100001110111 +010001000011 +000000000000 +010001000011 +011001100101 +010101000100 +011001010101 +011001100101 +011001100101 +011101100110 +011101110111 +011101110111 +011101111000 +011101110111 +011101100110 +011101100101 +011101100110 +011001100110 +010101010101 +010101010101 +011001100110 +010101010101 +010101010100 +011101100101 +011001100101 +011001100101 +011101100101 +011001010100 +010100110010 +011001010011 +010101000011 +010100110010 +010101000010 +010000110010 +010000110010 +010000100001 +001100100001 +001100100001 +001100100010 +010000110011 +010101000100 +001100110010 +010101000100 +011001010100 +011101100100 +100001110101 +100110000110 +101010000110 +101110010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010111 +110010100111 +110010111000 +101110010110 +110010100111 +101110010110 +011101100100 +001100100010 +001100110011 +010001000101 +001000100011 +001000100011 +001100100011 +001100100011 +000100010001 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000100010001 +000000000000 +000100000000 +001000010000 +000100000000 +001000010001 +001100110011 +001101000100 +001000100011 +000000000000 +001000100010 +001100110011 +000100010010 +000100010001 +000100010001 +001100110100 +010001000101 +001000100011 +001000100010 +000100100010 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +001000100011 +000100100011 +000100010010 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000000010001 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +100101100010 +100001010001 +100001000001 +011101000001 +100001010001 +011101010001 +011101000001 +011000110001 +010100100000 +010000100000 +010101000001 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110001 +001100010000 +001100100000 +010000100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000110000 +010100110001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000110000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000000000 +000000000000 +000000010011 +000100110111 +000101001000 +000001000110 +000001000111 +000001011000 +000001000111 +000001000110 +000001010111 +000001000111 +000001011000 +000001111010 +001001101001 +000100110100 +001101000100 +001101000011 +001101000100 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +001000100001 +010000110010 +010101010011 +011001010011 +011001000011 +011001100101 +011101110111 +011101100110 +011101110111 +011101100110 +011001010100 +010100110010 +010100110010 +010100110010 +011001000010 +011101000011 +011001000010 +010000100001 +001100010001 +000100000000 +010100110010 +011101100101 +011001100110 +011001100110 +011101110111 +011101110111 +100010000111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011101110111 +011101100101 +011101100100 +011101100110 +100010001000 +011110001000 +100010000111 +100010001000 +100010011001 +100010001000 +011110001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001001 +100010001000 +100001110111 +011101100101 +011101010011 +011101100100 +011101100100 +011101100100 +011101010100 +011001000011 +011001000010 +011101000010 +011101010100 +011101010100 +011000110010 +011001000011 +011101100101 +011101100101 +011101100101 +011001010100 +011101100101 +011101110110 +011001010011 +011101010100 +100110000111 +100001110111 +100010001000 +100010001001 +100010000111 +100001110110 +100010000111 +100010001000 +100010001000 +100010000111 +100010000111 +100001100101 +100001110110 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100110001000 +100010000111 +100010000111 +100001110111 +100010001000 +100010000111 +100010001000 +100010011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010001000 +011101110110 +011101110111 +011110001000 +011110001001 +100010001000 +100010000111 +100010000111 +100010001000 +100001110110 +100001100101 +011101100101 +011101100101 +011101110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110110 +011001010101 +100001110110 +100001110111 +011001010100 +011101100101 +100010001000 +011101110111 +011001100101 +011101100110 +011101110111 +011101110111 +011001100101 +011101100110 +011101110110 +100001110110 +011101110111 +011001110111 +011001100110 +011001100110 +011001100101 +010000110010 +011001010100 +010101010100 +011001100101 +011001100110 +011101100101 +011101100101 +100001100101 +010101000011 +010101000011 +010100110010 +010101000010 +010101000010 +011001000010 +010100110010 +010000110010 +010001000011 +010000110010 +010000110010 +001100100001 +001100100001 +010101000011 +010000110010 +001100110010 +001100100010 +010000110010 +010101000011 +010101000011 +011001010011 +100001110101 +101110010111 +101010010110 +101110010110 +101110010110 +101110010101 +101110010110 +110010010110 +101110010101 +011101010011 +001100100001 +001100110011 +001100110100 +000100010010 +001000100011 +001100110011 +001000100010 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100000000 +000100000000 +000000000000 +000100000000 +000100000000 +000100000000 +010000110100 +001101000100 +001000100011 +000100010001 +000000000000 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +001000010001 +001000100010 +001000100010 +001100110011 +001000110011 +001000100011 +001100110100 +001100110100 +001100100010 +000100010000 +001000100011 +000100100011 +000100010010 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010000110001 +101001100010 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +010100110000 +010000110000 +011001000001 +011001000001 +001100100000 +010000100000 +011001000001 +010000100000 +001100010000 +001100100000 +010000100000 +010100110000 +010000100000 +001100010000 +001100100000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110001 +010100110000 +010100110000 +011000110001 +011000110001 +010100110000 +010100100000 +010100110000 +010100110000 +010000100000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000000000 +000000000000 +000000100100 +000001001000 +000001000111 +000000110101 +000001000111 +000001000111 +000000110110 +000001000110 +000001010111 +000000110110 +000001101001 +000001111010 +001001101000 +000100100011 +001101000100 +001101000100 +001101000100 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100110010 +010001000011 +011001100110 +011001110111 +011101110111 +011101111000 +011101110111 +011101100101 +011001010011 +011101010100 +011101010100 +011001000011 +011001000010 +011000110010 +010100110010 +001100010001 +000100000000 +010000100001 +010100110011 +010101000100 +011001010101 +011001010100 +010001000011 +010101010100 +011001010101 +011101110111 +011110001000 +100010001001 +011110001001 +011110001000 +011101110111 +011101110111 +011101110110 +011101100101 +100010000111 +100010001001 +100010001000 +100010000111 +100010001000 +100010000111 +011110000111 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001000 +100001110111 +100001110110 +011101110110 +011101100101 +011101100101 +100001100101 +100001100101 +011101100101 +011001000011 +011001000010 +011001000010 +011001000010 +011101000011 +011001000011 +011101100101 +011101100101 +011001010100 +011001100101 +100010001000 +100010001000 +011101010101 +100001110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100110011001 +100010001000 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100001110111 +100010000111 +100001110110 +100010001000 +100010001000 +100001110111 +100001110111 +100010001000 +100010011001 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +100110011010 +100110011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011001 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100010011010 +100010011010 +100010011001 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010000111 +011101100101 +100001110110 +011110001001 +100010001001 +100001110110 +100001110110 +100001110110 +100010001000 +100001110110 +011101100100 +011101100100 +011101100101 +011101100110 +011101110110 +100010000111 +100001110111 +100001110110 +100001110110 +100001110111 +100001110110 +011101100101 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100110011001 +100010001000 +100010001000 +011001100110 +010101010101 +010101010100 +011001100101 +011101110111 +011001100110 +011001100110 +011101110111 +011001110110 +010101000011 +010000110010 +010101000100 +010101010100 +011001100101 +100010000111 +100001110111 +011001010100 +011001010011 +011001000011 +010101000010 +011001000011 +010101000010 +010100110010 +010100110010 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100100001 +001100100010 +001100100010 +001000100001 +001000010001 +001000010001 +001100100010 +010000110011 +011001010100 +010101000011 +011001010011 +100001100100 +100101110101 +101010000101 +101010000101 +101110010110 +100001100100 +001100100001 +001100110011 +001101000100 +000100100010 +001100110100 +001100110100 +001000100011 +000100000000 +000100010000 +001000010000 +001000010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +001000100011 +001100110100 +000000010001 +000000000000 +001000100010 +001000100010 +000100010001 +000100010000 +000100000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000010001 +001000100010 +001000100011 +001100110011 +001000100010 +001000100001 +000100010000 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +011001000010 +100101010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110000 +010100110001 +011001000010 +011101010010 +011101010001 +010000110000 +011000110000 +100101010010 +011000110001 +001000010000 +001000010000 +001100100000 +010000110000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110001 +010100110000 +010100110001 +010100110000 +010100110000 +010100110000 +010100110000 +010000110000 +010000100000 +001100010000 +001000010000 +000100000000 +000000000000 +000000000000 +000000100101 +000001000111 +000000110110 +000000110110 +000001010111 +000000110110 +000000110110 +000001000111 +000001000111 +000000110110 +000001101001 +000101111010 +000101000110 +000100100010 +001101000100 +001101000100 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100010 +001100110100 +001101000100 +010001010101 +010001010110 +010101100110 +011001110111 +011101100110 +011001100101 +011101100101 +011101100101 +011001010011 +011001000010 +010100100001 +010100100001 +010000100001 +001100010000 +001100010000 +010000100001 +001100100001 +011101010100 +011001000011 +000100000000 +001000010000 +010000100010 +010101000011 +010101000011 +011001100110 +011110001000 +011110001001 +011110001000 +011110001000 +011101110111 +100010000111 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010011001 +100010011001 +100001110111 +011101100101 +100001110101 +100001110111 +100010001000 +011101100101 +011101010011 +011101010100 +011001000010 +011000110010 +011001000010 +011001000010 +011001010011 +011001010100 +011101110110 +011101110111 +011101110110 +100001110110 +100001110110 +100010001000 +100001110111 +100010001000 +100010001001 +100010001000 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010011001 +100010001000 +100010001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +011101110111 +100010001000 +100010001000 +100010011001 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100110011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011000 +100010001000 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100001110111 +100010011001 +100010011010 +100010011001 +100010011001 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010001000 +100001110111 +011101110110 +100010001001 +100010001000 +100001110111 +100001110110 +100001110110 +100010001000 +100010001000 +100001110110 +100001100101 +011101100101 +011101110110 +011101110110 +100010001000 +100001110110 +011101100101 +011101110101 +100001110110 +011101100101 +011101100101 +011101100101 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010011001 +100010011001 +011101110110 +011101100110 +100001110111 +100010000111 +010101010101 +001100100010 +010101000100 +010101000100 +010101010101 +011001100101 +010101000100 +010101000011 +010001000011 +011001100101 +011101110110 +100010000111 +100010001000 +100001110110 +011101100101 +100001110101 +011001010100 +010101000011 +010000110010 +010100110010 +010101000011 +010000110011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000010 +010000110010 +010000110010 +010101000011 +010101000011 +001100100010 +001000100001 +001000010001 +001000100010 +010000110011 +001100100010 +001000010001 +001100100010 +001100100010 +010101000100 +011001010101 +011101100110 +100001110101 +011001010011 +001000010001 +001000100010 +001101000100 +000100100010 +001100110100 +001100110100 +001100110011 +001000010001 +000100010001 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010000 +001000010000 +000100000000 +000100000000 +000000000000 +000100010001 +000100010001 +000000000000 +000100010001 +001000100010 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +001000010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +100101100011 +100001000000 +011101000001 +011101000001 +011001000001 +011001000001 +010100110000 +010000110000 +010101000001 +100001010001 +100101100010 +101110000001 +011101010001 +011101000001 +101001100010 +100001010001 +001100100000 +001000010000 +001100100000 +010100110000 +010100110000 +010000100000 +001100100000 +001100100000 +010000100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000000001 +000000110101 +000000110110 +000000110101 +000000110110 +000001000110 +000000110101 +000001000110 +000001000111 +000000110101 +000001000110 +000001101010 +000101101001 +000000110100 +001000110011 +001101000100 +001101000100 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100010 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001000101 +010101010100 +010101010100 +011001100101 +011001010100 +011001000011 +010100110001 +010000100001 +010000100001 +010000100001 +010000100001 +010000100001 +010100100001 +010000100010 +010100110010 +010100110010 +001000010000 +001100100001 +001100100001 +001000010000 +001000010001 +001000010001 +010001000011 +011001010101 +011101110111 +011101100101 +011101100101 +100001110110 +100001110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010011001 +011110001000 +100010001001 +100010001000 +011101110110 +011101110111 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100001110111 +011101100101 +011101110110 +100010001000 +011001010011 +011001000011 +100001110110 +011101010100 +011001000010 +011001000010 +011001000010 +011001000010 +011001010011 +011101110110 +100001110111 +011101100110 +011101110110 +100010000111 +100010000111 +100001110111 +100010001000 +100010001001 +100010011001 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100110011001 +100010011001 +100010001000 +100010000111 +100001110111 +100010000111 +100010000111 +011101100110 +100001110111 +100010011001 +100110011001 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100110011010 +100010011001 +100010011010 +100010001001 +100010001001 +100010011001 +100010011001 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100001110111 +100010000111 +100010001000 +100001110111 +100001110111 +100010000111 +100001110101 +100001110110 +011101100101 +011101100101 +011101100110 +100010001000 +011101110110 +100001100101 +100001110101 +100001110110 +100001110111 +100001100101 +011101100100 +100010000111 +100001110111 +100001110110 +100010001000 +100010001000 +100010011001 +100010001000 +100001110111 +011101100101 +011101100101 +100001110111 +011101100110 +001100100010 +001100110010 +001000100001 +011101100110 +100001110111 +011101100101 +010000110010 +010001000011 +010101010100 +011001100101 +011101110110 +100010000111 +011101110111 +011001010101 +011001100101 +100001110110 +011101100101 +010000110010 +010000110010 +010101000011 +010000110010 +010101000011 +010100110010 +010000100001 +011001000011 +011001010011 +011001000011 +011001000011 +011101010100 +100001110110 +011101100110 +010101010100 +010000110011 +001100110010 +010000110011 +001100100001 +001100100001 +001100100001 +001000100001 +001100110011 +001100110011 +010001000101 +010101010101 +010101000100 +010000110011 +001000100010 +001100110011 +000100010010 +000100010001 +000100010001 +001000100010 +000100010001 +000100000000 +001000010001 +001000010001 +001000100001 +001100100010 +010000110010 +010000110010 +001100100001 +001100100001 +001000100001 +000100010001 +000000000000 +000000000000 +000100010001 +001100110011 +001000100010 +000100010001 +001000010001 +000100010000 +000100010000 +000100010001 +001000010001 +000100010000 +001000010001 +001000010001 +001000010001 +001100100010 +001100110010 +001100100001 +001000010001 +001100100001 +001000010001 +001000010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010000100001 +100101100010 +011101000000 +011101000001 +011001000001 +011001000000 +011001000001 +010100110001 +010100110001 +011101000001 +101101110001 +110110100001 +111010110001 +101110000001 +100001000001 +101001100010 +100101100010 +010000110000 +001000100000 +010000110000 +011001000001 +011101000001 +010100100000 +001100100000 +001100010000 +001000010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100100000 +001000010000 +000000000000 +000000010001 +000000010011 +000000100011 +000000100100 +000000110110 +000000110101 +000000110101 +000001000111 +000001000110 +000000100100 +000001000111 +000001101001 +000101101001 +000000010010 +001000110011 +001101000011 +001101000100 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010100 +010101000011 +010000110001 +010000100001 +010000100001 +010000100001 +010000100001 +010000100001 +010000100001 +010100100010 +010100100001 +010100110010 +001100100001 +010000100001 +010000100001 +010000100001 +010000100001 +001100010001 +011101010101 +011101110111 +100010001000 +011001010100 +010000100001 +010100110010 +011101100100 +100001110101 +011101110110 +100010001000 +100010001001 +100010001001 +011110001001 +011110001001 +011110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010000111 +011110001000 +011101110111 +011101110111 +100010001001 +100010011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010001000 +100010001001 +100010011001 +100001110111 +011001010100 +011101100110 +100001110111 +011101100100 +011001010011 +011101010100 +011001000010 +011001000011 +011101110110 +011101100110 +011101010100 +011101100101 +011101110111 +100001110111 +100001110111 +100001110111 +100010001000 +100010011001 +100010001000 +100010001000 +100110011001 +100010001001 +100010000111 +100010000111 +100001110111 +100001110110 +100001110110 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010011000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100001110111 +100010000111 +100010001000 +011101100110 +100001110111 +100010000111 +100010011001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100110011010 +100110011010 +100010011010 +100110101011 +100010011010 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010001000 +100010001001 +100010011001 +100010000111 +100010000111 +100010001000 +100010000111 +100001110110 +100010000111 +100010000111 +100001100110 +011101100110 +011001100101 +011101100110 +100010001000 +100001110111 +100001110110 +100001110101 +100010000111 +100010001000 +100001110110 +011101100101 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +100010001000 +100001110111 +100001110111 +011101100110 +011101110110 +011101100101 +011101100101 +011101110110 +011101110111 +010101010101 +011110001000 +100010001001 +100010001000 +011001010101 +011101100110 +100010000111 +011001010101 +011101100110 +100001110111 +011001100101 +001100100010 +001000010001 +011001010101 +011101100110 +011101100101 +010101000011 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010000100001 +010100110010 +011001010011 +011001010011 +011101010100 +011101100101 +100010001000 +011101110111 +011101100110 +011001010101 +011001010100 +010101000011 +010000110010 +010101000011 +010000110010 +010000110011 +001000100001 +001000010001 +001100110011 +010001000100 +010000110011 +001100100010 +001000110011 +000100100010 +000000000000 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +000100010001 +001000010000 +001100100001 +010000100010 +010000110010 +010000110010 +001100100001 +001100100001 +001100100001 +000100000000 +000000000000 +001000100010 +001000100011 +001000100011 +000100010000 +000100010000 +001000010001 +001000010001 +001100100010 +001100100010 +001000100001 +001100100001 +001000010000 +001000100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000010001 +001100100010 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +001000100010 +001000100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +011101000010 +100001010001 +011001000001 +011001000001 +011001000001 +011101000001 +011001000001 +011001000001 +011101000001 +101001100010 +110110010001 +111111000000 +111110110001 +111010100001 +100001010001 +101001100010 +101001110010 +010101000001 +001100100001 +001100100000 +011001000001 +100001000001 +011001000001 +010000100000 +001000010000 +001000000000 +001000010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100110000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +001100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000100100 +000000110101 +000000100100 +000000110110 +000001000111 +000000110101 +000000010100 +000001010111 +000001101001 +000101011000 +000000010001 +001000110100 +001101000011 +001101000100 +001101000100 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001100110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010100 +010001000100 +010000110010 +010000110010 +010000110001 +010000100000 +010000100001 +010000100001 +010000100001 +010000100001 +010000100001 +010100110001 +010101000010 +010100110010 +011000110010 +010000100001 +011001010100 +100010000111 +100001110111 +011001000011 +001000000000 +001000010000 +011001000011 +011001000011 +010001000010 +011001110110 +011110001000 +100010001000 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +100010001001 +011110001001 +011110001001 +100010011001 +100010001001 +011110001000 +100010001000 +100010001001 +100010011010 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100010001000 +011101100101 +100001110111 +011101100101 +011001010011 +100001110111 +100001110111 +011001010011 +011101100101 +100010000111 +100001110111 +100001110110 +011101110110 +100010001000 +100010011001 +100110011001 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010000111 +100001110110 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011001 +100010011001 +100010001001 +100110011001 +100010001000 +100010001000 +100010000111 +100001110111 +011101110110 +100010000111 +100010001000 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100110101011 +100010011010 +100010011001 +100010011010 +100010011001 +100010011010 +100010011001 +100110011010 +100110011011 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100010000111 +100001110110 +011101100101 +011001100101 +011001100101 +100010001000 +100010000111 +100001110111 +100001110110 +100001110110 +100010000111 +100001110110 +100001110110 +100001110101 +100001110110 +100001110110 +011101110110 +100001110110 +100010000111 +100010000111 +100010000111 +011101110111 +011101110111 +011101110110 +011101100100 +011101100110 +100001110111 +100001110110 +100001110111 +100010001000 +011101110110 +011101100110 +011101110111 +011110001000 +011101110111 +100010000111 +100010001000 +100010001000 +011101110111 +011001010101 +011101100101 +011001010100 +011101100101 +011001010100 +011001010100 +010101000011 +010000110010 +011001010100 +011101100110 +010101000100 +010101000011 +011001010100 +011101100100 +011001000011 +010101000010 +011101110111 +011101110111 +011101110111 +011001100110 +011001100101 +011001100101 +010101010100 +010101000011 +010000110010 +010000110011 +010000110010 +010000110010 +001100100001 +001100100001 +001100110010 +001100100001 +001000100010 +001000110011 +000000000001 +000000000000 +000000000000 +001100110011 +010101010110 +010101010101 +010001000100 +010001000100 +001100100010 +001000010001 +001000010000 +001000010000 +001000100001 +001100100001 +001100100001 +001000010000 +000100010001 +001000100010 +001000100010 +000100010001 +000100010000 +000100010000 +000100010000 +001000010000 +001000100001 +001000010001 +001000010001 +001000010001 +001000010000 +001000100001 +001100100010 +001100100010 +001100100001 +001000010001 +001000010001 +001100100010 +010000110011 +010101000011 +011101010101 +011001010101 +011001010100 +010101000100 +011001010101 +011001010101 +010101010100 +010101000100 +010000110011 +001100100010 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +100001010010 +100001000001 +011101010001 +011101010010 +011001000001 +011101000001 +011000110000 +011000110000 +100101010001 +111010010010 +110110000001 +111010110000 +111110110001 +111010110001 +100101100001 +100101100001 +101101110010 +011001000001 +001100110001 +010000110001 +010000100000 +011101000001 +100001010001 +011001000001 +001100010000 +001100010000 +001100010000 +001000000000 +001000010000 +001100100000 +001100100000 +010000100000 +010000100000 +010000110000 +010100110000 +010000110000 +010000100000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +000100000000 +000000000000 +000000000000 +000000000000 +000000100011 +000000110100 +000000100011 +000000110110 +000000110110 +000000100011 +000000100100 +000001000111 +000101101001 +000101000110 +000100010001 +001001000100 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001100110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010110 +010101100110 +010101100110 +010101010101 +010101000100 +010000110010 +010000100001 +001100100000 +010000100000 +010100100001 +010000100001 +010000100001 +011001000011 +010100110010 +010000100001 +010000100001 +010100110001 +011101010100 +011101010011 +011000110010 +010000100001 +010000100001 +011001000010 +010101000010 +010100110010 +010101010100 +011001010100 +010101000011 +011001100101 +011101110110 +100010000111 +100010011001 +100010001001 +100010011001 +011110011010 +011110001001 +011110011001 +011110011001 +011110001001 +011110001000 +011110001000 +100010011001 +011110011001 +100010011001 +100010001001 +100010001001 +011110001001 +011110011001 +011110001001 +100010001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +011110001000 +011101110111 +011110000111 +011101110111 +011101100101 +011101110111 +100010001000 +011101110111 +100010001000 +100010001000 +100010000111 +100001110111 +011101110111 +100010001000 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +011001100101 +011101110110 +011001000011 +011001000010 +011101100110 +100010001000 +100010001001 +100010011001 +100010001001 +100010001000 +100010011001 +100010001001 +100010001000 +100010001001 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010001001 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100110011010 +100110101011 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011001 +100010001001 +100010001001 +100110011001 +100110001000 +100001110110 +100001110110 +100010000111 +100001110110 +011101100110 +011001100101 +011101100101 +100001110110 +100001110110 +100001110111 +100001110110 +100001100101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001110110 +011101110110 +011101110110 +011101110111 +100001110111 +011101110111 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110110 +011101100101 +100001110111 +011101110111 +011101110111 +011101100110 +011101100101 +011101110111 +100010001000 +100010001000 +011001100110 +001000100010 +001000100001 +011001100110 +010101000100 +001100110010 +001100100001 +001100100001 +010101000100 +011101100110 +011101110110 +011101100101 +011001010100 +011101100101 +010000110010 +010001000011 +011101110110 +011101110111 +011101110111 +011001010101 +011101100101 +011101100101 +011101100101 +011001010100 +010100110010 +010100110010 +010000110001 +010000100001 +001100100001 +001000100001 +001100100001 +001100110010 +001000100010 +001000100010 +000100100010 +000000000000 +000000000000 +000100010000 +001100110011 +010000110011 +001100100010 +001100110010 +010000110011 +010101000011 +010000110011 +010001000100 +010001000100 +010001000011 +001100110010 +001000010001 +001000100010 +001100110100 +000100010001 +000100010000 +001000100001 +001000010001 +001000010001 +001000010001 +000100010000 +001100100010 +001100100010 +001000010001 +001100100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100001 +001100100010 +001100100010 +010000110010 +010000110011 +010101000011 +010101000100 +010101000100 +011001010101 +011101100101 +011101100101 +011001010100 +011101100101 +100110000110 +100101110101 +011101100101 +011001010100 +010101000100 +010000110011 +001000100001 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100100001 +100101100010 +011101000001 +011101100100 +100001100101 +011001000001 +011000110000 +010100110000 +011101000001 +110110010001 +111110110000 +111010010001 +111010100001 +111010100001 +111010100001 +101010000001 +100001010001 +101001110010 +011101010010 +010000110001 +011001000010 +010100110001 +010100110001 +100001000001 +100001010001 +010100110000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000110000 +010000100000 +010000100000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001000010000 +000000000000 +000000000000 +000000000001 +000000010011 +000000010010 +000000100011 +000000110110 +000000110101 +000000010011 +000000100100 +000001000110 +000101011000 +000100100011 +000100100010 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010101 +010001000011 +010000110010 +010000100001 +010000100001 +010000100001 +010100110001 +010000100001 +010000100001 +010000100001 +010000100001 +010100110001 +011001000001 +011001000001 +010100110001 +010100110001 +011000110001 +010100110001 +010100110001 +011101010011 +011001000010 +001000010000 +010101000011 +011001000011 +010101010100 +011110001000 +100010001000 +100010001001 +100010011001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100001110111 +100001110111 +100001110111 +100001110111 +100010001000 +011101100110 +011101010100 +011101010011 +011001000011 +011101100110 +100010001000 +100010001001 +100010011001 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100110011010 +100110011010 +100010011010 +100010101011 +100010011010 +100110101010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001000 +100010001001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100110001000 +100010000111 +100010000111 +100001110110 +011101100110 +011001100101 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001110101 +100010000110 +100010000111 +100001110101 +100001110110 +100001110110 +100001110110 +100001100101 +100110000111 +100001110110 +011101100101 +011101100101 +011101100110 +011101110110 +100001110110 +011101110110 +011101110110 +100001110110 +011101100101 +011101100101 +100001110101 +011101100101 +010101010100 +011101100101 +011001010100 +011001000011 +011101100101 +100010000111 +100010001000 +011101110111 +011001100110 +011001100101 +011101110111 +100010001000 +100010001000 +100001110111 +010101000100 +001000010001 +010000110011 +010001000011 +011001010100 +011001010100 +010101010100 +011001010100 +011001100101 +011101110101 +011101110110 +011101110110 +011101010100 +011001010011 +011001010100 +011001000011 +011001010011 +011001000010 +011001000010 +011001000010 +010100110010 +010101000011 +010001000011 +001100100001 +001000010000 +001000100010 +000100100010 +001000100011 +001000010001 +001000010000 +001100100001 +010000100001 +010000100001 +010000110010 +001100100001 +001100100001 +001100100001 +010000110010 +001100110010 +001100100010 +010000110011 +010001000011 +010101010101 +010001000100 +001000100010 +000100010000 +000100010001 +001000010001 +000100010000 +000100010000 +001000010001 +000100000000 +001000010001 +001100100001 +001000010001 +001000010000 +001100100001 +010000110010 +010000110010 +001100100010 +001100100010 +010101000011 +010101000100 +010000110011 +010000110011 +010101000011 +010000110011 +010101000100 +010101010100 +011001010101 +011101100101 +011101010101 +011101100110 +100110000110 +101010000111 +101010000110 +100101110101 +100001110101 +100001100101 +011101100101 +011101100101 +011001010100 +010101000100 +001100110010 +001000100001 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010100110001 +011101000001 +010100110010 +100001111000 +011101100110 +010000100001 +010000100000 +011000110001 +100001010010 +111010100010 +111010110001 +111010110000 +111010100001 +110010010010 +101110010010 +100001100010 +010101000001 +100001100001 +100001100010 +010100110010 +011101010001 +011101010001 +010000100001 +010100110001 +100001010001 +011101000001 +010100100000 +001100010000 +001100010000 +001100100000 +001100100000 +001100010000 +001100010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100010000 +001100100000 +010000100000 +010100110000 +010100100000 +010000100000 +010000100000 +010000100000 +010000100000 +001000010000 +000000000000 +000000000000 +000000000001 +000100010100 +000000000010 +000000010010 +000000100100 +000000100100 +000000010011 +000000100101 +000100110110 +000101010111 +000000010001 +001000110011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010001010101 +010001000100 +001100110011 +001100100010 +010000110010 +010000100001 +001100100001 +010000110001 +010000100001 +010000100001 +010100110001 +011000110001 +011000110001 +010100100001 +010100110001 +010100110001 +011000110001 +010100110001 +010100110001 +010100110010 +010100110001 +011101010100 +010000110001 +010100110011 +100010001000 +100010001000 +011101110111 +100001110111 +011101110111 +011101110111 +011110001000 +100010011010 +100010001001 +011110001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100001111000 +100001110111 +100010001000 +100010001000 +100001110111 +100001110111 +100010001000 +100010001000 +011101100110 +011101100101 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100010000111 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100110011011 +100010011010 +100110011010 +100110101010 +100110011010 +100110011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010001000 +100001110110 +100010000111 +100010000111 +100001110111 +011101110110 +011101110111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110101 +100001110110 +100001110101 +100001110110 +100001110101 +100001100101 +011101100101 +100101110111 +100001110111 +100001110110 +100010000110 +100010000111 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +011001010100 +010101000011 +011101100101 +011101100101 +100001100101 +011001010011 +011001010100 +011001010100 +011101100101 +011101010100 +011001000010 +011101100100 +100010000111 +100010001000 +011101100110 +011101100101 +011101100110 +010101000100 +011101010100 +100001110110 +100001110111 +011101100110 +010000110011 +011001100110 +100001110111 +100001110111 +011101110110 +010001000011 +010000110010 +010101010100 +010001000010 +010101000011 +011001100101 +100001110110 +011101100110 +011101100110 +011001010100 +010101000010 +010101000010 +011001000010 +010100110010 +010100110010 +010101000011 +011101100101 +011001010100 +010100110010 +001100100010 +001000100011 +001000110100 +001000100010 +001100100001 +001100100001 +010000110010 +010100110010 +010100110010 +010101000011 +010001000011 +010000110010 +010000110010 +001100100001 +001100100010 +001000100001 +001000010001 +010000110011 +001100110011 +000000000000 +000100010001 +001100110010 +010001000100 +010001000100 +001100110011 +001000100010 +001100100001 +001100100010 +010000110011 +010000110010 +010000110010 +001100100010 +010000110011 +010100110011 +010000110010 +010000110010 +010101000011 +011001010101 +010000110011 +010000110011 +011001000100 +011001010101 +011101100101 +011101100110 +100001110111 +100001110110 +011101100110 +011001010101 +011101100101 +101010000110 +101010000110 +101010000110 +100101110101 +100101110110 +100001110101 +100101110110 +100001110101 +100101110110 +101010010111 +100101110110 +011101100101 +011001010100 +011001010100 +010000110011 +001000100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100110010 +010001000011 +011001010101 +010001000011 +000100010001 +011101010011 +011001000011 +011101100110 +011101111000 +010000110010 +001100100001 +010000110000 +011001000001 +010000110001 +100001100001 +110010010010 +110110010001 +110110010001 +101001110001 +011001010001 +001100110001 +001000100001 +011101100010 +011101010001 +010101000001 +100001010001 +100101100010 +011101000001 +010000100000 +011101000001 +100001010001 +011000110001 +010000100000 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001000010000 +000100000000 +001100010000 +010000100000 +010000100000 +010100100000 +010100100000 +010100110000 +010100110000 +010000100000 +001000010000 +000000000000 +000000000000 +000000000001 +000000100100 +000000000010 +000000010010 +000000010011 +000100100101 +000000000011 +000100110101 +000100110111 +000000110101 +000000010001 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010001010110 +001101000100 +001100110011 +001000110011 +001000110011 +001000100010 +001100110010 +010000110011 +010000110010 +010000110001 +010100110001 +011001000001 +011000110001 +010000100000 +010000100001 +010000100000 +010100110001 +010100110001 +010100100001 +011000110010 +011000110010 +011101010011 +010100110010 +011001000011 +100010001000 +011101110111 +001100100010 +010000110011 +100001110110 +100001110110 +011101100110 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110001001 +100010001001 +011110001000 +100010001001 +100010011010 +100010011001 +100010011001 +100010001001 +100010001001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010001001 +100010011001 +100010001000 +100010001000 +100010001001 +100010001000 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +100001110111 +100010001000 +100001110111 +100001110111 +100010001000 +100010011001 +100010001000 +100001110111 +100001110111 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +011110001000 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +011110001000 +100010000111 +100001110111 +100010000111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001110110 +100001110110 +100101110110 +100101110111 +100010000111 +100010001000 +100010001000 +100010001000 +100001110111 +011101110110 +100001110110 +100010000111 +011101100101 +010101000011 +011101110110 +100001110110 +010101000010 +001100100001 +010101000011 +010101010100 +011001010100 +011001010011 +010100110010 +010100110010 +011001010100 +100001110110 +011101100101 +011001010100 +011101100101 +011101100101 +010101000011 +010000110010 +011001010100 +011101110110 +100010001000 +100010000111 +100001110111 +100001110111 +100010001000 +011101110111 +100001110111 +100010001000 +011001100110 +010000110011 +010001000011 +011001100101 +011001100110 +011101100110 +011101100101 +011101100100 +011001000011 +001100100001 +010000100001 +010000100000 +010000100001 +011101100101 +011101100110 +011001010100 +010101000100 +001100110011 +001000100011 +001000100010 +010001000011 +001100110001 +010000110010 +011001000011 +010101000011 +011001010100 +011001010100 +011101010100 +011101100100 +011001010011 +010101000010 +010000110010 +001100100001 +001100100010 +000100010001 +000000000000 +000100010000 +000100010000 +001100100010 +010101000100 +010101010101 +010001000100 +010101010101 +010001000101 +010101010101 +011001010101 +011001010101 +010101000011 +010000110010 +010101000011 +011001010100 +010001000011 +010000110010 +010000110011 +010101000011 +010000110011 +010101000100 +011001010101 +100001110110 +011101100110 +011001010101 +011101100101 +011101100110 +011001010100 +011101100101 +100001110101 +101010000110 +100101110101 +100001100101 +100101110101 +100110000110 +100101110101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100101 +100101110101 +100101110110 +100001110101 +100001110101 +011101100101 +011101100100 +010101000011 +001100110010 +001000010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010101000011 +100110000110 +011101010101 +010101000100 +011001010101 +010101000011 +011101100110 +100110000111 +100001110111 +010101010101 +010101000101 +010001000100 +010101000100 +010100110001 +010000110000 +001000010001 +001100110010 +011101100011 +011101100010 +011101100001 +011001010001 +001000100001 +000000010001 +000000010001 +010001000010 +011101100011 +011001010010 +100101100010 +100101100010 +100101010001 +011001000001 +010000100000 +011001000001 +011000110000 +011101000001 +010000100000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100100000 +001100100000 +001100100000 +001100100000 +001000010000 +000100000000 +000100000000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110000 +011000110000 +011000110001 +010000100000 +001100010000 +000000000000 +000000000000 +000000000001 +000000010100 +000000000010 +000000010010 +000000010100 +000100010100 +000000000010 +000100110110 +000101000111 +000000010011 +000100100010 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010001010110 +001101000101 +001100110100 +001100110011 +001000110011 +001000110011 +001000110100 +010001010101 +010101100110 +010101010101 +010101010100 +010101000011 +011001000010 +010100110001 +001100100001 +001100010000 +010000100001 +010100100001 +010100110001 +010100110001 +011000110010 +010100110001 +011101000011 +011101010011 +100001110110 +100010001000 +001100100010 +001100100001 +100001100101 +100001110110 +011001010100 +100010000111 +011101110110 +010101010100 +011101100110 +011101110110 +011101110110 +011110001000 +100010001001 +100010001001 +011110001001 +100010011001 +100010001001 +011110001001 +100010011001 +100010011001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001111000 +100010001000 +100010000111 +100001110111 +100010001000 +100010001001 +100010011001 +100010011001 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001001 +100010001000 +100001110111 +100010000111 +100010001000 +100010000111 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +011110001000 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010011001 +100010001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100110011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100110000111 +100001110110 +100010000111 +100001110111 +100010001000 +100010000111 +011101100100 +011101100101 +100001110110 +100010001000 +100010001000 +100010001000 +100110001001 +011101110111 +011101110110 +100010001000 +100010001000 +011101110111 +100010001000 +100010000111 +011001010100 +011001010011 +011101100101 +011101100101 +011001010100 +011001000011 +011101010100 +011101010100 +011001010100 +011001010100 +011101100101 +011101010100 +011101100101 +011001010100 +011101010100 +011101010100 +011001000011 +010101000011 +011001010100 +011001000011 +011001010100 +100001110110 +011101110110 +011001100101 +011101100101 +011110000111 +100001110111 +011101100101 +100010001000 +100010001000 +011101110111 +100001110111 +011001100101 +011001010100 +011001010100 +010101000011 +010000100001 +010000110001 +010000100001 +011001100101 +011101100110 +011101100110 +100001110111 +010001000100 +000100010001 +010000110011 +010101000011 +010000110010 +010000110010 +010100110010 +010000110001 +010000110010 +011101100101 +011101010100 +011101010100 +011101010011 +011101010011 +011101100100 +011001000011 +010100110010 +001000010001 +000100000000 +001100110010 +001100100010 +001000010000 +001100100010 +010000110010 +010000110010 +001100110010 +001000100001 +001100110011 +010001000011 +010000110011 +010000110011 +010101000011 +011001010100 +011001010101 +011001010101 +010101000100 +010000110011 +010000110011 +010101000011 +010101000100 +011101100101 +011101010101 +011001010101 +010101000100 +011101100101 +011101100101 +100001110110 +011101100101 +011001010100 +100001110101 +100101110101 +100001110101 +100101110101 +100110000110 +101110011000 +101010011000 +101010000111 +100101110110 +100101110101 +100101110101 +100101110101 +100101110101 +100001100101 +100101110101 +100001100100 +100001100101 +100101110101 +100001100100 +100001100101 +011101100100 +011001010100 +010101000011 +001100110010 +001000010001 +000100010000 +011101100101 +100110000110 +100001110101 +011001010101 +011101100110 +011101110110 +100110000111 +100001110110 +011101100110 +010101010101 +001100110100 +001100110100 +010001000101 +001100110011 +001000010000 +000100010000 +000100010001 +001100110011 +001101000011 +001101000011 +001000100001 +000100100001 +000000010001 +000000010001 +000000010001 +000100100001 +010001000011 +010001000011 +011101010010 +100101100010 +100101100010 +100001010001 +010101000001 +010000100000 +010100110001 +100101100010 +011101010001 +010100110000 +010000100000 +001100010000 +001000010000 +001000010000 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000000000 +000100000000 +000100000000 +001100100000 +010000100000 +010100100000 +010100110000 +010100110000 +011000110000 +010100110000 +010000100000 +001100010000 +000100000000 +000000000000 +000000000000 +000000100011 +000000000010 +000000010010 +000100100100 +000100010011 +000000010010 +000100110110 +000101000110 +000000010010 +001000100010 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001000110011 +001101000100 +001100110011 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010101100110 +010001010110 +001101000101 +001100110100 +001000110011 +001000110011 +001000110011 +001000110011 +010001010101 +010001100110 +010101100110 +010101100110 +010101100110 +010101100101 +010101010100 +010000110011 +001100100001 +010000100001 +001100100001 +010000100001 +010000100001 +010100110010 +010100110001 +011101000010 +011101100011 +100001110110 +100010001000 +011001010100 +011001000010 +100001100101 +100001110110 +100001110110 +100110001000 +010101000100 +001000010001 +011101100110 +100010000111 +011101100101 +011101100101 +011110001000 +100010001000 +100010001001 +100010001001 +100010001001 +011110001001 +100010001001 +100010011001 +011110001001 +100010011001 +011110001001 +011110001000 +011110001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100010001000 +100010001001 +100010001001 +100010001001 +100010011001 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010011001 +100010000111 +100001110110 +100010001000 +100010001000 +011110001000 +100010011001 +100010001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001001 +100010011001 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100001110111 +100010001000 +100110001000 +100010011001 +100110011000 +100110000111 +100001110111 +100001110111 +100110001000 +100010001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100010001000 +100010011001 +100010001000 +011101100101 +011001000011 +011101100101 +011101100101 +011001010100 +011001010100 +011101100101 +100101110111 +100001110111 +100001110110 +011101100101 +011001000011 +010101000010 +011001010100 +011101010100 +011101010100 +011001000011 +010100110010 +010100110010 +011001000010 +011001000011 +011001010011 +011001010100 +011001010100 +011101110110 +100001110111 +011101100101 +011001010100 +011110001000 +100010001001 +011001110111 +100010001000 +100010001001 +100010001000 +100110001000 +100001110111 +010101010100 +010000110010 +001100100001 +011001010100 +011001100110 +011001100101 +011101100101 +010101000100 +000000000000 +001000100010 +010101010100 +010000110011 +010100110011 +010101000011 +011001000011 +010101010100 +011001100101 +011001010101 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001000011 +001100100001 +000000000000 +010101010100 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000100010 +001100100010 +001100100001 +001000010001 +000100000000 +000100010000 +001000010001 +001000010001 +001100100010 +001100110011 +001100110010 +010000110011 +010101000100 +010101010100 +011001010101 +010000110011 +010101000100 +010000110011 +010101000100 +011101100110 +100001110110 +011101100110 +011101100110 +011001000100 +100001100101 +101010000111 +101010000111 +100101110101 +100110000110 +101010010111 +101110101000 +101110101000 +101010000110 +100101110110 +100101110101 +100101110101 +100001100101 +100101110101 +100101110110 +100001100101 +100001100100 +100001100100 +100001100101 +100101110101 +100101110101 +100001100101 +100101110101 +100101110110 +100001110110 +011101100100 +100101110101 +100001110110 +001100110100 +001000110011 +010001000100 +010101010101 +010001000101 +001100110011 +001100110100 +001100110100 +001000100011 +000100010010 +000100010010 +001000100010 +000000000001 +000000000000 +000000000000 +001000110010 +001101000100 +001001000011 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000000100010 +001000110011 +001101000100 +010001000010 +011101000001 +100001100001 +100101100010 +100001010001 +010100110001 +010100110001 +100001100010 +100001100010 +011001000010 +011000110001 +011000110001 +010100110001 +001100010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000000000 +001000000000 +001000000000 +001000000000 +001100100000 +010000100000 +010000100000 +010100100000 +010100110000 +010100110000 +010100100000 +010000100000 +001100100000 +000100000000 +000000000000 +000000000000 +000000010011 +000000000001 +000000010010 +000100100101 +000000010010 +000100010011 +000100110111 +000100110110 +000100010001 +000100110011 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001000110011 +001100110100 +001000110100 +001000110100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001010110 +001101010101 +001001000100 +001000110011 +001000110011 +001000110011 +001000110100 +001101010101 +010001100110 +010101100110 +010001100110 +010101100110 +010101100111 +010101100111 +010101100110 +010101010101 +010001000100 +001100110011 +001100100001 +001100010000 +010000100001 +011000110001 +011101000010 +011101010011 +100001100100 +011101100101 +011001010100 +011001010011 +100001110101 +100001110110 +100001110110 +100001110110 +010101000011 +010000110010 +100001110110 +100001110111 +011101100100 +011101010100 +011101110110 +011101110110 +010101000100 +011001010101 +011110001000 +011110001001 +011110001001 +100010001001 +011110001001 +011110001001 +100010001000 +011101111000 +011110001000 +011110001001 +100010001001 +100010001001 +100010011001 +100010001001 +011110001001 +100010001001 +100010001001 +011110001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010011001 +100010001001 +100010001001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100001110111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010000111 +100010000111 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010001001 +100010000111 +100010001000 +100010001000 +100001110110 +100001110110 +100001110110 +100010000111 +100010001000 +100010001000 +100010011001 +100010000111 +100010000111 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100110001000 +100110001000 +100010000111 +100110011000 +100110011001 +100010011001 +100110011000 +100110011000 +100010001000 +100110011001 +100110011001 +100010000111 +100010000111 +100010001001 +100010001000 +100010000111 +100010001000 +100010001000 +100001110111 +011101110110 +100001110110 +100001110110 +100001110110 +011101100101 +011101100100 +011101100101 +011101100101 +011101010100 +100001110110 +011101110110 +011101100100 +010100110010 +010101000010 +011001000011 +011101010011 +011101010011 +011001000011 +010000110010 +011001000011 +011001010011 +011001010011 +011001000010 +010100110010 +010101000011 +011101100101 +100010000111 +011101110110 +011101100101 +011101110111 +011110001000 +100010001000 +011110001000 +100010001000 +011110000111 +011101110111 +100010001000 +011101110111 +011101110110 +011001100101 +100010000111 +100010000111 +011101100110 +011001100101 +010101000100 +000100010001 +000000000000 +001100110011 +010101010101 +011001100101 +010101000011 +010101000011 +011001010100 +010101000100 +011001100110 +011101110111 +011101100110 +011001010100 +011101110110 +011101100101 +011101100101 +010101000011 +001000010001 +010000110010 +011001000011 +011001000010 +010101000011 +010101000011 +010100110010 +010101000011 +010000110010 +010000110010 +001100100001 +001100100010 +001000010001 +001000100001 +001000010001 +000100000000 +000100000000 +000100010001 +001000100001 +001100100010 +001100100010 +010000110011 +001100100010 +010000110011 +011001010101 +011001010101 +010101000100 +011001000100 +011001010100 +011001000100 +011001010100 +011001010101 +100001110110 +101010000111 +101110010111 +101010000110 +100101110110 +100110000110 +100101110110 +100001100101 +100001100100 +100001010100 +011101010100 +100001100100 +100101110110 +100101110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100100 +100001100100 +101110010111 +011001010101 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000000010001 +001000100011 +001000110011 +001000110011 +000100100010 +000000000000 +000000000000 +000100010001 +001101000100 +001100110011 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +001000110011 +001101000100 +010001010100 +010001000010 +011101000001 +100001100001 +101001100010 +011101010001 +011001000001 +100001010010 +011101010010 +010001010011 +010100110001 +011101000001 +011101000001 +011100110001 +010100100000 +010000100000 +010000010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000010000 +001000010000 +001000010000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100110000 +001100100000 +001000000000 +000000000000 +000000000001 +000000010010 +000000000000 +000100010010 +000100010100 +000000000000 +000100100100 +000101000111 +000100110101 +000100100010 +000100110011 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +010000110010 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001000110011 +001100110100 +001000110100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001010101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110100 +001101000101 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101010110 +001100110011 +001100110010 +010000110010 +010100110010 +011001000010 +011101000010 +010100110001 +010100100001 +010000100001 +011101100100 +100001110110 +011101100101 +100001100101 +011001000010 +010100110010 +100001100101 +100010000111 +100001110101 +100001110110 +010101000011 +010000110010 +010000110001 +010101000010 +100010000111 +100010001001 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +011110001000 +100010001000 +011101111000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010001001 +011110001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110110 +100001110110 +100001110111 +100010001000 +100010001000 +100010000111 +100010000111 +100001110111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100001110111 +100010000111 +100001110110 +100001110110 +100010000111 +100010001000 +100010011001 +100010011001 +100010001000 +100001110111 +100001110111 +100001110111 +100001110111 +100010001000 +100010000111 +100001110111 +100010001000 +100010001001 +100001110111 +100001110110 +100001110111 +100001110111 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010000111 +100010000111 +100001110111 +100010001000 +100010001000 +100010000111 +100010011001 +100110001000 +100010001000 +100010001000 +100010011000 +100110011001 +100110011010 +100110011010 +100110011001 +100110011001 +100110011010 +100110011010 +100110011001 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010000111 +100001110110 +011101010100 +100001110110 +100001110111 +011101100110 +100001110110 +100001110110 +011101010100 +010101000011 +010000110010 +010101000010 +010100110010 +010101000010 +011101010100 +011101010011 +010100110010 +011001010011 +010000110001 +010100110010 +011001000011 +011001010100 +011001000011 +010100110010 +011001010100 +010101000011 +011001010100 +010101000011 +010101000011 +011001010101 +011001100110 +011001100110 +011110001000 +100010001001 +011101110111 +011101100101 +011101110110 +011101110110 +100001110111 +100001110110 +100010001000 +100010001000 +011110001000 +100010001000 +011101110111 +010101010101 +000000000000 +001000100010 +010101010101 +011001100101 +010101010101 +010101000100 +010100110010 +010100110010 +011101110110 +011110001000 +011110001000 +011001100110 +011001100110 +011001100110 +011001100110 +011101110110 +011101100100 +011001000010 +010100110010 +011001000010 +011101100101 +011001100101 +011101010100 +011001000011 +011001010100 +011101010100 +011001010011 +011001000011 +010000110010 +010000110011 +010000110010 +001100100001 +001100100001 +001000010001 +000100010000 +001000010001 +001000010000 +001000010001 +001000010001 +001100110011 +010001000011 +001100110010 +001100110011 +001100110011 +001100110011 +010000110011 +010101000100 +011001010101 +100001110110 +101010000110 +101010000110 +101010000111 +101010000110 +100101110101 +100101110101 +100101110101 +100001100100 +100001100100 +100001100100 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100101110101 +100001100101 +100001100100 +100001100100 +100101110110 +100110000110 +010101000011 +000100010001 +000100100010 +000100100011 +001000100011 +001000100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000110011 +001000110100 +001000110011 +001000100010 +000100010010 +000100010000 +000000000000 +000100010001 +001101000011 +001000110011 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +001000110011 +001101000100 +001101010100 +010001010100 +010101000010 +011101010001 +100101100001 +100101100010 +011101000001 +100001010001 +011101000001 +010101100100 +001000110010 +010100100001 +100001000001 +100001000001 +011100110001 +010100110000 +010100100000 +010100100000 +010000100000 +010000100000 +001100010000 +001100010000 +001100010000 +001100010000 +010000100000 +010000100000 +010000100000 +001100100000 +001100100000 +010000100000 +010100110000 +010100110000 +001100100000 +001000010000 +000000000000 +000000010001 +000000000001 +000000000000 +000100010011 +000000010001 +000000000000 +000100100101 +000101000110 +000100100011 +000100100010 +000100110011 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +011101100100 +010101000011 +010000110010 +001100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001101000101 +010001010110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101010100 +010101000011 +010101000011 +010100110010 +010000100001 +010100110001 +011001010011 +011101100100 +011101010011 +100001100101 +011101100100 +011101100101 +100001110110 +100010001000 +100001110110 +100001110110 +011001010100 +001100100001 +011101010100 +100001100101 +100010001000 +100010011001 +100010011001 +011101110111 +100001110111 +011101110110 +011101110111 +100010001000 +011110001000 +011110001001 +011110001000 +100010001000 +100010000111 +100010000111 +100010000111 +100001110111 +011101100110 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001000 +011110001000 +011110001001 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110000111 +100010001000 +100010001001 +100010001001 +100010001001 +100010011001 +100010001001 +100010011001 +100010011010 +100010011001 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100001110111 +100001110110 +100010000111 +100010000111 +100010000111 +100010000111 +100001110111 +100001110110 +011101110110 +100010000111 +100001110111 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100001110110 +100001110110 +011101110110 +100001110111 +100001110111 +100001110111 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110110 +100010000111 +100010001000 +100010001000 +100001110110 +100010000111 +100110001000 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110011001 +100110011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100001110110 +100010000110 +100010000111 +011101110111 +100010001000 +100010000111 +011101110110 +011101110110 +011101100101 +010101000011 +011001010100 +011101010101 +100001110101 +011101010100 +011001000010 +010100110010 +011001010011 +010101000011 +001100010000 +001100100001 +001100010001 +010000100001 +010000110001 +010101000011 +001100100001 +010101000011 +001100100001 +001000010000 +010000110010 +010101000100 +011001100101 +010101010101 +011101110110 +100010000111 +011101100101 +011101100101 +011101100101 +100001110111 +011101110110 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +010101010110 +010101010101 +011001100110 +011101110111 +011101110111 +011001100110 +011001010101 +010101000100 +011101100101 +011101110110 +011101110111 +011001100110 +011001100110 +011101110110 +011001100110 +011101110111 +100001110111 +011001000011 +010101000011 +011001100101 +011101110110 +011101100101 +011101010100 +011101100100 +011101100100 +011101010100 +011001010011 +011001000011 +010101000010 +010101000011 +011001010011 +011001010011 +011001000011 +010100110011 +010101000011 +010000110011 +010000110010 +001100110010 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +000100010000 +000100000001 +001000100010 +001100100011 +010000110011 +010000110011 +011101010101 +100001110110 +100001110110 +100001110110 +100101110101 +100101110101 +100101110101 +100001100100 +100001100100 +100101110101 +100101110101 +100001100100 +100001100100 +011101100100 +100001100100 +100001100100 +100101110101 +100101110101 +100001100100 +100001100101 +100001100100 +101010000110 +100001110110 +010001000100 +000100010010 +000100010001 +000100010010 +000100100011 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001000110100 +001100110101 +001000110100 +000100100010 +001000100010 +011001010011 +010000110010 +010001000011 +010101000100 +001100110010 +000100100001 +000000010000 +000000000001 +000000010001 +000000010001 +000100100010 +001000110011 +001101000100 +001101010100 +001101010100 +010001010100 +010000110001 +010101000001 +011101010001 +011101010001 +100001010001 +011000110000 +011001010011 +001000110011 +001000010001 +010100110001 +100101010010 +100001010001 +011101000001 +010100110000 +010100100000 +010100100000 +011000110001 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +001100100000 +001100100000 +001100010000 +001100010000 +010000100000 +010100110000 +010100100000 +001100010000 +000100000000 +000000000000 +000000010001 +000000000001 +000000010010 +000000010010 +000000000000 +000000000001 +000000110101 +000100110101 +000100100010 +000100100010 +000100110011 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +010101000011 +010101000011 +011001000011 +011001010100 +011001010100 +010101000011 +001100110001 +001000010000 +000000000000 +000000000000 +000000000000 +000000010001 +001000100011 +001100110100 +001101000100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101010101 +001000110100 +001000110011 +001000110100 +001000110100 +001000110100 +001101000100 +010001010101 +010001010110 +010001100110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010101 +010101010100 +011001010100 +011001010100 +011101110110 +011101100101 +011101110101 +100001110110 +011101100101 +011101110110 +010101010100 +011001010100 +100001110101 +011101100101 +010100110010 +100001110110 +100001110110 +100010001000 +011110001001 +100010000111 +010101000011 +100001110110 +010101000100 +011001010101 +011101110110 +011101100101 +011110001000 +011110001000 +011110001000 +100001110110 +011001010100 +100001100101 +011101110101 +011101100100 +011101100100 +011101100100 +011101100101 +011101110110 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +011110001000 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +011110000111 +011110001000 +100010001000 +100010001000 +011110001001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010001001 +100010001000 +100010001000 +100001110111 +100010000111 +100010000111 +011101110111 +100010000111 +011101110111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100010000111 +100001110111 +100001110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010000111 +011101110110 +011101110110 +100001110110 +100001110110 +011101110111 +100010001000 +100010000111 +100001110110 +100001110111 +100001110111 +100010000111 +100010000111 +100010001000 +100010000111 +100001110110 +100001110110 +100010000111 +100010000111 +100001110110 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +011101100101 +100001110111 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100110011010 +100110011010 +100110101010 +100110101010 +100110101010 +100110011010 +100110011010 +100010011000 +100110011000 +100010001000 +100010000111 +100010001000 +100010001000 +100001110110 +011101110110 +011101110111 +100010011001 +100010011001 +100010011001 +100010001000 +100010000111 +100001110111 +011001010100 +011001010011 +011101100101 +100001110110 +011101100101 +010101000010 +010000110001 +010101000010 +010000110010 +001100010000 +010100110010 +001100010000 +010000100001 +010100110010 +001100100001 +010100110010 +010100110010 +010100110010 +010100110010 +010000110001 +010101000011 +011101100110 +011101100110 +011001010101 +011101100101 +011101100101 +011101110110 +100001110110 +011101110110 +011001010100 +011001010100 +100010001000 +011101110110 +100001110110 +100010001000 +100010011010 +011110011001 +011110001000 +011101110111 +011110001000 +011110001001 +011110001000 +011110001000 +100010001000 +011101110111 +011101110110 +011001110111 +011001100110 +011001100101 +011001100110 +011101100110 +011101110111 +011001110110 +011101110110 +011001100110 +011001100111 +011001100110 +011101100101 +011001010100 +011101100101 +100001110110 +100001100101 +011101100100 +011101010100 +011001000011 +011001000011 +011001010011 +011001010011 +011101010100 +011001000011 +011001010100 +010101000100 +010101000100 +010000110011 +010000110011 +011001010100 +010101000011 +010000110001 +001100110001 +000100010000 +000000000000 +000100010001 +001000010001 +001000100010 +001100100010 +001100100010 +001100100010 +010000110011 +010101000100 +011001010100 +011101100101 +100001100101 +100001110101 +100101110101 +100101110101 +100101110101 +100001100101 +100001100100 +100001100101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100101 +100001100100 +101010000110 +011101100100 +000100010010 +000100100011 +000100010001 +000100010001 +001000110100 +001100110100 +001000100011 +000100100010 +000100100010 +000100100010 +000100100011 +001000110100 +000100100011 +000100100010 +000100010001 +000100010000 +001000100001 +010101000010 +011001010010 +011001000011 +100101110101 +100001100011 +011001010011 +010001000010 +001100100010 +000100010001 +000000010001 +000100100010 +001000110011 +001101000011 +001101010100 +001101010100 +010001010100 +010001000011 +001100110001 +010100110001 +011101000001 +100101010010 +011000110001 +011101000010 +010001000011 +000100100001 +000100010000 +010100110001 +011101000001 +100101010010 +100001000001 +011000110001 +011000110000 +011101000001 +011000110000 +011100110001 +011000110001 +010000100001 +010101000011 +010000100001 +001100010000 +001000010000 +001100010000 +010000100000 +010100100000 +010000100000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010010 +000100010011 +000000010010 +000000000001 +000000010001 +000100110101 +000100110100 +000100100010 +000100100010 +001000110010 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +010101000011 +010101000011 +011001010100 +011101100100 +011101010100 +011001010100 +011001010011 +011001010100 +010101000010 +001100100001 +000100010000 +000100010000 +001000100010 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110100 +001101000100 +001101000100 +001101000101 +001101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101010101 +001101000101 +001000110100 +001000110101 +001000110101 +001000110100 +001101000100 +001101010101 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010101 +010001010101 +010101010101 +011101110110 +011001100101 +011001000011 +100001110110 +001100100001 +010000100001 +011101100101 +011101100100 +011101010100 +100001110110 +100001110111 +100010000111 +100010001000 +100010001000 +011101110110 +100110000111 +011001000011 +001100010001 +010000100001 +010000100001 +011101100101 +100010000110 +100010000111 +011101100101 +010000100001 +010100110001 +011101100101 +100001110101 +011001000010 +010100110001 +010100110010 +011101010011 +100001100100 +011101010100 +011101100100 +100001110111 +100010001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +100010001001 +100010001001 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010001001 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100001110111 +100010001000 +100001110111 +100001110110 +100001110111 +100001110111 +100001110110 +011101100101 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +100001110110 +011101110110 +100001110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110111 +100010000111 +100001110111 +100001110110 +100001110111 +100010000111 +100001110111 +100010000111 +100010001000 +100010000111 +100010000111 +100001110111 +100001110111 +100001110110 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +011101100101 +100001110110 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100110011010 +100110011010 +100110101010 +100110011010 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +100110011010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +011101100101 +100001100101 +011101110111 +100010011001 +100010001001 +100010001000 +100010011001 +100010011001 +100010001000 +100110000111 +100001110110 +011101100110 +100001110110 +100010000111 +011101010100 +010100110001 +010100110010 +011001010100 +010000110010 +100001100101 +010101000010 +001100100001 +011001000011 +010101000010 +010100110010 +011101010100 +010101000010 +010000110010 +010000110010 +011101100101 +011110001000 +011101110111 +011101100101 +011101010100 +011001000011 +011101100101 +100001110110 +100001110110 +011101100101 +011101100101 +100010001000 +100001110111 +011101100101 +100010001000 +011101110111 +011101110111 +100010001001 +011110001000 +100010011001 +100010011001 +011101110111 +011101110111 +100010001000 +100010001000 +100010011001 +100110011001 +100010001001 +011101110111 +100010001000 +011101110111 +011001100110 +011001100101 +011001010101 +011001100110 +010101010101 +011001100110 +011101110110 +011001100101 +011101100110 +011101110111 +011101100110 +011001010100 +011001010011 +011101100100 +011001010100 +011001010100 +011001010100 +010101000011 +010101000011 +011001010100 +010101000011 +011001010100 +011101110110 +011001010100 +011101100101 +011101100110 +011001010101 +011001010100 +010000110010 +000100010000 +000100010001 +001100110010 +001100100001 +001000010001 +000100010000 +000100000000 +001000010001 +001000100001 +010000110010 +010101000011 +010101000100 +010101000011 +010101000011 +011001000100 +011101010101 +100001100101 +100001100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +101110010111 +011001010101 +001000100010 +000000000001 +000000000001 +000000000000 +001000100011 +001100110100 +001000100011 +001000110011 +001000100011 +000100100010 +000100010010 +001000110011 +001100110100 +000100010001 +000000000000 +000000000000 +000100010000 +001000010001 +001100100001 +010000110010 +010000110001 +011001000010 +100101110011 +100101110011 +100101110011 +100001100011 +011101010011 +011001010011 +011001010011 +010101010011 +010101010100 +010001010100 +010001010100 +001101010100 +001101000100 +001101000011 +001100100001 +011001000001 +100001010001 +011000110001 +011101010001 +011101100100 +000100100010 +000100100001 +000100010000 +001000010000 +011101000001 +100101010010 +011101000001 +011000110000 +011101000001 +011001000001 +011101000001 +010000100001 +001100110100 +001100110100 +001100100010 +001100010000 +001000010000 +001100010000 +010000100000 +010000100000 +001000010000 +000000000000 +000000000000 +000100000000 +000000000000 +000000010010 +000100010011 +000000010010 +000000010010 +000000010010 +001000110101 +000100100010 +000100100010 +000100100010 +000100110010 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +011001000011 +011001010100 +011101100100 +011001010100 +011001010100 +011001010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +010101010100 +010001000011 +001101000011 +001100110011 +001000110100 +001100110100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000100 +001101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001001000101 +001000110101 +001000110101 +001000110100 +001001000100 +001101000101 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010101 +001000110011 +001000100001 +001100110011 +010001010100 +011001010100 +011101110110 +011001000011 +011000110010 +011001000011 +011101000010 +100001100011 +011101100100 +011101100101 +100001110101 +011101110110 +100010001000 +100010001000 +100010000111 +100001100101 +010100110001 +010100110001 +011001000010 +100001100101 +011101010011 +100001100100 +100110001000 +011101100110 +001100010001 +010101000011 +011101100101 +010100110010 +001000000000 +001100010000 +011000110010 +011101000010 +010000100000 +010100110001 +100001100101 +100001110110 +100001110111 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +100010011001 +100010001000 +011110001000 +100010001001 +100010001000 +011101110111 +011101110110 +100001110111 +100010001000 +100010001000 +011101110111 +011101110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +011101100101 +011101110110 +011101110110 +011101110111 +100001110111 +100001110110 +011101110101 +011101110101 +100010000111 +100010000111 +100010001000 +100010001000 +100010000111 +100001110111 +100010000111 +011101110111 +011101110110 +100001110110 +100010000111 +100001110110 +100001110110 +100010000111 +100010001000 +100001110111 +100001110110 +100001110110 +100010000111 +100001110111 +100010000111 +100010001000 +100010001000 +100010000111 +100110001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110111 +100001110110 +100001110110 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011001 +100110011001 +100110011010 +100010011010 +100010011010 +100110011010 +100110011010 +100110101010 +100110101010 +100110101010 +100110011010 +100110101010 +100010011001 +100010011001 +100110011010 +100110011001 +011101110111 +100010001000 +100010000111 +011101100101 +100010001000 +100010011001 +100010011010 +100010011010 +100010001001 +100010001000 +100010000111 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100001110110 +011101010100 +011101100100 +100001110110 +011101110110 +100001110101 +010101000010 +001000010000 +001100010001 +010101000011 +010000110010 +010000100010 +001100100001 +001100100001 +010000110001 +011001010100 +100010001000 +011101110111 +011101100100 +011101100100 +011001000011 +011001000011 +011101100101 +100001110111 +100010000111 +011101110110 +100001110110 +100001110110 +011101100101 +100001110110 +011001010011 +011001010100 +011101100110 +011101110111 +100010000111 +011101110110 +011001100101 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +011110001001 +011110001000 +100010001000 +100010001000 +100110011001 +100010001000 +011101110111 +011001100110 +011001010101 +011001010101 +011001100101 +011001100101 +011001100110 +011101110111 +011001100110 +011001010101 +010101000011 +011001010100 +011101100101 +011101100101 +011001010101 +010101000011 +011101100101 +011001100101 +011001010100 +011101100101 +011001100110 +011001100101 +011101100110 +011101100110 +100001110110 +011101100110 +011001010100 +010100110010 +001100100001 +010101000010 +010101000010 +001100100001 +001100100001 +001000010001 +001100100001 +001000010001 +001000010000 +001000010000 +000100000000 +000100010001 +000100010001 +001000100010 +010000110011 +010000110011 +001100100010 +010000110010 +010101000011 +011101010100 +100001100101 +100101110101 +100101110110 +010101000100 +001000100011 +000000010010 +000000000000 +000100010001 +010001000100 +001100110100 +000100100010 +001000100010 +001000100011 +001000100011 +000100000001 +000000000000 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +001000100001 +010000110010 +010000110010 +011101010010 +101001110100 +101001110100 +100001100011 +100001100011 +100001100011 +011101010010 +100001100010 +100001100011 +100001110100 +011101010011 +010101010011 +001100110010 +001000110010 +001000010001 +011001000001 +100101010010 +011100110001 +100001010001 +100101110011 +001101000010 +001000110010 +000100010001 +000100010000 +010000100000 +100001000001 +011000110000 +010000100000 +001100010001 +000100000000 +001000100001 +001000010001 +000100010010 +000100100011 +001000100011 +001000010001 +001000010000 +001000010000 +001100010000 +001100100000 +000100010000 +000000000000 +000000000000 +000100010000 +000000000000 +000000010010 +000000010011 +000000010010 +000000010010 +000100010010 +001000110100 +000100100010 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +010101000011 +011001010100 +011001010011 +011001000011 +011001010100 +010101000011 +011101100100 +011101100101 +011001010100 +011001010011 +011101100100 +100001110101 +011101100100 +011101010100 +011101010011 +011001010100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110100 +001101000100 +001101000100 +001101010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +001101000110 +001000110101 +000100110100 +001000110100 +001000110011 +001000110100 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010110 +010001010101 +001100110011 +000100100010 +000100100011 +001101000100 +010001010101 +010001010110 +010101000100 +010001000011 +010101000011 +010000100001 +011101010011 +011101010011 +011101100100 +011101100011 +011001010011 +100010001000 +100010001000 +100010001000 +100001110111 +011101100101 +100001100100 +011101100101 +100001110111 +100001100101 +011101100101 +100010001000 +100010001001 +010000110011 +100001110111 +100001110111 +001100010001 +000000000000 +000100000000 +010000100001 +010100110010 +001100010000 +001100010000 +010100110010 +011001000010 +011101100101 +100010001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +100010001000 +100010000111 +100010000111 +100010000111 +011101110111 +100001110110 +011101100110 +011101100110 +100001110110 +100001110111 +100001110110 +100001110110 +100001100101 +011101100110 +100001110111 +100001110111 +011101110111 +011101110110 +100001110110 +100001110110 +011101100101 +100001110110 +100010000111 +100010001000 +100010001000 +100001110110 +100001110110 +100010000111 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100001110111 +100001110111 +100010000111 +100001110111 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +011101110110 +100001110110 +100010000111 +100001110111 +011101110110 +100001110111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100110011010 +100010011010 +100110011010 +100010011001 +100110011001 +100110011010 +100110101010 +100110101010 +100110101010 +100110011010 +100010011010 +100110011010 +100010011001 +100110011001 +100110011001 +100110011001 +100001110110 +100010000111 +100110011001 +100001110111 +100010011000 +100010011001 +100010001000 +100110011001 +100010011001 +100110011001 +100010001000 +100110011001 +011001110110 +100010001000 +100010001000 +100001110111 +011101100101 +100010000111 +100001110111 +100001110111 +100001110110 +011101110101 +011001010011 +010000100010 +010000100010 +010100110010 +010000110010 +001000010000 +001100100001 +010101000011 +010000100001 +001000010001 +010101000100 +010000110011 +010101000011 +011101100101 +100001110110 +011001010011 +010101000011 +100001110111 +100001110111 +100001110111 +011101010100 +011001010100 +011101100101 +011001010011 +011101100100 +011101100101 +011101010100 +011001010100 +011001010100 +011101010100 +011101100110 +011101110111 +011110001000 +011110001000 +011101100110 +011101110111 +011110001000 +011101111000 +011101110111 +100001110111 +100010001000 +100010001001 +100010001001 +100010001000 +011101110111 +011101110111 +011001100110 +011001100110 +011101100110 +011001100110 +011001010101 +011001010101 +010101010101 +011001100101 +011001010100 +011001010100 +011101100110 +011001010101 +011101100101 +011001100101 +011001010101 +010101100110 +011001100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010011 +011101010011 +011001010011 +010100110010 +010100110010 +010000110010 +010000110010 +001100100001 +001100110010 +010000110010 +001100100001 +001000010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000000000000 +000100000000 +000100010001 +001000010001 +010101000011 +010000110010 +000100010010 +000100010010 +000000000000 +000100000000 +010001000100 +001000100011 +000100100010 +000100010010 +001000100011 +000100010010 +000100010001 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001000100010 +001100100010 +010001000011 +010000110010 +010000110011 +010101000011 +010101000010 +010000100001 +011101010011 +100101110100 +100101100011 +100001100011 +100001100011 +100001100100 +100001100011 +100001100010 +100001100010 +100001010010 +011101010010 +010000100000 +001000010001 +001000100001 +010000110001 +011000110001 +010100100000 +011001000001 +100101100010 +011001100100 +001000110010 +000100010001 +000100000000 +001000010000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000100010001 +000100010010 +000100010010 +000100100010 +001000100011 +000100010001 +000100000000 +000100000000 +001000010000 +001000100000 +000100010000 +000000010000 +000000000000 +000100010000 +000100000000 +000100010010 +000100010011 +000000010001 +000000100011 +001000110100 +010001010101 +001000110011 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001001000011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +010000110010 +010101000010 +010100110010 +010000110010 +010101000010 +010100110010 +011001010100 +011001010100 +011001010011 +010101000011 +010101000011 +011001000011 +011001000011 +011001010011 +011001000011 +011001010011 +011101010100 +011001010100 +011001010100 +010101000100 +010001000100 +001100110100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +001101010101 +001101000101 +001000110100 +000100100011 +000100100011 +000100100011 +001000110011 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010110 +010001010101 +001100110100 +000100100010 +001000100010 +001101000100 +010001010110 +010001010110 +010001000101 +001000110011 +000100010001 +000100010001 +001100110011 +010101010100 +011101110110 +100001110101 +011101100101 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110111 +100010001000 +100010001001 +010000110010 +011101010100 +011101010100 +001100010001 +000100000000 +001100100001 +001100100010 +010000100001 +000100000000 +000100000000 +001100100001 +010000100001 +011001010011 +011101110110 +011101110110 +011101111000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011101111000 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101110110 +011101100100 +011101100101 +100001110111 +100001110111 +011101100101 +011101110110 +100001110110 +100001110110 +011101100100 +100001110110 +100010001000 +100010001001 +011110001000 +100001110110 +100001110111 +100001110111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010001000 +100001110111 +100010000111 +100001110111 +100001110111 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100010000111 +100010000111 +100001110111 +100001110110 +100001110111 +100001110111 +100001110110 +100001110111 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110101010 +100110011010 +100110101010 +100110011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110001000 +100010001000 +100010011001 +100010011000 +100010011001 +100010011010 +100010001000 +100110011010 +100110101010 +100110011010 +100010001000 +100010011001 +100010001001 +100010011001 +100010011001 +100010001000 +100010000111 +100010000111 +100001110110 +100001110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101100110 +011001010100 +011001010100 +010101000011 +011001000011 +010000100010 +001100100001 +010100110011 +001000010001 +000100010000 +011001010101 +100001110111 +011101110110 +011101100101 +011101100101 +100001110111 +100010000111 +100001110110 +011001010011 +011101010100 +100001110110 +011101100101 +100001110111 +100010001000 +100001110110 +011101100101 +011001010100 +011101010100 +011101100100 +011001010100 +011101100110 +011101100110 +011101110111 +100010001000 +011110001000 +011110001000 +011101110111 +011101111000 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +011101110111 +100010001000 +011101110111 +100010001000 +100010001001 +100010001000 +011101110110 +011001100110 +011001100110 +010101010101 +010101000011 +010101000011 +010101000100 +011001010100 +010101000100 +011001010101 +011001100110 +011001100110 +010101010101 +011001100110 +011101100110 +011101100110 +011101110111 +011101110111 +011001000100 +011001010011 +011001010011 +011101100100 +011101010100 +010101000010 +010101000011 +010000110010 +001100100001 +010000110010 +010101000011 +011001000011 +010101000011 +001100100001 +001100100001 +001000010000 +001000010000 +001000010000 +000100000000 +000100000000 +000100010001 +000100000000 +010101000011 +001000100010 +000100100010 +000000000000 +000000000000 +000100010001 +001000100011 +001000100011 +000100010010 +000100100010 +001000100010 +001000100010 +001100100010 +010101000100 +010101010101 +011001010101 +010101000100 +010000110011 +001100110011 +010000110011 +001100100010 +010101000100 +011001010100 +011001010100 +011101010100 +010100110010 +001100100000 +010100110010 +100101100100 +101001110100 +100101110100 +011101100011 +011101010011 +100001100011 +100001100010 +100101100011 +100101110011 +100001100011 +100001100011 +001100100001 +001100100001 +001000010000 +001000010000 +001000010000 +001000010000 +001100010000 +001100100001 +001000100001 +001000100010 +000100000000 +000100010000 +001000010000 +001000010000 +001000010001 +000100000000 +000100010001 +001000010010 +000100010001 +000100010010 +001000100011 +000100100011 +000100010010 +000000000000 +000100000000 +001000010000 +010000110001 +001000100001 +000100010001 +000000000000 +000100010000 +000100000000 +001000100010 +001000010010 +000100010001 +000100100011 +011001100110 +010101010101 +001100110011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +011001010100 +010101000010 +010000110001 +010101000010 +011001010011 +011101100101 +100001110101 +011101100100 +011001000010 +010100110010 +010000110010 +010101000011 +011001000011 +011101100100 +011101100100 +010101000010 +011001010011 +011101010011 +011101010011 +011101010100 +011101100100 +010101010100 +010101010100 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +001101010101 +001101000101 +001000110100 +000100100011 +000100100010 +000100010010 +000100100011 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010101 +001101000100 +000100100010 +001000100010 +001101000100 +010001010101 +010001010110 +010001010101 +001000110011 +000100100010 +000100100011 +001000100011 +001000110011 +001100110100 +010001010100 +011001100101 +011101110110 +011101110111 +011110001000 +100010001000 +100010001000 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100010001000 +100010011001 +011001010100 +011101010100 +011101100100 +010100100001 +010000100000 +011001000011 +011101100101 +011101010100 +001000010000 +001100010001 +010000100001 +010000100001 +011001010011 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +100001110111 +011101110111 +011110001000 +100010001001 +011110001001 +011110001001 +011110001001 +011110001000 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +100010001000 +100010001001 +011110001000 +011101110111 +100001110111 +011101110111 +100010001000 +100010001000 +100010001000 +011101110111 +100001110111 +011101110110 +011101100110 +100001110111 +011101100101 +011001010100 +011001010100 +011101100101 +011101110110 +011101100101 +011101100100 +011001010100 +100010001000 +100010000111 +100010000111 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +011101110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001001 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110110 +100001110111 +100010000111 +100001110111 +100001110110 +100001110111 +100001110110 +100010000111 +100010000111 +100001110111 +100001110111 +100010001000 +100010001001 +100110011001 +100010001000 +100010001001 +100110011010 +100110011010 +100010011010 +100110011010 +100010011001 +100010011001 +100110011010 +100110011010 +100010011001 +100110011001 +100010011001 +100010001000 +100010011001 +100110001000 +100010011001 +100010011001 +100010001000 +100010011001 +100010011001 +100010011010 +100010011010 +100110101011 +100110011010 +100010011001 +100010011001 +100110011001 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +011101110110 +011101100101 +100001110110 +011101100101 +010101000010 +011101100101 +011101110110 +011101110110 +011101100101 +010100110010 +010101000011 +010101010100 +011001100101 +011101110110 +011101110111 +100010000111 +011101100110 +011101100110 +011101110111 +100010000111 +100001110111 +011001000011 +011101010011 +011101010011 +011001010100 +100001110111 +100010011001 +011101100101 +011101100101 +011101100110 +011101100101 +011101100100 +011101010100 +011101100101 +011101100110 +011101100101 +011001100101 +011001100110 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001000 +011101110111 +100001110111 +011101110111 +100010001000 +011101110111 +011101110111 +100010001000 +011101110111 +011101110111 +100010001000 +100010001001 +100010001000 +011101110111 +010101010101 +010101000100 +001100110010 +001100110010 +010101000011 +011001010100 +011001010101 +010101010101 +011001100110 +011001100110 +011001100101 +011001010101 +011001010100 +011001000011 +010101000010 +011001000010 +011101100100 +011101110110 +011101110110 +011101100100 +011101100101 +011001010100 +011001000011 +010101000011 +010101000011 +010000110010 +010000100001 +010000110010 +010000110011 +010000100010 +010000100001 +001100100001 +000100010000 +000100010000 +001100100001 +010001000100 +000100100010 +000000000001 +000000000000 +000000000000 +000100100010 +000100100011 +000100100010 +000000010001 +000100010001 +001100100010 +010000110011 +010101000100 +010101000011 +010101000100 +011001010100 +010101000100 +010101000011 +010101000100 +011001010100 +010101000011 +010000110010 +010101000011 +011001000100 +010101000011 +010101000010 +011001000011 +010100110010 +011101010011 +101010000101 +101010000101 +100001100100 +011001000010 +011001000010 +011101010010 +100001100011 +100101110100 +100101110100 +100101110100 +011001000010 +001000010001 +000100010000 +001000010001 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +001000010000 +001000000000 +001000010000 +000100010001 +000100000001 +000100010001 +000100010010 +000100010010 +001000100011 +000100100010 +000100100010 +000100100011 +000000000001 +000000000000 +000100000000 +001100100001 +001000010001 +000100010001 +000000000000 +000100000000 +001000010001 +001100110001 +001000010010 +001000010001 +010001010110 +011101110111 +011001100110 +001101000100 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +010000110010 +010000110010 +010101000011 +011001010100 +011001010011 +011101100100 +011101100100 +100101110101 +100001100101 +010101000011 +010101000011 +010000110010 +010000110010 +010101000010 +011001000011 +011001010011 +011001000011 +010101000010 +010101000011 +011001010011 +011001010011 +100001100101 +100001100101 +011001010100 +010101010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +001101000100 +001000110100 +000100100010 +000100010001 +000000010001 +000100100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010101 +001101000100 +000100100010 +000100100010 +001101000100 +010001010101 +010001010110 +010001010101 +001101000100 +001000110100 +001000110100 +001101000100 +001101000100 +001101000100 +001100110100 +001100110011 +001100110011 +001101000011 +010001000100 +011001100110 +011101110110 +011101110111 +100010001000 +100001110111 +100001100101 +100001100101 +100010000111 +100010001000 +011101100101 +100001100100 +100001110101 +011101010011 +011101010100 +011101110110 +100001110111 +011101010100 +010000100001 +011001000010 +001100010000 +001100010001 +011001010100 +100001110111 +100001110111 +011101100101 +011101100101 +011101100101 +011101100100 +011101100110 +011101111000 +100010001000 +011110001000 +100010001001 +011110001000 +011110001000 +011101111000 +011101110111 +011110001000 +011110001001 +100010001001 +011110001000 +011110001001 +011110001000 +011110001000 +100010001000 +100001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101100110 +011101110111 +011101110110 +011101100110 +011101100101 +011001010100 +011101100101 +100001110111 +100001110111 +011101100101 +100010001000 +100010001000 +100010001000 +100010001000 +011101111000 +011101111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100010001000 +100010000111 +100001110111 +100001110111 +100001110111 +100010000111 +100001110111 +100001110110 +100010000111 +100010000111 +100001110110 +100001110111 +100010001000 +100010011001 +100010011001 +100010000111 +100010011001 +100110011010 +100010011001 +100010011010 +100110011010 +100110011001 +100110011001 +100010011001 +100110011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100010011010 +100010011010 +100110101010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010000111 +100010000111 +011101110110 +011101110110 +011101110110 +011001010100 +011101100101 +100001110111 +100010000111 +100001110111 +011101100100 +010101010011 +011101110110 +100001110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101110110 +100010000111 +011110000111 +011101110110 +011101100101 +011001010011 +011001000011 +010000100001 +011001000011 +100001110111 +011101100101 +011101110110 +011101100110 +011001100101 +011101100110 +011101110111 +011110000111 +011101110111 +011101110110 +100001110111 +011101110111 +011001100110 +011001100101 +011001010101 +100010001000 +011110001000 +100010001000 +011101110110 +011101110110 +011101100110 +100001110111 +011110001000 +100010001000 +100010000111 +011101110110 +011001100110 +011101110111 +011110001000 +011101111000 +011101110111 +011101111000 +011101111000 +011101110111 +010101010100 +010000110011 +010101000011 +010000110010 +001000100001 +001100110010 +010001000011 +010000110010 +010100110010 +011001000010 +011001000010 +011001010100 +011001000010 +011001010011 +100001110110 +011101110111 +011101100110 +011001010101 +011101100101 +011001010101 +010001000011 +010101010101 +010101010100 +010101010100 +010001000011 +010101000011 +010101000011 +010100110010 +010000100001 +001100100001 +001100100001 +001100110010 +001000100010 +000000000001 +000000000000 +000100000000 +000100000000 +000100010010 +000000000001 +000100010001 +000000000000 +000000000000 +001000010001 +001100100010 +001100100010 +001100110010 +001000100010 +010000110011 +010101000100 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +011001000011 +011001000011 +011101010011 +011101010100 +100001110100 +101010000101 +100101110100 +100001100011 +011101010011 +011101010011 +011101010011 +100001010011 +100001010011 +100001100100 +100001100100 +010000110010 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100000000 +001000100001 +001000010001 +000100000001 +000100010001 +001000010010 +000100100010 +000100100011 +001000100011 +000100100011 +000100100011 +000000010010 +000000000001 +000000000001 +000000000001 +001000100010 +001100110011 +000100010010 +000100010010 +001100100010 +001100100010 +001100100001 +001000100001 +010001010110 +011001110111 +011001100110 +001101000100 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100000000 +000100000000 +001000010000 +001000010001 +001000100001 +010000110010 +010101000011 +011101010100 +100001110101 +011001010100 +010101000010 +010101000011 +010001000010 +010000110010 +010101000011 +011001010011 +011001010011 +010101000011 +010100110010 +010101000010 +010101000010 +010101000010 +010101000011 +011101010100 +100001100100 +011101100100 +011101100101 +011001010100 +010101010101 +010101010101 +010001010101 +001101000100 +001000110011 +001000100010 +000100100010 +000100010001 +000100100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010101100110 +010001100110 +010001010110 +010001010101 +001101000100 +000100100010 +000100100010 +001101000100 +010001010101 +010001010110 +010001010101 +001101000101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000100 +001100110011 +001000100010 +000100100010 +000100010010 +000100100010 +001000100010 +001100110011 +010001000100 +010101100101 +011101110111 +100001110101 +011101100100 +011101100101 +100001110101 +011001000011 +100001100011 +100001110101 +100001110110 +100001110110 +100001110110 +100001110111 +011101100100 +011001000011 +011101010100 +010100110010 +010100110001 +011001000011 +011101110110 +100010001000 +100001110110 +011101010100 +011101100101 +011101010100 +011001010100 +011101110110 +011101110110 +011101110110 +100010000111 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +100001110111 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100001110111 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100010001000 +100001110111 +011101110110 +100010001000 +100010000111 +100001110111 +100010000111 +100010001000 +100010001001 +100010011001 +100010001000 +100010001001 +100110011010 +100010011001 +100110011010 +100110011010 +100010011001 +100110011001 +100010011001 +100010011001 +100001110111 +100010001000 +100110011000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110111 +100001110110 +100010000111 +100010000111 +100010001000 +100010011001 +100110011010 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100110011001 +100110011001 +100010011001 +100010011000 +100010011001 +100010001001 +100010001000 +100010001000 +100001110111 +100010000111 +100001110111 +100001110110 +100001110110 +100001110111 +100010001000 +011101110110 +011101110110 +011101100101 +100001110111 +011101110111 +100010001000 +011101110111 +100001110110 +011101110111 +100001110111 +100010001000 +100010000111 +100001110110 +100001110110 +011101110110 +011101100110 +011101010100 +011001000010 +011101100100 +011101010101 +011101110110 +011101100110 +011101100101 +011101110110 +011101110110 +011101100101 +011101110110 +011101110110 +011101110111 +011101111000 +011101110111 +011101110110 +011101100110 +011101110111 +011101110111 +100010000111 +011101110110 +011101100101 +011101100101 +011101110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011101110111 +011101110111 +011101111000 +011101110111 +011101111000 +011001100111 +011001010101 +100001110110 +011001000100 +011001010100 +010101000100 +010101000100 +010101000011 +010000100001 +001100100001 +010101000011 +011101110110 +011101100110 +011001010100 +011101100101 +011001100110 +011001100101 +010101000100 +010101010101 +010001010101 +010101010101 +010101010101 +010101010101 +011001100110 +010101010100 +010101000011 +010100110010 +011001000010 +010100110001 +010000110010 +001100100010 +001000100010 +000100010010 +000000010001 +000100010001 +001100100001 +001000100001 +000000010001 +000000000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000000000000 +000100000000 +001000100010 +001100100010 +001000100010 +001000100001 +001100100010 +001100100010 +010000110010 +010000110010 +010000110010 +010100110010 +010100110010 +010101000010 +011001010011 +011001000010 +100101110100 +100101110100 +100001100011 +011101010011 +011001010011 +011001000010 +011101010011 +011101010011 +011101100011 +100101110100 +011101100100 +001100110010 +001100110010 +001100100001 +001100100001 +001100100010 +001000010001 +001000010001 +001000010001 +000100000000 +000100000000 +000000000000 +001000010010 +001000010010 +000000000000 +000000000000 +000100010010 +000000010001 +000100010010 +001000100011 +000100100011 +001000100011 +001000100011 +000100100011 +000000010010 +000100010010 +000000010001 +001000110011 +010001000100 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +010001000101 +011001100110 +010101100110 +001101000100 +000100010001 +000100100001 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100001 +001000010001 +000100010001 +001000010001 +000100010001 +000100010000 +001000100001 +001100100010 +010000110011 +010101000100 +011001010100 +010001000011 +001100100001 +010101000011 +100001100101 +011001010011 +010101000010 +010000110010 +010101000010 +010101000010 +010000110010 +010000110010 +010000110010 +010101000010 +011001000010 +011001000010 +011001000011 +011001000011 +011001010011 +011101100100 +011101100101 +010101010101 +001100110011 +001000110010 +001000100010 +000100100010 +000100100010 +001001000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001010110 +010001010101 +001101000100 +001000100010 +000100100010 +001000110100 +010001010101 +010001010110 +010001010110 +010001010101 +001101000100 +001101000100 +001101000100 +001100110100 +001000110011 +001000100010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100011 +001000100011 +001000110011 +001100110100 +010001000101 +010101010101 +011001100101 +011001100101 +011101100101 +011101010011 +011101010011 +100001110110 +100010000111 +100001110111 +100001110111 +100010000111 +100001110101 +011101100100 +100001110110 +011101100101 +011101100101 +011101100101 +011101110110 +011110001000 +011101110110 +011101100101 +011101110110 +100010001000 +100010000111 +011101010100 +011101100101 +011101100101 +011101110110 +011101110111 +011110000111 +011101110110 +011101110111 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011101111000 +011101111000 +100001110111 +100010000111 +100010001000 +100010001000 +011101111000 +100010000111 +100001110111 +100001110111 +100001110111 +100010001000 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100001110111 +100010001000 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100010001000 +100010001000 +100001110111 +100001110111 +100010000111 +100001110111 +100001110111 +100010001000 +100010001000 +100001110111 +100110001000 +100010000111 +100001110111 +100001110111 +100010001000 +100010001001 +100110011010 +100010011010 +100110011010 +100110101010 +100110011010 +100010011001 +100010001000 +100010011001 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +011101100101 +100001100101 +011101100101 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +011110000111 +100010001000 +100010001000 +100010011001 +100010011001 +100010011000 +100010001000 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100001110111 +100010000111 +100010001000 +100010000111 +100010000111 +011101100110 +011101110110 +100010011001 +100010000111 +011101110110 +011101110111 +100010001000 +011110000111 +100010001000 +011101110111 +011101110110 +100001110111 +100010000111 +100010000111 +100010000111 +011101100101 +011101110110 +100010000111 +100001110111 +100001110110 +011001000011 +011001000011 +011101100101 +011101110111 +011101110110 +011101100101 +011101100100 +011001010100 +011101100100 +011101100100 +011001010011 +011101100101 +011101110110 +011101110111 +011101110110 +011101110111 +100010001001 +100010001000 +100010001000 +100010000111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +100001110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101111000 +011101110111 +011101110111 +011001010100 +011001010100 +011001010011 +011101010100 +011101010100 +011101100101 +011101100101 +011101010100 +010101000011 +011001000011 +011001010100 +011101010101 +011101100101 +011101100110 +011101100101 +011001010100 +010101000011 +010101010100 +010101010101 +010101010100 +010101000011 +011001010101 +011001010101 +010101000100 +010101000100 +010101000011 +001100100001 +010100110010 +010101000011 +001000100010 +000100010001 +000100010010 +000100010001 +001100100001 +010000110001 +001000010001 +000000000000 +001000100001 +001100100001 +001100100001 +001100010001 +001100100001 +001100100001 +001100100001 +001000010000 +000100000000 +000100010000 +001000010000 +001000010001 +000100000000 +001000010001 +001100100001 +000100010001 +001000100010 +001100100010 +001000010001 +010000110010 +001100100010 +010000110010 +010000110010 +010000110001 +011101010011 +100101110101 +100001100100 +011001000010 +011001000011 +011001010011 +011001010011 +011101010011 +011101010100 +100001100100 +100101110101 +011001010100 +010000110011 +010000110011 +010000110010 +001100100010 +001100100010 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010010 +000000000001 +000000000001 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000000010001 +000100100010 +001000110100 +001000100011 +000100010001 +000100010001 +000100010001 +001000100010 +001000010001 +010000110011 +001100110010 +001100100001 +000100100010 +001100110100 +010101010101 +010101010101 +001100110011 +000000010001 +000100010001 +000100110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000010001 +000100010000 +000100010000 +000100010001 +000100010010 +000100010001 +001000100010 +001101000100 +010001010101 +010001010101 +010001010101 +001100110011 +001100110010 +010101010100 +011101100101 +011001010100 +010101000010 +010101000011 +011001010100 +010101000011 +010000110010 +010101000011 +010101000010 +010100110010 +011001000010 +011001000010 +011001000010 +010101000010 +010100110001 +011001010011 +100001110101 +100110000110 +011101100101 +010101000100 +001000110010 +000100100001 +000100100010 +001000110100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001010101 +001101000100 +001000100011 +000100100010 +001000110011 +001101000100 +010001010101 +010001010110 +010001010101 +001101000100 +001100110011 +001000110011 +000100100010 +000100010010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100011 +001000110100 +001101000101 +001101000101 +001101000101 +010001000100 +010001010101 +010101000100 +010101000011 +011101100101 +100001110110 +100001110110 +100001110110 +100010000111 +100001110110 +011101100101 +100001110111 +100001110111 +100001110110 +100001110111 +100001110111 +011110001000 +011101110111 +100001110111 +011101110111 +011110001000 +100010001000 +011001010100 +011101100101 +100010000111 +011001010100 +011101100101 +100001110110 +011101100101 +011001010100 +011101110110 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +011101110111 +011101110111 +100010001000 +011110001000 +011110001001 +011110001001 +100010001001 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +011101110111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110111 +100010001000 +100010000111 +100001110111 +100001110111 +100001110110 +100001110111 +100001110111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100110011010 +100110011010 +100110011001 +100010001000 +100010011001 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +011101100101 +011101100100 +100001110101 +100001110110 +100001110110 +100001110110 +100010000111 +100001110111 +100010000111 +100110011000 +100010011000 +100010011001 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010001000 +011101110110 +100010000111 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100010011001 +100010001000 +100010000111 +100010000111 +100010001000 +100010001000 +100010011001 +100010001000 +100001110111 +100001110110 +011101110110 +100010000111 +011101110110 +100001110110 +100001110110 +100010000111 +100010000111 +100001110111 +011101110110 +011101100101 +011101110110 +011101110110 +011101110111 +100001110111 +100001110110 +011101100101 +011101100101 +011001010100 +011001010100 +011101010100 +011101100110 +011110001000 +100001110111 +100001110111 +100010011001 +100010001000 +100010000111 +011101110111 +100001110111 +011101110111 +011101110111 +100010001000 +100010001000 +100001110110 +011101100110 +011101110110 +011110001000 +011110001000 +011101110111 +011101110110 +011101110111 +011101110110 +011001100110 +011001100101 +011101100101 +011101100110 +011101100101 +011001100101 +011101100101 +011001010100 +011001000010 +011001000011 +010100110010 +011001000011 +011001010100 +010101000011 +011001010100 +010101010100 +010101010100 +010101000011 +001100110010 +001100100010 +010100110010 +010000100001 +010000100001 +010100110011 +010101000011 +010101000011 +010000110010 +001100110010 +001100110010 +010000110010 +010000110010 +000100010001 +000000000000 +000000010001 +001000100001 +010000110010 +010100110010 +000100010000 +000000000000 +010000110010 +010101000011 +010000110010 +010000100001 +001100100001 +010000100001 +010000110001 +010000110001 +010000110001 +010000110010 +010100110010 +010000110010 +001100100001 +001100010000 +001100100001 +001000010001 +001000100001 +001100100010 +001100110011 +010001000100 +000100000000 +000100000000 +000100000000 +001100100001 +010000110010 +011001000011 +011101010100 +011001000011 +011001000011 +011001000010 +011001000010 +011001000010 +011001010011 +011001010011 +100001100100 +011101010011 +010000110010 +010001000011 +010000110011 +010000110011 +001100100010 +001100100010 +001100110011 +001000100010 +000000010001 +000000010001 +000000010001 +000000000000 +000100010001 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100010001 +000000000000 +000000010001 +000100010010 +000100010001 +000000000001 +000000000001 +000100010001 +000000010001 +000100010001 +000100010001 +001000100010 +001000100010 +000100100010 +001000100010 +001100110100 +001100110100 +001000100010 +000000010001 +000100010001 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100010000 +000100000000 +000100010001 +001100110100 +001101000100 +001101000100 +010001010110 +010001010110 +010101100111 +010001010110 +010001010110 +010001010110 +010001000100 +010101000101 +010101000011 +010000110010 +010000110010 +011001000011 +011001010100 +010101000011 +010101000010 +011001010011 +010000110010 +010000110001 +010101000010 +010101000010 +010101000010 +011001000010 +010101000010 +010101000010 +011001000010 +011001000010 +011101010011 +011101100100 +011001010011 +011001010100 +010001000011 +001100110011 +001101000101 +010001010101 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000100 +001000110011 +000100100010 +001000100010 +001100110011 +001101000101 +010001010101 +010001000101 +001100110100 +001000100010 +000100010010 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +001000100010 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +010001000101 +010101010101 +011001100101 +011101100101 +011101110110 +100001110110 +011101100100 +011101110110 +100010000111 +011101110111 +011101110111 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +011110000111 +100001110111 +100010001000 +010101000100 +011001010011 +011101100101 +100110000111 +011101100100 +011101100101 +011101110111 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +100010001000 +011101111000 +011110001000 +011110001000 +100010001000 +011101110111 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011101111000 +011110001000 +011110001000 +011101111000 +011101111000 +100001110111 +100001110111 +100001110111 +100010001001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100001110111 +100010001000 +100010000111 +011101110110 +100001110111 +100001110111 +100010000111 +100010000111 +100001110111 +100010001000 +100010001001 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011010 +100010011010 +100110011010 +100110011001 +100110001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110110 +100010000111 +100010001000 +100010011000 +100010001000 +100010001000 +100010001000 +100110011001 +100010000111 +100010001001 +100010011001 +100010011001 +100010001000 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +011101110110 +100010000111 +100010011001 +100010001001 +100010001000 +011101110110 +100010001000 +100010001001 +100010001001 +100010000111 +100001110111 +011101100110 +011101100101 +100001110111 +100001110111 +100010000111 +100010001000 +011101110111 +011101110110 +011101110110 +100010000111 +100010001000 +011110001000 +011101110110 +011101110110 +011101110111 +011101110111 +100010000111 +100010001000 +100010001000 +011101110111 +011101110110 +011001100101 +011001100110 +100001110111 +011101100110 +100010000111 +100010000111 +100010000111 +011101110110 +011001010100 +011101100101 +100001110111 +100010001000 +100010001000 +100001110111 +100001110110 +011101110110 +011101110111 +011110000111 +011101100110 +011101100110 +011101100101 +011001010101 +011001010101 +011001010100 +011001010100 +011101100110 +011101110111 +011110001000 +100010001001 +011101110110 +011001000011 +010100110010 +010100110010 +011001010100 +011101100101 +011101100101 +011001010101 +011001100101 +011001010100 +011001010011 +010101000011 +011001010100 +011001010100 +010100110010 +010000100001 +001100100001 +010000110010 +010101000011 +010000110010 +001100100001 +001100100010 +010000110010 +001100100010 +000100010010 +000000000000 +000100010001 +010001000011 +010101000010 +010101000011 +001000100001 +000000000000 +001100110010 +010101000011 +010101000011 +010100110010 +010000110001 +010100110010 +010101000010 +011001000010 +011001000011 +011001010100 +011001000011 +010101000010 +010000110010 +010000110010 +010101000010 +010101000011 +010000110010 +010000110010 +010000110010 +001100100010 +001000100010 +001100100010 +001100100010 +001100110010 +000100010001 +001000010001 +001100100010 +001000100001 +001100110010 +010000110010 +010101000011 +010000110010 +010000100010 +001100100010 +010000110010 +011001000010 +001100100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +000100010010 +000000000001 +000000000001 +000000000000 +000000000000 +000000000001 +000000000001 +000000000001 +000100010001 +000100010010 +000100010010 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000100010010 +000100100010 +000100100010 +001000110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001100110011 +001000100011 +001000100011 +001000100010 +000100010001 +000100010001 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100010000 +000100000000 +000100010001 +001100110011 +001000110011 +001101000100 +010101010111 +010101010110 +010101010110 +001101000101 +010001000101 +010001000101 +001101000101 +010001000101 +001100110011 +001100100010 +001100110011 +010101000100 +010001000011 +010001000011 +010000110010 +010101000011 +010100110010 +010100110010 +011001000011 +011101100100 +011001010011 +011001000011 +011001010011 +010100110010 +010101000010 +010101000010 +010000110010 +010000110010 +010101000010 +011001010100 +011101010100 +011101100101 +011001100110 +010101100101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000100 +001000110011 +001000100001 +000100100001 +001000100010 +001000110011 +001101000100 +001101000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +000000010001 +000000010001 +000100010001 +000100010001 +001000100010 +001000110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +010001000100 +011001010011 +011101100101 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +100010001000 +100001110111 +100001110111 +011101110110 +100001110111 +100001110111 +100010001000 +100010001000 +100010011001 +100010001001 +011110001000 +011110001000 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +100010001000 +011101111000 +011110001000 +011101110111 +100001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +011101110111 +100001110111 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +011110001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010001001 +100010001000 +100001110111 +100010000111 +100010001000 +100001110110 +100001110111 +100010000111 +011101110110 +100001110110 +100010000111 +100001110111 +100010001000 +100010001000 +100001110111 +100010001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010001000 +100010001000 +100110011000 +100010000111 +100010001000 +100010001000 +100010001000 +100001110111 +100001100101 +100001100101 +100001100101 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100010000111 +100001110110 +100010000111 +100010001000 +100010011000 +100010011001 +100010001000 +100010011001 +100010011001 +100010000111 +100001110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010011001 +100010011001 +100010001001 +011101110110 +100010000111 +100010011001 +100010011001 +100010000111 +100010000111 +100001110111 +100001110110 +100001110110 +100010000111 +100010001000 +100010001000 +100010000111 +011101100101 +011101100101 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011110001000 +100001110111 +011101110111 +011101110111 +011101110110 +100001110110 +011001010100 +011101100110 +100001110111 +011101110110 +100001110111 +100001110110 +100001110110 +011101100110 +011101100101 +011101100100 +011101100101 +011101110111 +100010000111 +011101110110 +100001100110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100101 +011001010100 +011001100101 +011101100110 +011101100110 +011101110110 +011101111000 +011110001000 +100001110111 +011001000011 +011000110010 +011101010100 +011101100101 +011001100101 +011101100110 +011001010101 +011101010100 +011101010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101100100 +011001010100 +011001010100 +010101000011 +010101000011 +001100100001 +001000010001 +001100110010 +010101000011 +001100100010 +000100100010 +000100010001 +001000100010 +010101000011 +010000110010 +010101000100 +010001000100 +000100010001 +001100100010 +010100110010 +010101000011 +010101000011 +010000110010 +010101000011 +011001000011 +010100110010 +010100110010 +010000110010 +001100100001 +010100110010 +010000100001 +011001000011 +010101000011 +010000110010 +010000110010 +010101000011 +011001010011 +010100110010 +001100100001 +010101000011 +010101000011 +010101000011 +001000010001 +001100100010 +010001000011 +000100000000 +000100010001 +001000010001 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100001 +001100100001 +000100000000 +000100000000 +001000010001 +001000010001 +001000100001 +001000010010 +000000000001 +000000000000 +000000000000 +000000000000 +000000000001 +000100010010 +000000000000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +001000100011 +001100110100 +001000100011 +001000100010 +001100110011 +001000100011 +001000100010 +001100110011 +001100110100 +001100110100 +001100110011 +001100110011 +001100110100 +010001000100 +010001000100 +010001000100 +001101000100 +001101000011 +001001000011 +001000110011 +001000110011 +001000110011 +000100010000 +000100010001 +000100010001 +001000100010 +001000100010 +001100110010 +001100110100 +010001000101 +010001010110 +001101000101 +010001000100 +001100110100 +010001000100 +010001000100 +000100100010 +001100110011 +001101000100 +001101000100 +001101000100 +010001010101 +001100110011 +001100110010 +010000110010 +010000110010 +010000110001 +010000110001 +010101000011 +010101000010 +010000110010 +010000110010 +011001010011 +011001010011 +010101000011 +010101000011 +010000110010 +011001000011 +011101010100 +100001110101 +101010000111 +100001110101 +011001010100 +011001010100 +010101010101 +010101010101 +010001010101 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000100 +001000110011 +001000100010 +001000100001 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000100011 +000100100011 +000100100010 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010010 +001000110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000100 +001000110011 +000100010010 +000100010010 +000100100010 +001101000100 +010101010101 +011001100110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100001110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +100010001000 +100010011001 +011110001001 +011110001001 +011110001001 +011110001000 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +100010001001 +011110001001 +100010001001 +100010001001 +011110001000 +100010001000 +100010001001 +011110001000 +100010001000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +100010001000 +100010001000 +100010001000 +100001110111 +100010001000 +100010001000 +011101110111 +011101110111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100001110110 +100001110110 +011101100101 +011101100101 +100001110110 +011101100101 +100001110110 +100001110111 +100010000111 +100010000111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100001110110 +100010000111 +011101110110 +011101110111 +100010011001 +100010001000 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100001110110 +100001110111 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100001110111 +011101110111 +011101110111 +011101100110 +011101100110 +011101110110 +011101110111 +100010001000 +011101110111 +100001110111 +011101110110 +011101110111 +100001110111 +011101100110 +100010000111 +100001110111 +011101110111 +100010001000 +011101110111 +011101110110 +100001110110 +100001110110 +011101100101 +011101100101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100101 +011101100110 +011101110110 +011101100110 +011101110111 +011101100110 +011101100110 +011001010101 +011001010100 +011001000011 +011101010100 +011101100100 +011101100101 +011101100101 +011001010011 +011001000011 +011001010100 +011101100101 +011101010100 +010100110010 +011000110010 +011001000011 +011101010011 +011101010100 +011001000011 +010101000011 +010101000100 +010101000100 +010101000011 +010000110001 +001000010001 +000000000001 +000100000000 +001000100001 +010000110010 +010001000011 +010101000100 +010101010100 +010101010100 +010000110010 +010100110010 +011101100101 +011101100101 +010000110010 +001100100001 +010100110010 +010000110010 +010000100010 +010000100001 +010100110010 +010000110010 +010000100001 +010100110010 +011001010100 +011101010100 +011101100100 +011101010100 +011001000010 +011001000011 +011001010011 +010101000010 +010100110010 +010100110010 +001100100001 +001100100001 +010101000011 +010000110010 +001000010001 +001000010001 +001100100010 +001100100001 +001000010000 +000100000000 +000100000001 +000100010001 +001000010001 +000100010001 +000100010001 +001000010001 +001100100001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000100010001 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100011 +000100100010 +001000110011 +001000110100 +001100110100 +001000100011 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110100 +010000110100 +001100110100 +001100110100 +010001000100 +010001010101 +001101000100 +001101000100 +000100010000 +001000010001 +001000100001 +000100010001 +001000100010 +001100100010 +001000100010 +001100110100 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001100110011 +010001000101 +001100110100 +001101000100 +010001010110 +010101100111 +010101010110 +001101000100 +000100010001 +001100100010 +011101100101 +011101010011 +100101110101 +100101110101 +010101000011 +010000110001 +011101100100 +100101110110 +100001110101 +011101100011 +011001000010 +011001010011 +011001010011 +011001000010 +011101010011 +011001000010 +010100110010 +011001000010 +011101010011 +011101100100 +011101100101 +011001010101 +010101010101 +010101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000100 +001000110011 +001000100010 +001000100010 +001000110011 +001100110100 +001000110100 +001000110100 +001000110011 +000100100011 +000100100010 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000100 +001000110100 +000100100011 +000100100010 +000100010010 +000000010010 +000000010010 +000100010010 +000100100010 +001100110011 +010101010101 +011001110111 +011101111000 +011110001000 +011110001000 +011101110110 +011101110111 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +100010001000 +100010001000 +100010011001 +100010001000 +011101110111 +011101110111 +011101110111 +100010001000 +100010001001 +100010001001 +100010001000 +011101110111 +100010001000 +011110001000 +011101110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101111000 +011101111000 +011110001000 +011110001000 +100010001000 +100010001000 +011110001000 +100010001000 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +100010000111 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010000111 +100010000111 +100010001001 +100010001001 +100010011001 +100010000111 +100001110110 +100001110110 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +100001110110 +011101100101 +100001110111 +100010001000 +100010000111 +100001110110 +100001110111 +100001110111 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010000111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010011000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001001 +100001110111 +011110000111 +011101110111 +011101110111 +011101110111 +100001110111 +100001110111 +100010001000 +011101110111 +100001110111 +011101110111 +100001110111 +100001110111 +011101110111 +100010001000 +011101110111 +100001110111 +100010001000 +100001110111 +011101110110 +100001110110 +011101100101 +011001010101 +011101100101 +011101100101 +100001110110 +100001110110 +011101100101 +100001110110 +100001110110 +011101100101 +011101110111 +100001110111 +100001110111 +100010001000 +011101110111 +011101110110 +100001110111 +100001110111 +011101110110 +011001010100 +010101000011 +011001000011 +011001000011 +011001000011 +011101010011 +011101010100 +011101100101 +011101110110 +011101100100 +011101010100 +011001010100 +011101100110 +011101010100 +011001000011 +011001000011 +010101000010 +011001000011 +011001010011 +011001000011 +010101000010 +011001000011 +010101000011 +011001010011 +010101000010 +000100000000 +000000000000 +001100100001 +001100100001 +010000110010 +010000110010 +010001000011 +010001000011 +001100110010 +001100100001 +010000100001 +010000110010 +011001010100 +010000110010 +001100100001 +001100100001 +010000100001 +010100110010 +011001000010 +011001000011 +010101000010 +010101000011 +010101000011 +010000110010 +011001000100 +010101000011 +011001000011 +011101010011 +011101010100 +100001100101 +011001010011 +010101000010 +011001000011 +011001010100 +010101000011 +011001000011 +011001000011 +010000100010 +001100100010 +010000110010 +001100100001 +001100100001 +000100000000 +000100010001 +001000010001 +001000010001 +000100010000 +000100010001 +000100010000 +001000010001 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +001000100010 +001000100011 +000100100010 +001000100010 +001000100001 +000000000000 +001000100010 +001000110011 +001000100011 +001100110100 +001000110100 +001000110011 +001000100011 +001000100011 +001000110100 +001100110100 +010001000100 +010001000100 +001101000100 +001100110100 +001100110011 +001100100011 +001000100011 +001000100010 +001000100011 +001100110011 +010001000100 +010001000101 +010001000100 +001100110011 +001100110011 +001100110100 +001100110011 +001100110100 +010001000101 +010001000100 +001100110011 +000100010000 +001000100001 +001000010001 +001000010001 +001000100010 +001100100010 +001000100010 +001100100010 +001000100010 +001100110011 +001100110100 +001100110011 +000100010001 +001000010001 +001100100011 +001100110011 +001100110011 +001100110100 +010001010101 +010001010110 +010001000101 +001101000100 +001000100010 +001000100010 +001000100010 +001100110010 +011001010100 +011001010011 +010000110010 +010000110010 +011101100100 +011001010011 +010101000010 +010000110010 +010100110010 +010101000010 +010101000010 +011001010010 +011001000010 +011001000010 +010100110001 +010100110001 +010101000010 +011101010011 +011101010011 +011101010011 +100001110101 +011101110101 +011001100110 +010101010101 +010001010101 +010001010110 +010001010110 +010101010101 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001000110011 +000100100010 +001000100010 +001101000100 +010001000101 +001101000101 +001101000100 +001000110011 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010001 +001000100010 +001000110011 +001000110011 +001000110010 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001100110100 +001000110011 +001000110011 +000100100010 +000100100010 +000100010001 +000100010010 +000100010010 +000100100010 +000100010010 +000100100010 +001000100011 +001000110011 +001101000100 +010001010101 +011001100110 +011001100110 +100010001000 +100010001000 +011110001001 +011101111000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011101110111 +100010001001 +100010011001 +100010011001 +100010000111 +100001110110 +100001110110 +100001110110 +011101110110 +100001110111 +011101110111 +100010001000 +011110001001 +011110000111 +011101110110 +011101100101 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001001 +011110001000 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +100010001001 +100010001001 +011110001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +011110001000 +100010001001 +100010001001 +100010001000 +011110001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001001 +100010001000 +011110001000 +011110001001 +100010001001 +100010001001 +100010011001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010011001 +100110011001 +100010000111 +100001110111 +100001110110 +011101100101 +100001110110 +100001110111 +100001110110 +100001110110 +100001110111 +100001110110 +100001110110 +100001110101 +100001110110 +100010000111 +100010000111 +100001110110 +011101100101 +011101100101 +011101100110 +100001110110 +100001110110 +100010000111 +100001110110 +100001110110 +100010000111 +100001110110 +100001110110 +100001110110 +100001110111 +100010001001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110110 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010000111 +100010001000 +100010001000 +011101110111 +100010000111 +100010001000 +100010001000 +011101110111 +100001110111 +011101110111 +011101110110 +100001110111 +100010000111 +100010000111 +100010000111 +011101110110 +100001110111 +011101110111 +011101110110 +011101100101 +011101100110 +011001100101 +011101100100 +011101100101 +011101100101 +011101110110 +011101100110 +011101100110 +011101100101 +011101100101 +100010000111 +100010000111 +011101100110 +011101100110 +011101110111 +100001110111 +011101110111 +011101110111 +011101100110 +011101110110 +011001100100 +011001010011 +011001000011 +010100110010 +010100110010 +011001000011 +011001000100 +011001010100 +011001000011 +011101010011 +011001000011 +011001000011 +011001000011 +011001010100 +011101010100 +011001000011 +011101010100 +011001010011 +011001000010 +010100110010 +010101000011 +010000100001 +010000110001 +010000100001 +000000000000 +000100000000 +001100100001 +010100110011 +011101100110 +011101110110 +011101110110 +011101100101 +010101000100 +001100100001 +001100100001 +001100010001 +001100100001 +001000010001 +010101000011 +011001010100 +010100110010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010101 +010000110010 +010000110010 +010101000100 +010101000100 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001100101 +011001010011 +011001010011 +011001010100 +010101000010 +011001000011 +010100110010 +010100110010 +010000110010 +001100100001 +001000010001 +001100100001 +001000010000 +000100000000 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000001 +000100100010 +000100100010 +000100010010 +000100010001 +000100000000 +000100000000 +001000010010 +001000100010 +001000110011 +001100110011 +001100110100 +001100110100 +001100110100 +001100110100 +001000110011 +001000100011 +001100110100 +010001000101 +001101000101 +010001000100 +010001000100 +001100110100 +010000110100 +010000110100 +001100110011 +001100110100 +001100110011 +010001000100 +010000110100 +010000110100 +001100110011 +001100110011 +001100110100 +001100110011 +001100110100 +001100110100 +001100110011 +000100010000 +000100010000 +001000100001 +001000100010 +001100100010 +001100100010 +001000100001 +001000100001 +001100110011 +001101000100 +010001000101 +001000100010 +000100010001 +001000100010 +001000100010 +001000100010 +001100110100 +001101000101 +010001000101 +001101000101 +001000110011 +000100010001 +000100010010 +001000110011 +000100100010 +010001000101 +010101010110 +010101010101 +010101010100 +010001000011 +010000110001 +010000110001 +010100110010 +010000110010 +010100110010 +010100110010 +011001000010 +011001010010 +011001000010 +011001010010 +011101010011 +011001000010 +011001000010 +011101010011 +011101010011 +011001010010 +011101010011 +011101100011 +100001100100 +011101100101 +011101100101 +011001100110 +011001010101 +010101010110 +010001010101 +010001010101 +010001010110 +010001010101 +001101000101 +001000110011 +000100010010 +000100100010 +001100110100 +010001010101 +010001010101 +001101000101 +001000100011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100100001 +000100100010 +000100100001 +000100100001 +000100100001 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +000100100001 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +001000100010 +000100100010 +001000100011 +001000110011 +001000110011 +001101000100 +010101010101 +011001100111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +100010001001 +011110001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010000111 +011101010011 +100001010011 +011101100100 +011101100101 +011110000111 +100001110111 +011101100100 +010000110001 +010101000011 +011101100110 +011101110111 +011101100110 +011101110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +011110001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +011110001000 +011110001001 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +011110001000 +100010001001 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010001000 +100010001000 +100010011001 +100010011001 +100010001000 +100010001000 +100010000111 +100001110110 +100001110111 +100010000111 +100001110111 +100010000111 +100001110110 +010000110010 +011001010100 +100001110110 +011101100101 +100001110111 +100010000111 +100001110111 +100001110111 +100001110110 +100001110110 +011101100101 +100001110110 +100001110111 +100001110110 +100001110110 +100001110101 +100001100100 +100001100101 +100001100101 +011101100101 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100001110111 +100001110110 +100001110110 +011101100101 +100001110111 +100010001000 +100010001001 +100010011001 +100010001000 +100001110111 +100001110111 +011101110111 +011101110111 +100010001000 +011101110111 +011101110111 +100010001000 +100010001000 +011101110111 +100010001000 +011101110111 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +011101110110 +100001110111 +011101110110 +011101110101 +011101100101 +011101010100 +011101100101 +011101100101 +011101100110 +011101100101 +011101100101 +011101100101 +100001110110 +100010000111 +100001110110 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011101110111 +011101100110 +011101110110 +011101110110 +011101100110 +011101100101 +011101100101 +011001010100 +011101100101 +011101010100 +010100110010 +010100110001 +010100110010 +010100110010 +010100110010 +011001000011 +011001010101 +010101000100 +010100110010 +010100110010 +010100110010 +010100110001 +010101000011 +011101100101 +011001010100 +010100110010 +001000010001 +000000000000 +001100100001 +010100110010 +011001100110 +011110000111 +011101100110 +011101100101 +011001010100 +100001110111 +011101110111 +010000110011 +010000110010 +001000010001 +001100100001 +001100100001 +001100100001 +001100100001 +010000110010 +010000110010 +011001010100 +011001100101 +010101010100 +011001010100 +010000110011 +010001000011 +011001010101 +011101100110 +010101000011 +010100110010 +010101000011 +010100110010 +010101000011 +010101000100 +011001010101 +011001100101 +010101000011 +010101000011 +011001000011 +011001010011 +010101000010 +010101000011 +011101100101 +010101000011 +010000110010 +010000110010 +010000100010 +001100100001 +001000010000 +001000010000 +001000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000100010010 +000000010001 +000100010001 +000000000000 +000100010000 +000100010001 +000100010001 +001000100010 +001100110011 +001100110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010001000101 +010001010110 +010001000101 +001100110100 +001100110100 +010001000101 +010000110100 +001100110011 +001100100011 +001100100010 +001100100010 +001100100010 +001100100011 +001100100011 +001100100011 +001100110011 +001100110100 +001100110011 +001000100011 +000100010000 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001100110010 +001100100011 +001000100010 +001000100001 +001000100001 +001000100010 +000100010001 +001000100010 +001100110011 +001000100011 +001100110011 +001100110100 +001100110100 +000000000000 +000000010001 +010001000101 +010001010101 +001100110100 +001101000100 +010001010101 +010001010101 +001101000100 +010001000011 +010101000100 +001100110010 +001100100001 +010100110010 +010101000010 +011001000010 +011001000010 +011001010011 +011101010011 +011101010011 +011101010011 +100001100011 +011001000010 +011001000010 +011101010011 +011001000010 +011101010011 +100001110101 +100001100100 +100001100100 +011101100100 +100001100100 +100001100101 +011001100101 +010101010110 +010001010101 +010001010101 +001101000100 +001000110011 +000100010001 +000100100010 +001100110100 +010001000101 +010001010101 +001101000101 +001000100011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010001 +000100010001 +000100010001 +000000010001 +000100010001 +000100100001 +000100100010 +000100100001 +000100100010 +001000100011 +001000100011 +000100100010 +000100100010 +001000100011 +001000110011 +010001000011 +010101010100 +011001100110 +011101110111 +011101111000 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +100010001001 +011110011001 +011110001001 +100010001001 +100010001000 +100010000111 +100110000111 +100001100101 +011001000011 +011101100011 +100001110101 +011001010011 +010000100001 +010100110010 +011101010100 +100001110110 +011101110110 +011101110110 +011101110110 +100001110111 +100010001000 +100010001001 +100010001001 +100010001001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101110111 +011110001000 +011110001000 +011110001001 +100010001001 +011110001001 +100010001000 +100010001000 +011110001001 +100010001000 +100010001000 +011110001000 +011110001001 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +011110001000 +100010001001 +100010011010 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +100001110111 +011101100110 +100010000111 +100010001000 +100010001001 +100010011010 +100010011001 +100110011001 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +010100110001 +010101000010 +100001110110 +100001110110 +100001110110 +011101110110 +011101100110 +100001110111 +100001110110 +100001110110 +011101100101 +100001110110 +100001110111 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +011101100100 +100001110110 +011101110110 +100010000111 +100001110111 +100010001000 +100010000111 +100001110110 +100001110110 +100010000111 +100001110111 +100001110110 +100010000111 +100010001000 +100010011001 +100010001001 +100010000111 +100001110111 +100010000111 +100010001000 +011110001000 +011110001000 +011101110111 +011101110110 +011101100110 +011101110111 +011101110111 +011101111000 +011110001000 +100010011001 +100010001001 +100010011001 +100010001000 +011101110111 +011101110111 +100001110111 +011101110110 +100001110111 +100001110111 +011101110110 +011101100101 +011101100101 +011101110110 +011101100110 +011101100101 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011101100101 +011101100110 +011101110110 +100001110111 +011101100101 +011101010101 +011101100110 +011101100101 +011001010101 +011101100110 +011001100110 +011101110111 +011101110111 +011101110110 +011101110111 +011001100101 +010101010100 +011001010100 +011001000100 +010101000011 +010100110010 +010100110011 +011101100110 +011101100110 +011001010100 +010100110010 +011000110010 +011101010100 +010101000011 +011101100101 +011101100101 +011101100101 +001100100010 +000000000000 +001100100001 +010100110010 +011001010101 +011101110111 +011101100101 +011001000011 +010101000010 +011101110111 +011110001000 +011101100110 +011001010100 +000100000000 +000100000000 +001100100001 +001100010001 +010000110010 +010100110010 +010000110010 +001100100010 +001100110010 +010101000100 +010101000100 +010101000100 +010101010101 +011001010101 +010101000100 +010101010101 +010000110011 +010000100010 +010000100001 +010101000011 +011101100110 +011101110111 +011101110111 +011001100101 +010101000010 +010100110010 +010000110010 +010100110010 +010100110010 +011101010100 +010101000011 +010000110010 +010101000010 +010101000011 +010101000010 +010000110001 +001100100001 +001100100001 +000100010001 +000000000000 +000000000000 +000000000000 +000100010001 +000100010010 +000100010001 +000000000000 +000000000000 +000100010000 +000100000000 +000100010001 +001000100010 +010001000100 +010101000101 +011001100110 +010001000101 +001100110011 +001100110011 +001100110100 +001100110100 +001100110011 +001100110011 +001100110100 +010001000101 +010001000101 +010101010110 +010101010110 +001100110100 +001100100011 +001000100010 +001100110011 +001100110011 +010000110011 +001100100010 +001000100010 +001100100010 +001100100010 +001100110011 +010001000100 +010101000101 +010000110100 +000100010001 +000100010001 +001000010001 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +001000100001 +000100010000 +000100010001 +001100100010 +001000100001 +001000100010 +000100010001 +001000100001 +001000100010 +000100010001 +001000100010 +001000100010 +000100010001 +000000000000 +001000100010 +010001010110 +001101000100 +001000100010 +001000100010 +001100110011 +001101000101 +010001010101 +010001010110 +010001010101 +010101010110 +010001000100 +010000110010 +011001000010 +011101010011 +011101100100 +011001010011 +010101000010 +011001000010 +011001000010 +011001000010 +010101000010 +011001000010 +011101010010 +011101010011 +100001100100 +100001100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010011 +011101100100 +100001100101 +011101100101 +010101100101 +010001000100 +001000110011 +000100010001 +000100010010 +001000110011 +001101000101 +001101000101 +001101000101 +001000100011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100100010 +000100100010 +001000100010 +001000110010 +001000110010 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000000010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100001 +001000100001 +001100110010 +010001000011 +011001010101 +011101110111 +011101111000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100001110111 +100001110110 +100001100101 +100110000111 +100001110110 +011101100100 +011001000010 +010000110001 +011001010100 +011101100101 +011101100101 +100001110110 +100001110110 +100001110111 +011101111000 +011110001001 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +100010001000 +011101111000 +011101110111 +011101110111 +011101110111 +100010001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +100010001000 +100010000111 +011101110111 +011110001000 +011110001000 +011110001000 +100010001001 +011110001000 +011110001000 +011110001000 +100010001001 +100010011001 +100010011001 +100010001001 +011110001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100001110111 +100001110110 +011101100110 +100001110110 +011101100110 +100010001000 +100010011001 +100010011010 +100010011010 +100010001000 +100010000111 +100001110111 +100010000111 +100010000111 +100010001000 +100010000111 +011001000011 +010100110001 +011101100101 +100001110110 +100001110110 +011101100101 +100001110110 +100001110110 +011101100101 +011101100110 +100001110110 +100001110110 +100001110110 +011101100100 +011101100101 +100001100101 +100001110110 +100010000111 +100001110101 +100001100101 +011101100101 +100110000111 +100001110110 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +011101100110 +100001110110 +100001110111 +100001110111 +100010001000 +100010011001 +100010001000 +100010001000 +011110001000 +011110001001 +011110001000 +011101110111 +011101110111 +100010000111 +100010000111 +100001110111 +011101110111 +010101100110 +011001100110 +100010001000 +100010001001 +100010011010 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100010000111 +100001110111 +100001110111 +011101110111 +011101110111 +100010001000 +011101110110 +011101110110 +011101110110 +011101100110 +011101100101 +100001110110 +011101100110 +011001100101 +011101100101 +011101100101 +011101100101 +010100110010 +011001010100 +011101110110 +011101100101 +011001010101 +011001010100 +011101100101 +011001100101 +011101100110 +011101110110 +011001010011 +010101000011 +011101100110 +011101100110 +011101100101 +011001010100 +010000110001 +010100110010 +010101000011 +011001010101 +010101000011 +010101000010 +010101000011 +010101000010 +010000110010 +010101000011 +010101000011 +001100100010 +000000000000 +001100100001 +011001000010 +010100110010 +010101000011 +011001010101 +010100110010 +011001000011 +011101110110 +011001110111 +011101100110 +010101000011 +010000110010 +001100100001 +001000010000 +001100010001 +010100110010 +010100110010 +011001010100 +011001010100 +010000110010 +010101000011 +010101010100 +010000110011 +010000110011 +001100110011 +010001000100 +011001100101 +011001100101 +011001010101 +010101010100 +010101000100 +011001010101 +010101010101 +011001100111 +011001100110 +010101000011 +010000110011 +010101000011 +010101000011 +010000110001 +010100110010 +010000100001 +010000100001 +010100110010 +010101000011 +010100110010 +010000100001 +001100100001 +001100100001 +001100100001 +000000000000 +000000000000 +000100000000 +000100010001 +000100010001 +001000100001 +000000000000 +000000000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010001 +001101000011 +010101010101 +010001000100 +010001000100 +010001000101 +010001000101 +010001000101 +010001000100 +001100110011 +001100100011 +001100110011 +010001000101 +010001010101 +010001000101 +001100110011 +001000100010 +001000100010 +010001000100 +010000110011 +001100100010 +001100100011 +001100110011 +001100100011 +010000110011 +001100110011 +001100110011 +001100110011 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000010001 +001000100010 +000100010001 +001000010001 +000100010001 +001000100001 +001000100001 +001000010001 +000100010001 +001000100010 +000100010001 +000000000000 +001100110011 +001101000100 +001000100010 +001100100011 +001100110011 +001100110011 +001100110100 +001100110011 +001101000100 +010001010110 +010001010110 +010001000101 +001100110010 +010000110010 +011101010100 +100001110110 +011001010100 +010100110010 +011001010011 +011001010011 +010101000010 +010101000010 +010101000010 +011001000010 +011101010011 +011001000010 +011001000011 +011001000011 +011001000011 +011001000010 +011101010010 +011001010011 +011001000010 +011101010011 +011101100100 +011101100100 +011101100100 +010101010100 +001100110010 +000100010001 +001000110011 +001101000101 +001101000101 +001101000101 +001000110011 +000100010000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100011 +001000100010 +001000110010 +001000100010 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100010 +001000100010 +001000110011 +001000110011 +001000110100 +001000110011 +001000100011 +000100100010 +000100100010 +000100100001 +000100010001 +000100010000 +000100010000 +001000100001 +001100110011 +010101010101 +011001100111 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +100010001001 +011110001001 +011110001001 +011110001001 +011110001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010000111 +100010000111 +100010000111 +100001100100 +010100110010 +010100110010 +010100110010 +010100110010 +011101100101 +011101110110 +100001110111 +011101110110 +011101110111 +011110000111 +100010001000 +011101110111 +011101111000 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +100010001000 +100010000111 +100010001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001001 +011110001001 +011110001001 +100010001001 +100010011010 +100010011010 +011110001001 +100010001000 +100010001000 +100010011001 +011110011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010000111 +100010000111 +100001110110 +011101110110 +011101100101 +100010000111 +011101110111 +100010011001 +100010011001 +100010001001 +100010001000 +100001110111 +100010000111 +100001110111 +100010000111 +100001110110 +010100110001 +010000100001 +011001000011 +100001110110 +100001110111 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101100101 +011101100101 +011101010100 +011101100100 +011101100100 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +011101110110 +100001110111 +100001110111 +011101100110 +100001110111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +011110001000 +011101111000 +011110001000 +100010001001 +100010001000 +011101110111 +011101110111 +011001100111 +011101110110 +100010001000 +011110001000 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +011101110111 +011110001000 +100010001000 +100001110111 +011101110111 +011101110111 +011101110110 +011101110101 +011001010101 +011101100110 +011101100101 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011001010100 +010101000011 +011001010100 +011001010100 +010101000010 +010101000010 +010101000010 +010101000011 +011101110111 +011101110111 +010101010100 +010100110010 +010000100001 +010000100001 +010000100001 +010101000010 +011101100100 +010100110010 +010101000010 +010101000010 +010101000011 +010100110010 +010000100001 +010000110010 +001000100001 +010100110010 +010101000010 +010100110001 +010100110001 +010100110010 +010000100001 +010100110010 +010101000011 +011101100110 +011001010100 +011001010100 +011101100101 +011001010101 +010000110011 +001100100001 +010000110010 +010101000011 +011101100101 +011101100101 +011001000100 +011101100110 +011101110111 +011101110111 +011101100110 +011001100101 +011001100110 +010101010110 +010101010101 +010101010101 +010101010101 +011001010101 +011001010101 +010101010101 +010101010101 +010101010101 +010101010110 +011001100111 +011001110111 +011001100110 +010101010100 +010000110010 +010000100001 +001100100001 +010000100001 +010000110010 +010100110010 +010100110010 +010000110001 +010000100001 +010000100001 +001100100001 +001000010001 +000100000001 +000100010001 +000100010000 +000000000000 +000100000000 +000100000000 +001000010000 +001100100001 +001000010000 +001000010000 +001000010000 +000000000000 +000100000000 +001000010001 +001100100010 +010000110011 +001100110011 +010000110011 +001100110011 +001100110100 +010001000100 +001000100010 +001000100010 +001100100010 +001100110011 +001100110100 +001100110011 +001000100010 +001000100010 +001100110011 +001100100011 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +000100100010 +000100100010 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +000100010001 +000100010000 +000100000000 +000100010000 +001000010001 +001000010001 +001000100001 +000100010000 +000100010000 +000100010001 +000000000000 +000000000000 +000100010001 +001100110011 +001100110011 +001100110100 +001101000100 +010001000101 +010001000101 +001000110011 +001100110011 +010001000101 +010001010110 +010001010101 +001000100010 +001100100010 +001100100010 +010000110010 +010000110010 +010000100010 +010101000010 +010101000010 +010000110010 +010100110010 +010100110010 +010101000010 +011001000010 +010101000010 +011001000010 +011001010011 +010101000011 +010101000010 +010101000010 +011001010011 +011101010011 +100001100100 +011101010011 +011101010010 +011101010010 +100001100011 +100001100100 +011101010100 +011001010100 +010101010100 +010001000101 +001101000101 +001000110011 +000100010001 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010010 +000100100010 +000100100010 +000100100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +001000100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001100110010 +010001010100 +011001100101 +011101110110 +100001110110 +100001110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +100010001001 +011110001000 +100001110111 +011110001000 +100001110111 +011101110111 +011101110110 +011101010100 +011001010100 +011101010100 +011101010011 +100001110110 +100001110110 +011101110110 +011101100101 +011101100101 +011101010100 +011101100100 +011101100101 +011101100101 +011101110111 +011101111000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +011110001001 +011110011001 +011110011010 +100010011010 +011110001001 +100010001001 +100010001000 +100010001000 +100010001010 +100010011001 +100010011001 +100010001001 +100010000111 +100010001000 +100010001000 +011101110110 +011101010100 +011001010100 +011001100101 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100010000111 +011101110111 +100001110110 +011101100100 +011101010100 +011101100101 +011101100101 +011101100110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +100001110110 +011101100101 +011101100100 +011001010011 +011101100100 +011101100100 +011101100101 +100001110110 +100001110110 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +011101110110 +011101100101 +011101110110 +100001110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101110110 +011001100101 +011001010100 +011101110111 +100010001000 +100010001000 +100010001001 +011110001000 +011101111000 +011101111000 +011001100110 +011101110111 +100001110111 +011101110111 +100010011001 +100010011010 +100010001001 +100010000111 +100010000111 +100001110111 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +011110001000 +100001110111 +011101100110 +011101100110 +011101100101 +011101100101 +011001010100 +011001010101 +011001010101 +011001010100 +100001110110 +011101110110 +011101100110 +011001010101 +011001010100 +010000110011 +011001010100 +011001100101 +010101000011 +010101000011 +011101100101 +010101000011 +011001010100 +011001100101 +011001010100 +010101000011 +010101000010 +010101000010 +010100110010 +010000110010 +011001010100 +010101000100 +011001100101 +010101010100 +010101000011 +010101000011 +010000100001 +010000100001 +010100110010 +011001000010 +010100110010 +010100110010 +010100110010 +010100110001 +010100100001 +010100110010 +010100110011 +011001010101 +011101100101 +011101100101 +011101100101 +011001100101 +011101100101 +010101000011 +001100100010 +010101000100 +011101100110 +011001010100 +010101000100 +011101110110 +010101010101 +011001100110 +011101100110 +011101110111 +100010001000 +100010001001 +100010001001 +011110001000 +011101110111 +011001100101 +011001100101 +010101010100 +010000110011 +010001000100 +010001000100 +010101010110 +011001100110 +011001110111 +010101010110 +001100110011 +001000100010 +001100100010 +001100100001 +010000100001 +010100110001 +010100110010 +010000100001 +010000110010 +010000110011 +010001000100 +001100110011 +000100010010 +000000010001 +000000000000 +000000000000 +001000100001 +001100100010 +001100100001 +010000110001 +010000110010 +001100100001 +001100100001 +001100100001 +001100100001 +001000010001 +001000010001 +001000010001 +000100000000 +000100010000 +000000000000 +000000000000 +000100010001 +001000010001 +001100100010 +010001000011 +010000110011 +010101010100 +001100110011 +001000100011 +001000100011 +001101000100 +001100110100 +001000110011 +001100110011 +001000100011 +001000100011 +001100100011 +001100100011 +001100100011 +001100110011 +000100010010 +000100100010 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000010001 +000100010001 +000100010000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000001 +000100000001 +000000000000 +000000000000 +000100010000 +001000100010 +001100110011 +010001000101 +010001000101 +001101000100 +001101000100 +001000100010 +000100010001 +001100110011 +001100110011 +001000100010 +000100010001 +001000100010 +000100010001 +001000010000 +001000010001 +001000100001 +010000110010 +010001000011 +010101000011 +010101000011 +010100110010 +010100110010 +010100110010 +010101000010 +010101000010 +011001010011 +011001010011 +010101000011 +010101000010 +010101000011 +010101000010 +010101000010 +011001000011 +011101010011 +100001100011 +011001000010 +011001000011 +011101010011 +011101010011 +011101010011 +011101100100 +011001100101 +001100110011 +000100010001 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000010001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +001100100001 +010101000011 +010101000010 +010101000011 +011101100110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101110110 +100001110111 +011101110111 +011101110110 +011101100101 +011101100101 +011101100101 +100001110110 +011101110110 +011101100101 +100001110110 +011101010100 +011101010011 +010100110010 +010000100001 +010100110010 +011001010011 +011101100101 +011101110111 +011110001000 +011001110111 +011101110110 +011101111000 +011110001001 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101110110 +011101110111 +011110001000 +011101111000 +011110001000 +011110001000 +011101111000 +011101110111 +011101111000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +100010001001 +011110001001 +011110001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010000111 +011101100101 +011001010011 +011001010011 +011001000010 +011001010100 +011101110110 +011101100110 +011101110111 +011101110110 +100001110110 +011101110111 +100010000111 +100001110111 +100001110111 +100001110110 +011101100101 +011101110110 +011101100110 +011101100110 +011101100110 +100001110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100101 +011101100100 +011101010011 +011101100100 +011101100101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110111 +100001110110 +100010000111 +100001110111 +100001110111 +100010001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +100001110111 +011101100101 +011101100101 +011101100101 +010100110010 +011001100101 +100010001000 +011101110111 +011101111000 +011110001000 +011110001001 +011101111000 +001101000100 +011001110111 +100010001000 +011110001000 +100010001001 +100010011001 +100010001000 +011101110111 +100001110111 +011101110111 +011101110111 +011101110111 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +011110001001 +011101110111 +011001100101 +011101110110 +011101110111 +011101110110 +011101100101 +011001100101 +011101100110 +011101100101 +011001010100 +011101100110 +011001100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011001010100 +010101000011 +011001010100 +010101000011 +010101000011 +011001000011 +011001010101 +011001010101 +010101000011 +010101000010 +011001010100 +011001100101 +011001010100 +010000100001 +010000100001 +010000100001 +010000100000 +010000100001 +010100110010 +011001000011 +010100110010 +010100110010 +010100110010 +011001000010 +010101000010 +011001010011 +011101100101 +011101100110 +011101100101 +010100110010 +010000110010 +010000110010 +011001010100 +011001010100 +010101000100 +011001010100 +010101000010 +010000110010 +010101000011 +010101010100 +010001000011 +010000110010 +010000110011 +011001010101 +011101110111 +011101111000 +011101111000 +011101110110 +011101110111 +100010001001 +011101110111 +010000110010 +010101000011 +011001100110 +010001000100 +010001000011 +010101000100 +010001000100 +001100110011 +000100010001 +001000100010 +010000110011 +001100100010 +010000110010 +010000100001 +001100010000 +001100100010 +010101000100 +010001000100 +000100010010 +000100010010 +000000000001 +000000000000 +000100010001 +001100110011 +010001000100 +001100100010 +001100100001 +010000100001 +001100100001 +001100100001 +010000100001 +010000110010 +010101000011 +010101000100 +010000110010 +001000010001 +001100100010 +001100100001 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +001000100001 +001100100010 +010000110010 +010000110011 +010001000101 +010101100110 +010001010101 +001000100011 +010001000100 +001000110011 +001000100011 +001000100010 +001000100011 +001100110011 +001100110011 +000100010001 +000100100010 +000100100010 +001000010001 +001000010000 +001000010001 +001000010000 +001000100001 +000100100010 +000100100010 +000100010001 +000100000000 +000100000000 +000100000000 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000100000000 +000100010000 +001000100001 +001000100010 +001100110100 +001100110100 +010001000100 +010001000101 +001100110100 +001000100010 +001000100010 +001100110010 +001000100010 +001000100001 +001000010001 +000100010001 +001000010001 +001000100001 +001000010001 +001100110011 +001100110011 +001100100010 +010000110010 +010000110010 +010000110010 +010101000010 +011001010011 +011101010100 +011001010011 +010101000010 +010101000010 +010101000011 +011001010100 +010101000011 +010100110010 +011001000011 +010101000010 +011101010011 +011101010011 +010100110001 +010101000001 +010101000010 +011001000010 +011101010011 +100001100100 +011101010011 +010101000010 +001000100001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010010 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010000110010 +011101100101 +010101000011 +001100100000 +001000010000 +010000110010 +011001100101 +011101110111 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101100110 +011101110110 +011101110110 +011101110110 +011001010101 +011101110110 +011101110111 +011101110111 +011101100110 +011101110110 +100001110110 +011101010100 +100001100101 +011001000011 +010100100001 +001100010000 +001100010000 +001100100001 +011001010100 +011101110110 +011101110110 +010101010100 +011001010101 +011101110111 +011110001000 +011110001000 +011101111000 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110110 +011101110110 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001001 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +011110001001 +100001110111 +011101110110 +011101100101 +100001110110 +011001010100 +010100110001 +011101100101 +011101110111 +011001010100 +011001010011 +011101110110 +011101110110 +011101100101 +011101110111 +011001100101 +011101110110 +011101110111 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110111 +011101110110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011101010100 +011101010011 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +100001110110 +011101110110 +100001110111 +100010001000 +011101110111 +100010001000 +011101110110 +011101100101 +011101100101 +011101100110 +011101100101 +011101100110 +011101100101 +011001010100 +010000110010 +011001010100 +011101100101 +011001100101 +011101110110 +011101110110 +011101110111 +011101111000 +011110001000 +011101111000 +010001010101 +011001111000 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011110001000 +011101111000 +100001111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011101110111 +100001110111 +011101110110 +011101100101 +011101100110 +011101110110 +011101100110 +011001100101 +011101100101 +011001100101 +011001100101 +010101000100 +010101010100 +010101000011 +011001010100 +011001000011 +010101000011 +010101000100 +011001100101 +011101110110 +011101110111 +010101000100 +010000110010 +010101000011 +010101010100 +011001010100 +011001010100 +011001010100 +010000110010 +010100110010 +010000110010 +010000110010 +010000110001 +010000110001 +010100110001 +010000100001 +010000100001 +011001000011 +100001110110 +011101100101 +010101000011 +010000100001 +010100110010 +010100110010 +010101000011 +010101000011 +010101000011 +010101000011 +001100100001 +010000110010 +011001010100 +010101000100 +001100100001 +010000100010 +010000110010 +010100110010 +010100110010 +010101000011 +010001000011 +010101010101 +010001000011 +001100100010 +010101000011 +010101010100 +011001100110 +011001100110 +010101000011 +011001100110 +011101110111 +011001100110 +010000110010 +010100110010 +011001100101 +011101110111 +011001100111 +011001100110 +010101010101 +010001000100 +001000100010 +001000100010 +001000100010 +001100110011 +001100110010 +001100100010 +010000110011 +010001000100 +010001000101 +001100110100 +000100010001 +000000000000 +000000000000 +000000000000 +001000100010 +001000100010 +001100110100 +000100010001 +000100010000 +001100100010 +001100110010 +010000100001 +010000110010 +010100110010 +010001000011 +010101010100 +010001000010 +010101000010 +010000110010 +010000110010 +010001000011 +001100110010 +001000010001 +001000010000 +000100010001 +001000100001 +001000010001 +001000000000 +001000010000 +000100010001 +001000100010 +001000100010 +000100010001 +001000100010 +000100010001 +000100000001 +000000000000 +000100010001 +000100010010 +001000100010 +000100100010 +000100100010 +000100010010 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001000100010 +000100100010 +000100010001 +000100010000 +000100000000 +000100010000 +000100010001 +001000010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +001000010001 +001000100001 +001000100010 +001100110011 +001101000100 +010001000100 +001101000100 +001000110011 +001100110011 +010001000100 +001100100010 +001000010001 +000000000000 +000100000000 +001000100001 +001000100001 +001000100001 +001100110010 +001000100010 +001000100001 +001000100001 +001000100001 +001100100010 +010000110010 +010101000011 +010101000010 +010101000011 +010101000010 +011001000010 +011101010011 +011101010011 +011001000010 +010101000010 +010101000010 +010000110001 +010000110001 +010000110001 +011001000010 +011001000010 +011001010011 +011001000010 +011001000010 +011001000010 +011101010010 +011101010010 +011101010010 +011001010011 +010101000010 +001100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010001 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010010 +000100010001 +000000010001 +000000010001 +000000010001 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001100110011 +011101110110 +011101100101 +011001000010 +010000100000 +001100100000 +010101000010 +010101000011 +010001000011 +011001100110 +011101110111 +011101100110 +011101100101 +011101110110 +011101100101 +011101100101 +011101100110 +011101110110 +011001100101 +011101110110 +011101110111 +011110001000 +011101111000 +011101110111 +011101110111 +011101100101 +011101100101 +011101100100 +011101010100 +010100110010 +001000000000 +000100000000 +010000110010 +011001010100 +011001010011 +011001000011 +010100110010 +010000110010 +011101100110 +011101110111 +011101110111 +011001100101 +011101100101 +011101110110 +011101100110 +011101100101 +011101100101 +011101110111 +011101111000 +011101110111 +011101110111 +011101110110 +011101110110 +011101100101 +011101100100 +011101100101 +011101100101 +011101110111 +011101110111 +011101111000 +011101110111 +011101100110 +011101110111 +011110001001 +011101111000 +011101111000 +011110001000 +011101111000 +011110001000 +011110001001 +011101110111 +011101100101 +011101100110 +011101110111 +011101110110 +011001010100 +011101010100 +011101100110 +011101100110 +011101100101 +011101110111 +011101110110 +011101100101 +011101110110 +011001010100 +010101010011 +011101100101 +011101100101 +011101100101 +011101110110 +011101110110 +011101100110 +100001110110 +011101110111 +011101110110 +011101100110 +011101100101 +011101100100 +011001010100 +011101010100 +011001010011 +011101100100 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101110110 +100010000111 +011101110111 +011101110111 +011101100110 +011101100101 +011101100101 +011101100110 +011101100110 +011101110110 +011101100101 +011101100101 +011001000011 +011001100101 +011101100110 +011101110110 +011101100110 +011001010101 +011101110110 +011101110111 +011001110111 +011001110111 +010101010101 +011101111000 +011110001001 +011110001001 +011110001000 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101100110 +011101100110 +011101110110 +011101100110 +011101110110 +011101100110 +011101100110 +011101100110 +011001100101 +010101000011 +010101010100 +011001010100 +010101010100 +011001010101 +010101000011 +010101000011 +011001100101 +011001100110 +011101110111 +011001100110 +010101000011 +010101000100 +010101010100 +011001010101 +011001010100 +010000110011 +010101000100 +011001010100 +011001010100 +010101000011 +011001010100 +010101000011 +010000110001 +010000100001 +010100110010 +010101000011 +011001010100 +011001010100 +011001000011 +010000100001 +010000100000 +010000100001 +010000110001 +011001010100 +010101000011 +001100100001 +001100100001 +001100010001 +010000110011 +010101000100 +001100100001 +010000110010 +010100110010 +010100110010 +010101000011 +010101010100 +010101000011 +010000110010 +010001000011 +010000110010 +010100110010 +010101010100 +011001100110 +011001100110 +010101000011 +010000110010 +010101000100 +010101000011 +010000110010 +010000110010 +010001000011 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +010101000101 +010001000100 +010000110011 +001000100010 +001000010001 +001000100001 +001100110010 +001100110011 +001100110100 +000100010001 +000000000000 +000000000000 +000000000000 +001000100011 +001000110011 +001100110100 +001100110011 +001100110011 +010001000100 +010001010100 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010001000010 +010101000100 +010001000011 +010001000011 +010001000100 +010101000100 +010000110010 +010000100010 +010000100010 +001100100001 +010000110010 +001000010000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100010 +000100100010 +001000100010 +001000100001 +001000010001 +000100010001 +001000100001 +001000100010 +001000100010 +000100100010 +001000100010 +000100010001 +000100010000 +000100010001 +001000010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000100010001 +001000100010 +000100010001 +000100010001 +000100010001 +001000100010 +001100110011 +001100110011 +001000110011 +001101000100 +010001000100 +001100110011 +001100100010 +000100000000 +000000000000 +000100010000 +001000010001 +001000100001 +001000100010 +001100110011 +001100110010 +001000100010 +001000100001 +000100010001 +001100100010 +001000100001 +001000010001 +001100110011 +010000110011 +001100100001 +010000110001 +010100110001 +010100110001 +010100110001 +011101010011 +010101000010 +010000110010 +010100110010 +010101000010 +011001000010 +011001000010 +010100110010 +010100110010 +010101000010 +011001000010 +011001000010 +011001000010 +011001000010 +011001000010 +011101010011 +011101010011 +011101010011 +010001000010 +000100010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110011 +010101000011 +010101000010 +010100110001 +010100110001 +011001000010 +011001010100 +010101010100 +001100110010 +001100100010 +010001000100 +010101010100 +011001010101 +011001100101 +011001100100 +011001010100 +011101100100 +011101110101 +011101110110 +011001100101 +011001100101 +011101110111 +011101110111 +011101110110 +011101110110 +011101100110 +011101110110 +011101100101 +011101100101 +011001010100 +001000010000 +001000000000 +011001000100 +100001110110 +011101010011 +011001010100 +011101100101 +010100110011 +011001010100 +011101100110 +011101100101 +011101100100 +010101000010 +011001100101 +011101100101 +011101010100 +010100110001 +011001100101 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101100101 +011101100100 +011101100101 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100110 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110110 +011101110111 +011101110110 +011101100101 +011101010100 +011001010100 +011101110111 +011101110111 +011101110111 +011101110110 +011101100101 +010101000011 +010101010100 +010000110010 +011001010011 +011101010100 +011001000011 +011001010100 +011101100110 +011001010101 +011101110110 +011101100110 +011101110110 +011101100110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +100001110111 +011101110111 +011101100110 +011101100101 +011101100110 +011101100101 +011101100110 +011101100110 +011101100101 +011001100101 +011101100110 +011001100101 +011101100110 +011101100110 +011001100110 +011101100110 +011101100110 +011101100101 +011001100101 +011101110111 +010101010101 +010001000100 +011101111000 +011110001000 +011110001000 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011001100111 +011101110111 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011001100101 +011101110110 +011101100110 +011001100110 +011001010101 +010101000100 +010101000100 +011001100110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100110 +011001100110 +011101110111 +011001100101 +011001010100 +011001010100 +011001010101 +011001100101 +011001100101 +010101000011 +010101000011 +011101100101 +011001010100 +010000110010 +011001010100 +010101000011 +010000100001 +001100010001 +010000110010 +010101000010 +010100110010 +010100110010 +010100110010 +010101000010 +010101000010 +010000110010 +010000110001 +011001100101 +010101010100 +010000110010 +010000100001 +010000100001 +001100100001 +010000110010 +010101000011 +010000110010 +010000100001 +010101000011 +010101000011 +010100110010 +010100110010 +010000110010 +010000110010 +001100100001 +001100100001 +010101000100 +011101110111 +011101100110 +011001010100 +010101000011 +010101000011 +010100110010 +010100110010 +010101000011 +011001010101 +011001100110 +010001000100 +011001010101 +011001100111 +010101010110 +010101010110 +010101010110 +011001100110 +010101010101 +011001010101 +010101010101 +010001000100 +010001000100 +001100110011 +001100110011 +000100010001 +000000010001 +000000000000 +000000000000 +001100110011 +010001000101 +010001010101 +010001000101 +010001000100 +010101010110 +010101100110 +010001000100 +010001010101 +010001000100 +001100110010 +010000110010 +010101000011 +010000110010 +010000110010 +010101000011 +010101000011 +001100110010 +010000110010 +010101000011 +010101000011 +010001000011 +011001010101 +010000110011 +001000010000 +001100100000 +001100110001 +001100100001 +001000010000 +000100000000 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000100000000 +001000100010 +000100100010 +000100100010 +001000100001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010001 +000100010001 +000100000000 +000100010000 +001000010001 +001000100001 +001000100001 +001100110011 +010001000101 +001101000100 +001100110011 +001000100010 +001000100010 +000100010001 +000100010000 +001000100001 +001000100010 +001100110100 +001101000100 +001000100010 +001100100011 +001000100001 +000100010001 +001100110011 +001100110011 +001000100010 +001101000100 +001000110011 +001000100010 +001100100010 +001100110010 +001100110010 +010000110010 +010101000010 +010101000010 +010100110010 +010101000010 +011001010011 +011001000010 +011001000010 +011001000010 +010100110001 +010000110001 +010100110010 +010101000010 +010100110010 +010101000010 +010101000010 +011001000010 +011001000010 +100001100100 +100001100100 +100001100100 +010101000011 +001100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110011 +010100110010 +010100110001 +010100110001 +010100110001 +010100110010 +011101100101 +011001100110 +010101000100 +010001000011 +010101000100 +010101010101 +010101010110 +010101010101 +010001000010 +010001000010 +010101000010 +011001100100 +011101110110 +011101110110 +011101100110 +011101110111 +011101100110 +011001010100 +011001010100 +011001010011 +011001010100 +011101100101 +011101100110 +011101100101 +010000110010 +001100010000 +010100110010 +011101100101 +011001010100 +011101100101 +011101110110 +010100110011 +010000100010 +011101100101 +011001010100 +010101000010 +010100110010 +010100110010 +011001010100 +011001010100 +010000010001 +010101000011 +011101100110 +011101100110 +011001111000 +011001110111 +011001111000 +011001110111 +011001100101 +011101100110 +011101100110 +011001110111 +011001110111 +011001110111 +011101110111 +011001100110 +011101100110 +011001110111 +011001110110 +011101110111 +011101111000 +011101110111 +011101111000 +011101111000 +011101111000 +011101111000 +011101110111 +011101110110 +011101100110 +011101100101 +011101100101 +011101100101 +011101110111 +011101110111 +011001100110 +011001010100 +011101100110 +011001100101 +011001100110 +011001010101 +011001010100 +010100110010 +010100110001 +011001000010 +011101100101 +011001100101 +011101100110 +011101110111 +011101110110 +011101100110 +011101100110 +011101100101 +011101100101 +011001010100 +011101100101 +011101100110 +011101100100 +011101010100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100110 +011101100101 +011001100101 +011101100101 +011101100101 +011001010100 +011101100110 +011001010101 +011001010101 +011101100101 +010101000011 +011001100110 +011101110110 +011001100101 +011001010101 +011001010101 +011001010101 +010101100101 +011001111000 +011001110111 +011101110111 +011101100111 +011101111000 +011110001000 +011101111000 +011110001000 +011101111000 +011101110111 +011101111000 +011101110111 +011101110111 +011001100111 +011101110111 +011101110111 +011101110111 +011001110111 +011101110111 +011001100110 +011101100110 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011101100101 +011001010101 +010101000100 +011001100101 +010101010100 +010001000100 +010101010101 +011101100110 +011001100110 +011001010101 +011001100110 +011001110111 +011110001000 +011101111000 +011001100110 +010101000011 +011001010101 +011101110110 +011101110110 +011101100101 +011001100101 +011001100101 +011001100101 +011001010100 +010101000011 +010001000011 +010001000011 +001100100010 +010000110010 +011001010100 +010101000011 +010101000011 +010101010100 +010101000011 +011001100101 +011001100101 +010101000100 +010101000011 +011001100101 +011001010100 +010101000011 +010101000010 +010101000010 +010000110010 +010101000011 +010101000011 +010000100010 +001100100001 +010000110001 +010000100001 +010000100001 +001100100001 +001100100001 +010101010100 +011001010101 +010101000100 +011001010101 +011001110111 +011001010101 +010101000100 +010000110010 +010000110010 +010000110010 +010000110001 +010000110001 +010000110011 +011001100110 +010101000011 +010000110010 +010000110011 +010001000100 +010101010101 +010101010101 +010101100110 +010101010100 +010101000011 +010101010101 +010101100110 +010101100110 +010101010110 +010001000101 +000000000000 +000000000000 +001100110011 +001000110011 +001000100010 +001100110010 +001000100010 +001101000100 +010001000100 +010001010101 +010101010110 +010101010101 +010001000101 +010101010101 +010101010100 +010101000100 +010101000100 +010101010100 +010101000011 +010101000011 +010101010100 +010101000100 +010000110010 +010101000011 +010101000010 +010101000100 +011001100110 +010101010101 +010001000011 +001100110010 +010000110001 +010000110001 +001100100001 +010000100001 +001100100001 +001100100001 +001100100001 +001000010000 +000100010000 +000100010000 +000100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000010001 +001000100001 +000100010001 +000100010001 +000100100010 +000100100010 +000100010001 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000100000000 +000000000000 +001000100001 +001000100010 +001000010001 +001000100010 +001100110011 +001101000100 +001101000100 +001100100010 +001000100010 +001000010001 +000100010001 +001000100010 +001000100010 +001101000100 +001100110011 +001000100010 +001000100010 +001000010001 +001000100001 +001100110011 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100001 +001000100010 +001100100010 +001100100001 +010000110010 +010000110010 +010100110010 +010000110001 +010000110001 +010101000010 +010000110001 +010000110001 +010000110001 +010000100001 +010000110001 +010100110001 +010100110010 +010000110001 +010100110010 +011001000010 +011001010011 +011101010100 +100001100100 +100001100100 +011101100011 +011001000011 +010000110010 +001000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000000010001 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100100010 +011001000011 +011001000010 +011000110010 +011001000010 +011001000010 +011101100101 +011001100101 +010101010100 +010101010100 +010101010101 +010101010101 +010101010110 +010101010101 +001100110010 +001000110000 +001000110001 +001100110001 +010001000010 +011001010100 +011001110110 +011101110111 +011101100110 +011101100101 +011001010011 +011001000010 +011001010011 +011001100100 +011101100110 +011101100110 +011001010100 +010101000011 +011001010100 +011001100101 +011001100101 +011101100101 +011101100101 +010101000011 +001100100010 +011101100100 +010000100001 +010000100001 +010100100001 +001100100001 +010000110010 +010100110010 +001100010000 +010101000011 +011101100101 +011001100100 +011101100110 +011001110111 +011001110111 +011001110111 +011001100101 +011101100110 +011001100110 +011001110111 +011001110111 +011101110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011001110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101100110 +011001100101 +011001100101 +011001100101 +011101100101 +011001010101 +011101100110 +011001100101 +011001100101 +011101110110 +011101110110 +011001010100 +010000100001 +010100110010 +011101100110 +011101100110 +011001100110 +011101110110 +011001100101 +011101100101 +011001100101 +011101100101 +011001100100 +011001010100 +011101010100 +011101010101 +011101100101 +011101100100 +011101010100 +011101100101 +011101100101 +011101100100 +011001010100 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011001100101 +011001010100 +011001010100 +011001100101 +011101100110 +011101110110 +011001100110 +011101100101 +010101010100 +011001100101 +011001110110 +011101100110 +011001100101 +011001100101 +011001100101 +010101100101 +011001110111 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001110111 +011101110111 +011001110111 +011001110111 +011001100110 +011001100110 +011101110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011001010100 +011001010100 +010101010100 +011001010101 +011001010101 +011001010101 +011001100101 +010001000011 +010101010100 +011001100110 +011001100110 +010101000100 +010101010101 +011101110111 +011101111000 +011101111000 +011001110111 +011001010101 +010101010100 +011001100110 +011001100110 +011001100101 +011001100110 +011001100110 +011101100110 +011001010101 +011001010100 +010101000011 +010101010100 +010001000011 +010001000011 +011001010100 +010101000011 +010101000011 +011001100101 +010101000011 +011001010100 +010101000011 +010101010100 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +010101000011 +011101100101 +011101100101 +010101000100 +010101000011 +010100110010 +010000100001 +010000110010 +010000110010 +010000110010 +010001000011 +010101010101 +011001010100 +010001000011 +011001100110 +010101010101 +010000110011 +010101000011 +010101000011 +010000110010 +010000110001 +010000100001 +010101000011 +011001100110 +011001100101 +010000110010 +010000100001 +001100100001 +010000110011 +010101010101 +010101010101 +010001000011 +010000100001 +010001000011 +010101010101 +010101010101 +010001000101 +010001000100 +000000000000 +000000010000 +010001010101 +010001010110 +010101010110 +010001000101 +001100110011 +001100110011 +001000100010 +001100110011 +001100110100 +001100110011 +001100110100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010101000011 +010101000011 +010101000100 +010101010100 +010101000011 +010000110011 +010000100001 +010101000011 +010101000011 +010101010101 +010001000100 +010000110010 +010000110010 +010000110001 +010000100001 +010100110010 +010000110001 +010000100001 +010000100001 +010000100001 +001100100001 +001000010001 +000100100010 +000100100010 +001000100010 +001000100010 +001000100001 +000100010001 +001000100010 +001000100010 +001000100011 +000100100010 +000100010010 +000100010001 +001000100010 +001100100010 +001100100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100000000 +000100000000 +000000000000 +000000000000 +000100010000 +000100000000 +000100010000 +001000100001 +001000010001 +000100010000 +000100010001 +001000100010 +001100110011 +001000100010 +001000100010 +001100110011 +010001000011 +001000100010 +001000100010 +001100110011 +001000100010 +001100110011 +001100110011 +000100010000 +001000100001 +001000100010 +001000100010 +001101000100 +010001000100 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100110011 +001000110010 +000100010001 +001100110011 +010000110010 +010000110010 +011001010100 +100001110110 +011001010100 +010001000011 +010000110010 +001100100001 +001100100000 +010100110010 +011101100100 +011001010100 +010100110010 +011001000010 +010101000010 +010101000010 +011001010011 +011001010011 +011001010011 +011001000010 +011001010011 +011001010100 +011001010100 +010000110010 +001000100001 +000100010000 +000000000000 +000100010001 +000100100001 +000000000000 +000000000000 +000000010000 +000000000000 +000100010001 +001000100010 +000100010001 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010001 +000100010001 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100001 +011101010011 +011001010011 +011001010100 +011001010011 +011001000010 +011001000011 +011001010101 +010101010101 +010101010110 +010101010101 +010001000100 +010101010110 +010101010110 +001101000010 +001100110001 +001101000001 +001100110001 +001000110001 +001000100001 +001000110001 +001101000011 +010101010101 +011001100101 +011001010100 +011001010011 +011001010011 +011001100100 +011001100101 +011001100101 +011001100101 +011001010100 +011001010100 +011001010100 +011101100100 +011001100100 +011001100101 +011001100101 +011001010100 +011001010100 +010000100001 +010100110010 +010100110010 +000100000000 +000100000000 +000100000000 +001000010001 +010101000011 +010101000011 +010101000011 +011001010100 +011001100101 +011001100110 +011001100101 +011001100100 +011001100101 +011001100101 +011001100110 +011001100111 +011001110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110110 +011101100110 +011001100110 +011101110110 +011001100110 +011001100101 +011101100101 +011101100110 +011101110111 +011001100110 +011101100110 +011101110111 +011001100110 +011001100101 +011101110110 +011101110111 +011001100101 +011001010101 +011001100101 +011101100110 +011001100101 +011001010100 +011001100101 +011101100110 +011001100110 +011001100110 +011001110110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +011001100110 +011001110111 +011001100110 +011001100110 +011001110111 +011001110111 +011001111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100101 +011001100110 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +011101110110 +011001100110 +011001010101 +011001010101 +011001100110 +011001010101 +010101010100 +010101000011 +010101000100 +010101000100 +010101010100 +011001010101 +010101010101 +010101010101 +010101010101 +011001100110 +011001110111 +011001100110 +011001010101 +010101010101 +011001100110 +011001100110 +011001100110 +011101100110 +011001100110 +011001100110 +011001010101 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000100 +011001010100 +011001010100 +010101010011 +011001010100 +010101000011 +010101000011 +010101000011 +011001010101 +011101100101 +011001100101 +011001010101 +011001100101 +011001100101 +011001010101 +011101100110 +011101100110 +001100100010 +010000100010 +011001100101 +011001100101 +010101000100 +010101000100 +010000110010 +001100100001 +010101000011 +010101010101 +010101000011 +010101000010 +010101000011 +010101000011 +010101000010 +010101000011 +010101000011 +010000110010 +010101000100 +010101000100 +010000110011 +010000110010 +010000110010 +010000110010 +010101010101 +010101010110 +010101000100 +010000110010 +010000110011 +010101010101 +010001000100 +001100110011 +010001000100 +000000000000 +000000000000 +001101000100 +010001010101 +010001010101 +010101010110 +010101100110 +010101010101 +010101010101 +010101010101 +010101010101 +001100110011 +001100110010 +001000100010 +001000110010 +001100110011 +001101000011 +010001000100 +010101010100 +010101010101 +010101010100 +010101000100 +010101010100 +010000110011 +010000110010 +010000110011 +010001000011 +010001000100 +010001000100 +010000110010 +001100100001 +010000110001 +001100100001 +001100100001 +010000110010 +010000110010 +010000110010 +010000110010 +010000100001 +001100100001 +000100100010 +000100010010 +010001000100 +100110011001 +000100010001 +000100010001 +000100100010 +100010001000 +010101010110 +000100010010 +000100010010 +000100010001 +101010101010 +101010011001 +100110011001 +010101010100 +001000100001 +000100010001 +010000110011 +100010001000 +000000000000 +000100000000 +000100010001 +100110011001 +001000010001 +000100000000 +000000000000 +010001000011 +100010000111 +000100010000 +000000000000 +011001100110 +010101010101 +000100000000 +011101110110 +101110111011 +101010101010 +100010001000 +001100110011 +001000100010 +011001100110 +101110111011 +101010011001 +011101100110 +001000010001 +001000100010 +011110001000 +101110111011 +101010101010 +101110101010 +010001000011 +001000110010 +001000100010 +000100010001 +001100110100 +010001000101 +100110011001 +101010101010 +101010101010 +011001010101 +000100010001 +100110011001 +101110111011 +101110111010 +100110011000 +011101100100 +011001000100 +101110111010 +110011001010 +110011001011 +101010011000 +010101000010 +010100110010 +010000100001 +100001110110 +100001110110 +010000110010 +011001000010 +010101000011 +101110111010 +101110111010 +110010111010 +011101100101 +010101000010 +011001010100 +110011001011 +101010011001 +100010000111 +000000000000 +000000000000 +001100110011 +101010101010 +100110011001 +101010101010 +010001010100 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100001 +011001000011 +011001010100 +011101100101 +011001010101 +011001010100 +011001010100 +011001010100 +010101000100 +010101010101 +010101010100 +010101010101 +010101010110 +010101100110 +001101000010 +001100110001 +001100110001 +001000110001 +001000110001 +001000110001 +001000100001 +000100100000 +000100010001 +000100100010 +001100110011 +010001000011 +011001010100 +011001010101 +011001010101 +011001010101 +011001100101 +011001010100 +010100110010 +010000100001 +011001000010 +011001000010 +010100110001 +011101010011 +011001010100 +011101100101 +011001010100 +011001010011 +010101000010 +001100010000 +000100000000 +000100000000 +010000100010 +010000100010 +001000010001 +010000110011 +011001010100 +011001010100 +010101000011 +010000110001 +010100110010 +010100110001 +011001010100 +011001100101 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011101100110 +011001010101 +011001010101 +011001010100 +011001100110 +011001100101 +010101010100 +011101100110 +011101110111 +011101100110 +011001100101 +011001100110 +011001100101 +011001100101 +011001100110 +011101100110 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011001100101 +011101100110 +011001010100 +011001100101 +011001100101 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +010101010101 +011001100110 +011001100110 +011001100110 +010101010100 +010101010101 +011001100110 +011001010101 +011001100101 +011001100110 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +010101010101 +011001010101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100110 +011001100110 +011001100101 +010000110011 +010001000011 +010101000100 +010101000100 +010101010100 +010101010100 +010001000100 +010001000100 +011001100110 +011001100110 +010101010101 +011001010101 +010101010100 +010101010101 +010101010100 +010101000100 +011001100110 +011101100110 +011001100110 +011001100110 +011001010101 +011001010100 +010101010100 +010101010101 +010101000100 +010101000011 +010101000100 +011001010100 +011001010100 +011001010101 +011001010100 +010101000100 +010001000011 +010101010100 +010101000100 +011001100101 +011001100101 +011001100101 +011001010100 +011101100110 +011001100101 +010101010100 +011001100110 +011001100101 +011001010100 +010101010100 +010101010100 +011001100110 +011001010101 +010001000011 +010101000011 +010000110010 +001100100001 +010000110010 +010000110010 +010000110001 +010100110010 +010000110010 +010000110010 +010001000011 +010101000011 +010000110010 +010000110010 +010101000100 +010101000011 +010001000011 +001100110010 +010101000011 +010101010101 +010101010101 +010001000100 +010101000011 +010101010100 +010001000011 +010000110010 +010001000011 +010001000100 +000100010001 +000000000000 +000000000000 +000100100010 +010001000100 +010001010110 +010101010110 +010101010101 +010101010101 +010001000011 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001000100 +010001000100 +001100110100 +001100110011 +001100110011 +010001000100 +010101000100 +010001000011 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010001000011 +010000110010 +010000110010 +001100100010 +001000100001 +010000110010 +010101000100 +010000110010 +010000100001 +001100100001 +001100100001 +000100100010 +000100010001 +100010011001 +110011001100 +001100110011 +000100010001 +000100010001 +100110011001 +011001100110 +000100010010 +000100010010 +000100100010 +101010101010 +001000100010 +000100010000 +001000100001 +001000100001 +000100010001 +010001000011 +100110011001 +000000000000 +000000000000 +010101010100 +110111011100 +010101010101 +000000000000 +000000000000 +010001000100 +111011101110 +011101110110 +000000000000 +011101110111 +011001100101 +000100000000 +100010000111 +011001100110 +001000100001 +100010001000 +100110011001 +001000100010 +011101110111 +011101110110 +001000010001 +101110111011 +001000100010 +010101010110 +101111001100 +010001010101 +001000100001 +010101010100 +101010101010 +001000100010 +001000110011 +001100110100 +001101000100 +100110011001 +100010000111 +001000010001 +010001000100 +001100110011 +000000000000 +100010001000 +010001000100 +001000100010 +010000110011 +011001010101 +101110111010 +101110101001 +011001010100 +011001010100 +100001110101 +010101000011 +010101000010 +010101000010 +110010111010 +101110111010 +010000110010 +010101000010 +010101000010 +110010111010 +010101000011 +100010000111 +101010011000 +010000110001 +100001100101 +101110101010 +010101000011 +110011001011 +010000110011 +000100010000 +101010101010 +001100110011 +000000000000 +001000100001 +101110111010 +001000100001 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +001000100010 +011001010100 +011001010101 +011001100110 +011001100101 +011001010101 +011001010100 +011001010100 +010001000011 +010101000011 +010101010101 +010101010101 +010101010110 +010101010110 +001100110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000000010010 +000100010010 +000100010010 +001000100010 +010001000011 +010101010100 +011001010101 +011001010100 +010101000010 +010100100000 +011001000010 +011001000010 +010100110001 +011001000010 +011001010011 +011001010100 +011001010100 +011001010011 +011101010011 +010100110010 +001100010001 +010100110010 +010101000010 +001000010000 +001000000000 +010000110010 +010000110010 +011101100101 +010101000011 +000100000000 +001000010000 +001000010000 +010000100010 +011001010101 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100101 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001010101 +011001100101 +011001010101 +011001100101 +011001010100 +011001100101 +011001010101 +010101010100 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001010101 +011001100110 +011001110111 +011001110111 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001010101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100110 +011001100101 +010101000011 +011001000011 +011001000011 +011001100110 +011001100110 +011001100101 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001100101 +011001100110 +011001010101 +011001010101 +011001010100 +011001100101 +010101000011 +010000110010 +011001010101 +011001100110 +011001100110 +010101000100 +010101000100 +010000110010 +010101010100 +011001100101 +011001010101 +011001010101 +010101010101 +011001010101 +010101100101 +011001100110 +010101010101 +011001010101 +010101010100 +011001010101 +010101010101 +011001100110 +010101010101 +010101010101 +011001010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001010101 +011001010101 +011001100101 +011001100101 +011001010101 +011001010101 +011001100110 +011001100110 +011001100101 +010101010100 +010001000100 +010101010100 +011001010101 +011001010101 +010101000100 +010001000011 +010001000100 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +011001010101 +011001100110 +011001100110 +010101010100 +010101000100 +010101010100 +011001010101 +010101010101 +010101010100 +010101010100 +010101010100 +010001000011 +010101010101 +011001010101 +011001010101 +011001010101 +011001010101 +010101010101 +011001010101 +011001100110 +011001010100 +010101000011 +011001010101 +011001010100 +011001100101 +011001100110 +011001100101 +011001010100 +010101010100 +011001010100 +011001010101 +011001010101 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000100001 +010000100001 +010000110001 +010000100001 +010101010100 +010101100101 +010101010101 +010000110010 +010000110010 +010101000100 +010101010100 +010101000011 +010000110010 +010000110011 +010101000100 +010001000011 +010101000100 +010101010101 +010101010100 +001100100010 +001100100010 +010101000100 +010001000011 +000100010001 +000000000000 +000100010001 +001100110011 +010001010101 +010001010110 +010001010101 +010001000100 +010001000100 +010001010100 +010001000100 +010001000100 +010001010101 +010001010101 +010101010101 +010101010101 +010101000100 +010001000100 +001100110010 +001100100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110011 +010001010100 +010101010100 +010101010101 +010001000100 +010001000100 +010001000100 +010101000100 +010001000011 +010000110011 +010001000011 +010000110010 +001000010001 +001000100001 +001100110010 +000100010010 +001100110100 +100110011001 +010101010101 +100010001000 +000000010001 +000000010001 +100010001001 +011001100110 +000100010010 +000100010010 +000100010001 +101010101011 +101010101010 +100110011001 +010001000100 +001000100010 +000100010001 +010001000011 +100110011001 +000000000000 +000100010000 +101010011001 +010001000011 +101010101001 +000100010000 +000000000000 +010101000100 +100010001000 +100110001000 +011001100110 +011101110110 +010101010101 +000000000000 +011101110111 +011001100101 +000100010000 +001100110011 +101010101010 +000100100010 +011101110111 +101110111011 +101010011001 +100010000111 +000100100010 +011110001000 +100010011001 +001000100010 +001000100001 +001000100001 +101010101010 +010001000100 +001100110011 +001000110011 +001000100010 +101010101010 +001100110010 +000100010001 +001100110011 +000100100010 +000000010001 +100110011001 +101110111010 +100110011001 +010001000100 +000100010001 +101110111011 +010101010101 +011001100101 +101110101010 +101010101010 +011001100101 +010101000010 +100001110111 +100010001000 +100110000111 +011101100110 +010000110010 +011001010011 +110011001011 +101010101001 +101110111010 +011101100101 +010000110001 +011001010100 +110111001100 +101110101001 +101110101010 +011001010011 +011101100101 +101010101010 +000000000000 +000000000000 +000000000000 +100010000111 +010101010101 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100000 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +001100110010 +011001010101 +011001100101 +011001100110 +011001100101 +011001010100 +011001010101 +010000110011 +010000110010 +010101000100 +010101010101 +010101010110 +010101010110 +010101010110 +001000110010 +001000100000 +001000100000 +001000100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010010 +000100010010 +000000010001 +000000000001 +000000000000 +000000010001 +001000100001 +001100110010 +010101000011 +011001000011 +011001010011 +011001010011 +011001010011 +010101000010 +010101000010 +010100110001 +011001000010 +011001000010 +011001010011 +011001000011 +010101000010 +011001010011 +011001010011 +010100110010 +001000010000 +010101000010 +010100110010 +010100110010 +001000000000 +000000000000 +000100000000 +000100000000 +000100000000 +001100100010 +010101000100 +010101010101 +010101100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001010101 +011001100101 +011001100101 +011001100110 +011001100110 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010101010101 +011001010100 +011001100101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010101 +010101000100 +011001010100 +010101000011 +010100110010 +010100110010 +010101000011 +011001100110 +011001100110 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010101 +010000110011 +001100010000 +010000110010 +011001010101 +010101000100 +010000110010 +010000110001 +010000100001 +001100100001 +010101000011 +011001100110 +010101010101 +011001100110 +010101010110 +011001100110 +011001100110 +010101100110 +011001100110 +011001010101 +011001010101 +010101010101 +010101100101 +010101100101 +010101010101 +011001010101 +011001010101 +011001100110 +011001100110 +011001100101 +010101010100 +010101010100 +011001010101 +011001010101 +011001010101 +010101010100 +010101010101 +011001010101 +010101010101 +010001000100 +010101010100 +010101010101 +011001010101 +010101010100 +010101000100 +010101010101 +010101010101 +010101000100 +010001000100 +010001000100 +010101010100 +010101010100 +010101010100 +010001000011 +010000110011 +011001010100 +010101010100 +011001100101 +011001100101 +010101000100 +010001000011 +010001000011 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101010101 +010101010101 +011001010101 +011001010101 +011001100110 +010101010101 +011001010101 +011001100110 +011001100101 +011001010101 +011001100101 +010101010100 +011001100101 +011001010101 +011001100101 +010101010100 +011001010101 +011001010100 +011001010101 +010101010100 +010101010100 +011001010100 +010101000011 +010101000011 +010101000011 +010000110011 +001100100001 +001100100001 +010000110001 +010000100001 +010101010101 +011001100111 +011001100110 +010001000011 +001100100001 +010001000011 +010000110011 +001100100001 +010000100001 +001100100001 +010000110010 +010000110010 +010101000011 +010101010100 +010001000011 +010000110010 +010000110010 +010001000011 +010101010101 +010101010101 +010001000011 +010000110011 +010000110011 +010001010101 +010101010110 +010001000100 +001100110011 +010000110011 +010001000100 +010001000100 +001100110011 +010001000100 +010001010101 +010001000101 +010001010101 +010101010101 +010000110011 +010000110011 +010000110011 +001100110010 +001100100010 +001100100001 +001100110010 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110010 +010001000011 +010101000100 +010101000100 +010101000100 +010000110011 +001100100010 +001100100010 +001100100010 +001100100010 +000100010001 +100010001001 +101110111011 +100110011001 +110011001100 +001000100010 +000000000001 +100110011001 +011001100110 +000100010001 +000100010010 +000100010001 +101010101010 +001100110011 +001000100010 +001000100010 +001100110011 +000100010001 +010001000100 +101010011001 +000000000000 +010001000100 +110011001100 +100010001000 +110011001100 +010101010100 +000000000000 +010101010100 +100010001000 +000100000000 +100110011000 +110011001100 +010101010101 +000000000000 +011101110111 +010101010101 +000000000000 +010101010100 +100110011001 +000000000000 +011001100110 +100110011000 +101110111010 +010101010101 +001100110100 +011001110111 +101010101010 +001000010001 +001000100010 +010001000100 +101110111011 +001100110011 +001000100010 +001000010001 +001000010001 +100110011001 +011001010101 +000100010001 +001100110011 +001000100011 +000100010010 +100110011001 +010101010100 +001000100001 +001000010001 +000100010001 +101010101001 +011001100111 +001101000100 +010101010110 +110011001100 +011001100101 +010000110010 +110011001011 +101010101010 +101110101001 +101110111010 +001100100001 +010000110010 +101110111010 +100110011000 +101110111010 +010000110010 +010000110001 +011001010101 +101110111010 +101110101010 +100110000111 +010100110010 +011101010100 +110011001011 +010101000011 +010000110001 +001000010001 +101010101010 +001100110011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100100000 +001000100001 +001000100001 +001000100001 +001000100000 +001000100000 +001000100001 +000100100001 +000100100000 +001100110010 +011001010100 +011001010101 +011001010101 +011001010101 +011001010100 +011001010101 +010101000011 +001100100010 +010101000100 +010101010101 +010101010110 +010101010110 +010101010110 +001000100010 +000100100000 +000100100001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100010 +010000110011 +010101010100 +011001010101 +011001010100 +011001000011 +010100110001 +010100110010 +011001010011 +011001010011 +011001010011 +011001010011 +011001000011 +011001010011 +010101000010 +010000100001 +011001000011 +011001000010 +010100110010 +001000010000 +000100000000 +001000010000 +000100000000 +000100000000 +000000000000 +000100000000 +010101000100 +011001100110 +010101010101 +010101000011 +010101000100 +010101010101 +010101010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100110 +011001100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001100111 +011001110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010101010100 +010101000010 +010101010100 +011001010101 +011001010101 +011001010101 +010101010100 +011001010100 +010101000011 +010000110010 +010100110010 +010000110001 +010100110010 +010101000010 +010101000011 +010101010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010100 +011001010101 +011001100101 +011001010101 +011001100101 +011001100101 +010000110010 +010000100001 +010000110010 +010000100001 +010000110001 +010000100001 +001100100001 +010000100001 +010000100001 +010101010101 +010101010101 +010101010110 +010101100110 +011001100110 +011001100110 +010101100110 +010101010101 +011001100110 +011001100110 +011001100110 +010101100110 +010101100110 +010101010110 +010101000100 +010001000100 +010101100110 +010101010110 +010101010101 +010101010100 +011001010101 +010101010100 +010101010101 +011001010101 +011001010101 +010101010101 +010101010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010001000100 +010001000100 +010101010101 +010101010101 +010101010101 +010001000100 +010001000100 +010001000011 +010001000100 +010101000100 +010001000011 +010101000011 +010101010100 +011001010101 +011001010101 +010101010100 +010001000011 +010101000011 +010101000100 +010101010100 +010101010100 +010101000100 +010101000100 +010101010100 +011001010101 +010101010101 +010101010100 +010001000101 +010101100111 +010101100110 +010101010101 +011001100110 +011001100110 +011001100101 +011001100101 +010101010101 +010101010100 +010101010100 +011001010100 +010101010101 +010101010101 +010101010100 +010101000011 +010101000011 +010101010100 +010101010100 +010101000011 +010101010100 +010001000100 +010101000100 +010000110010 +010000110010 +010000100001 +001100100001 +010101000100 +010101100110 +010101100110 +010001000011 +010000110010 +010101000100 +010001000011 +001100100001 +010000100001 +001100100001 +001100100001 +001100100001 +010001000011 +010101000011 +010000110010 +010000110010 +010000110010 +001100110011 +010101010101 +010101010101 +010101010101 +010001000011 +010000110011 +010001010101 +010001010101 +010000110011 +010000110010 +010000110011 +010001000011 +010101010100 +010001000100 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +001100110011 +010000110011 +010101010100 +010000110011 +001000010001 +001000010001 +010001000011 +010101100110 +010101100111 +011001100111 +010101100110 +010001010101 +010001000100 +001100110010 +001000100010 +001100100010 +001100100010 +001100110010 +010001000011 +010000110011 +010000110010 +001100110010 +010001000100 +101010101011 +001100110011 +001100110011 +011101110111 +100010001000 +000000010001 +100110011001 +100110011010 +011001100110 +001100110011 +000000010001 +101010101010 +011001100110 +010101010101 +010001000100 +001100110011 +011001100110 +100110011001 +011101110111 +000000000000 +101010101001 +010001000100 +000100010001 +010000110011 +101010101010 +000100000000 +010101010100 +100010001000 +000000000000 +000100010000 +101110111010 +010101010101 +000000000000 +011101110111 +100110001000 +011101100110 +101010101010 +001100110010 +000100000000 +011101110110 +011001100110 +001100100010 +101110111011 +010001000101 +001100110100 +101010101010 +100110011001 +011101110111 +101110111011 +011101110111 +001000100010 +001000100001 +000100010001 +000100010001 +010001000100 +101110111011 +100010001000 +100010001000 +011001110111 +000000010001 +100110011001 +100010000111 +010101010101 +010001000100 +000100010001 +010001000100 +101110111011 +100010001000 +100010001000 +101110111011 +001100110100 +011101110111 +101010101010 +010101000100 +010101000011 +101010101001 +011101100101 +010101000010 +101110111010 +011001010011 +100110000111 +100110011000 +001100100001 +011001010100 +110010111010 +011001000011 +101110111010 +011101100101 +001100100001 +100001110111 +101110111010 +100110000111 +101110111010 +101010101001 +001100110010 +001000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100001 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +001100110010 +010101010100 +010101000011 +011001010100 +011001010100 +011001000100 +011001010101 +010101000011 +001100100010 +010101000100 +010101010101 +010001010110 +010101010110 +010101010110 +001000100010 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000100100010 +001000110100 +001100110100 +010001000100 +010101000011 +010101000011 +011001010100 +010101010100 +011001010100 +010101010100 +011001010011 +010101000010 +011001010011 +010101000010 +010100110010 +010101000010 +010101000010 +010101000011 +001000010000 +001000000000 +010000110010 +010000100001 +001100010001 +001000010000 +000100000000 +010000110010 +011001100111 +011001100110 +010101000011 +010000110011 +011001010101 +010101010100 +010101000100 +010101010100 +010101010101 +010101010100 +010101010100 +011001100101 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +010101100110 +011001100111 +011001100111 +011001100110 +010101010110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100111 +011001100110 +010101000100 +011001010101 +011001100110 +010101010101 +010101000100 +010101010100 +010101010100 +010101000100 +010101010100 +010101010100 +010101010101 +010101010100 +010101010100 +010101000011 +010000100001 +010000110010 +010101000011 +010101000011 +010101010101 +010101010100 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +011001010101 +010000110010 +001100100001 +010000100001 +010000110010 +010000110010 +010000100001 +001100100000 +010000100001 +010000110011 +010101100110 +011001100110 +010101010100 +010101010101 +010101010101 +010000110010 +010000110010 +010101010100 +010101010101 +010101010110 +010001010100 +011001100110 +011001100110 +010101100110 +010101010101 +010101010101 +010101100110 +010101100110 +010101010100 +010101010100 +010101010100 +010101010101 +011001010101 +011001010101 +010101010100 +010101010100 +011001010101 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010101000011 +001100110010 +010001000011 +010101000100 +010001000011 +010101010101 +010101010101 +010001000100 +010101000100 +010101010100 +010101000100 +010101010100 +010101010101 +010101000100 +010101010101 +010101010101 +010101000100 +010101000011 +010101000011 +010001000100 +010101000100 +010001000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010001000100 +010101010101 +010101010101 +010101000100 +010101010101 +011001010101 +010101010101 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010101 +010101000100 +010001000011 +010101010100 +010101010100 +010101000011 +010101010100 +010001000011 +010101000100 +010101000011 +010101000011 +010000110010 +010101010100 +010101010101 +010101010100 +010101010100 +010101000011 +001100100001 +010101010100 +010101000100 +010000110010 +010000110010 +010000110010 +010101000100 +010001000100 +010101000100 +010101000011 +010000110010 +010000110010 +001100100001 +001100100010 +010001000011 +010001010101 +010001010101 +010001000100 +001100110010 +010001000100 +010001000100 +010001000011 +001100100010 +010000110010 +010001000100 +010001000100 +010001000101 +010001000101 +010001000100 +010101010101 +010101010101 +010001010101 +010101010101 +010001000100 +010001000011 +010001000100 +010000110010 +010000110010 +001100110010 +010101010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101010110 +010101000100 +001000100010 +001000010001 +001000010001 +000100010001 +001000100010 +001100110010 +001100110010 +001100110011 +001100110100 +001101000100 +000100100010 +001000100010 +001000100010 +001101000100 +000100010010 +010001000100 +011001100110 +010101100110 +001100110011 +000000010001 +010001000100 +011001100110 +011001100110 +001100110011 +001000100010 +011001100110 +011001100110 +000100010001 +000100010001 +010001000011 +000100010000 +000100010000 +000100010000 +010001000011 +000100010001 +001000100001 +001100110010 +000100010000 +000100010000 +001000010001 +001000100001 +000100010000 +001100110010 +010101010101 +010101010101 +001000100001 +000100010000 +000100010000 +001100100010 +001100110010 +000100010000 +010000110011 +010000110011 +001000100010 +001000100010 +011001100110 +011110000111 +010101010110 +001000110011 +001000100011 +001000100010 +001000100001 +001000100011 +001100110011 +001100110011 +011110001000 +100010001000 +001100110011 +000100010001 +001100110011 +011001010101 +011001100101 +010001000100 +000100010001 +000100010001 +001100110100 +011001110111 +011001100110 +001100110010 +001000100010 +010101010101 +010001010101 +001100100010 +001100100010 +010000110011 +010101000011 +010000110010 +011001010100 +010000110010 +001100110010 +011001010100 +001100100001 +010000110010 +011001010100 +001100100001 +010000110010 +010101000011 +010000110010 +010000110010 +011001010101 +100010000111 +011101100101 +010000110011 +010101000011 +010101000011 +010101000011 +001100100010 +000100100001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100010000 +000100010000 +001100110010 +011001010101 +010101000011 +010101000100 +010101000100 +010101000100 +010101010100 +010101000011 +010000110011 +010101000101 +010001010101 +010001010110 +010001010110 +010101010101 +001000100010 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010010 +000100100011 +000100110100 +001000100100 +000100100011 +000100010010 +000100010001 +001100100010 +010000110010 +010101000011 +010101010100 +010101010100 +010101000011 +011001010011 +010101000010 +010100110010 +010100110001 +010101000010 +010101000011 +001100100001 +001100010000 +010101000011 +010101000011 +010000110001 +001100010000 +001000010000 +010000110010 +010101010100 +011001010101 +011001010100 +011001100101 +011001100110 +011001010100 +010000110010 +010000110010 +010000110010 +010101000011 +010101010100 +010101010100 +010101010100 +010101010101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100111 +010101100110 +010101010101 +010101010110 +010101100110 +011001100111 +011001100111 +011001100111 +010101100111 +011001100110 +010101100110 +010101010101 +010101010100 +010100110011 +010000110011 +010101000100 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000010 +010101000010 +010101000011 +010101010101 +010101010101 +011001010101 +010101010101 +010101010101 +011001010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010000110010 +010001000011 +010101000011 +010000110010 +010000110010 +010000110010 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010000110010 +010000110010 +010000100001 +001100100000 +001100100001 +010000110010 +010101010101 +010001000011 +001100100010 +010001000011 +010000110011 +010101010101 +010101100110 +010101100110 +010101100110 +010101010101 +010101010101 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010101010100 +010101010100 +010001000011 +010000110011 +010001000011 +010101000011 +010001000011 +001100110010 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010101010100 +010101010100 +010001000100 +010101010101 +010101010101 +010101010101 +010101010101 +010001000100 +010001000100 +010001000100 +010101000100 +010101000100 +010001000100 +010001000011 +010101000100 +010101010100 +010101010101 +010101010101 +010101010100 +010101000100 +010101010101 +010101010101 +010101000100 +010001000100 +010101010101 +010101010101 +010101000100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101100110 +010101000100 +010001000011 +010101010101 +010101010101 +010001000011 +010101000011 +010001000100 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010101 +010101010101 +010101000100 +010101000011 +010101000011 +010101000100 +001100110010 +010000110011 +001100110010 +001100110010 +010001000100 +010001010101 +010001010101 +010101000100 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010001000100 +010001000100 +001100110011 +001100110010 +010001000100 +010001000100 +010001000100 +010000110010 +010000110010 +001100110011 +010001010101 +010001010101 +010101010101 +010001000100 +010101010100 +010101010101 +010001000100 +010001010101 +010101000100 +010001000011 +010001000011 +010000110010 +001000010001 +001000010001 +001100100010 +010001000100 +010001010101 +010101010110 +010001010101 +010001010101 +010001000100 +010101000100 +001100100010 +010001000011 +010101010101 +010001000011 +010001000100 +001101000011 +001100110011 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100010001 +000100010001 +000100010010 +001000100010 +000100100010 +000100010001 +001000100010 +001000100010 +000100010001 +000100010000 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010001 +001000010001 +000100010000 +000100000000 +000100000000 +001000100010 +001000110011 +001000100010 +001000010001 +000100010001 +000100100010 +001000110011 +001000100010 +001100110011 +001000100011 +000100010001 +000100010001 +000100010001 +000000000000 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +000100000000 +000100000000 +000100010001 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +010000110010 +010000110010 +001100100001 +001000100001 +001100100001 +001100100001 +001000010000 +000100010000 +010000110010 +011001010100 +011001010100 +010000110001 +010000110001 +010101000011 +010101010100 +010101000100 +010101000011 +010000110010 +010100110010 +010101000011 +010000110010 +010101000011 +010101010100 +010000110011 +001100100010 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100000 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +001000110010 +010101000100 +010101000011 +010101000100 +010101000100 +010101010100 +010101010100 +010001000011 +010000110011 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +000100100001 +000000010000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010010 +000100010010 +000100100011 +000100100011 +000100100010 +000100010010 +000000010001 +000000000001 +000000000001 +000000000001 +000000000000 +000000010000 +001000100001 +001100110011 +010101000100 +010101010100 +010101000011 +010100110001 +010000100000 +010101000010 +010101000011 +001100100001 +010000110010 +010101000011 +010101010100 +010101000011 +010000100001 +001100010001 +010000110010 +010101010100 +010101010110 +010101010101 +010101010110 +010101100110 +010101010101 +010001000011 +001100100010 +001000010000 +010101000011 +010000110010 +001100100001 +010000100001 +010001000011 +010101000100 +010101000100 +010101010101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101010110 +010101010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010001000011 +010000110010 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101100110 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010000110010 +010000110011 +010101010101 +010101010110 +010101100110 +010101010101 +001100110010 +010101010100 +010001000011 +010100110010 +010000110010 +001100100001 +010000110001 +010000110010 +010101010101 +010101000011 +001100100001 +001000010000 +001000010000 +001100110010 +010001000100 +010101100110 +010101010101 +010101010101 +010101010100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010001010101 +010101010101 +010101010101 +010101010100 +010101000100 +010001000011 +010001000100 +010101000100 +010001000100 +010000110011 +010001000011 +010001000100 +010000110011 +010001000100 +010001000100 +010101000100 +010101000100 +010001000100 +010001000100 +010101010100 +010001000100 +010101010101 +010101010101 +010001010101 +010001000100 +010001000100 +010101000100 +010101000100 +010001000011 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010000110011 +010000110011 +010001000011 +010101000100 +010001000100 +010101010100 +010101010100 +010101000011 +010101000100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001000011 +010001000100 +010101010101 +010101010101 +010101000100 +010101000100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000100 +010101010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010000110011 +010000110010 +010000110010 +001100110011 +010001000100 +010001000100 +010001000011 +010000110010 +010001000100 +010101000100 +001100100010 +010000110011 +010001000011 +010000110011 +010000110011 +001100110011 +010000110011 +010001000100 +010001000100 +010000110011 +001100100001 +001100100001 +010001000100 +010001010101 +010101010110 +010101010101 +010101010101 +010001000101 +010101010100 +010101000100 +010000110010 +010001000011 +010001000100 +010001000011 +001100100001 +001100110010 +001100110010 +001100110010 +010001000100 +010001000100 +010001000100 +010001010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +000100100010 +000100100010 +000100010010 +000100010001 +000100010010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +001000100010 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000010001 +000100010001 +000100100010 +001000110011 +001000100011 +001000110011 +001000100010 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100000000 +000100000000 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001100100001 +001100100001 +001100100001 +010101010100 +011101100101 +011101100101 +010101000010 +010000110010 +010101000011 +011001010011 +010101000011 +010101000011 +010000110010 +010000110001 +010000110001 +001100100001 +010000110010 +010000110010 +011001010100 +010101010011 +010101000011 +010000110010 +001000100001 +000100010000 +000000000000 +000000010000 +000100010000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001000100010 +010101000100 +010101000011 +010101000100 +010101000100 +010101010100 +010101010101 +010101000011 +001100110010 +010001000100 +010001000101 +010001010101 +010001010101 +010001000101 +000100010001 +000000010000 +000000010000 +000000010000 +000100010000 +000100100001 +001000100001 +001000100001 +001000100001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010010 +000100100011 +000100100011 +000100100011 +000100100011 +000100010010 +000000010001 +000000000000 +000000000000 +000000000001 +000000010001 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001100110010 +010000110010 +010000110010 +010101000011 +010101000011 +010000110001 +010101000010 +010101000011 +010101010100 +010101000011 +010100110010 +010000110010 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010000110010 +001100100001 +010101000100 +010000110010 +001000010000 +000100000000 +010000110010 +010001000011 +010000110010 +010001000011 +010101010100 +010001010100 +010001010101 +010101010110 +010101010101 +010101010101 +010101010110 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010101 +010101010101 +010101010101 +010101010100 +010101000100 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010100 +010001000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001000100 +010000110010 +010000110010 +010101000100 +010101010101 +010101010101 +010101010101 +010101000011 +001100100001 +010000110010 +010000110001 +001100100001 +001100100001 +010000100001 +010000110010 +010000110010 +001100100001 +010000100001 +001100100001 +001100100001 +010000110010 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101000100 +010001000011 +010001000011 +010001000100 +010101010101 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010101000100 +010101000100 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000011 +010101010100 +010101010101 +010101010101 +010001000100 +010001000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101010101 +010101010100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +010101000100 +010101010101 +010001000100 +010101000011 +010001000011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110011 +010000110011 +001100110010 +010001000011 +010001000011 +010001000100 +010001000011 +010001000100 +010001000011 +001100100010 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010001000011 +010000110011 +001100100010 +001100110010 +001100110011 +010001000100 +010001000100 +010001010101 +010001010101 +010101010101 +010000110011 +010000110010 +010000110011 +010001000100 +010001000011 +001100110010 +010101010100 +010001010100 +010000110011 +001100110010 +001100100010 +010000110011 +010000110011 +001100110010 +001100110011 +010001000011 +010000110011 +010001000100 +010001000100 +010001000101 +010001010101 +010001000100 +000100100010 +000100100010 +000100010010 +000100010001 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010001 +000100010001 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100000000 +000100000000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000110011 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010001 +000100000000 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +001000010001 +000100010000 +000100000000 +000100000000 +000100010001 +000100010001 +000100010000 +000000000000 +000100010001 +001100110011 +010000110010 +001100100010 +010000110010 +010000110010 +010000110010 +010101000011 +010000110010 +010000110010 +010000110010 +010101000010 +010000110010 +001100110001 +010000110010 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +010000110010 +010000110010 +010101000011 +010101000011 +010001000011 +010000110010 +001000100001 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +001000100010 +010101010101 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101000100 +010000110011 +010001000011 +010001000100 +010001000101 +010001000101 +010001000101 +000100010001 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +001000100001 +001000100001 +000100100000 +000100010000 +000000000000 +000000000000 +000000010001 +000100100011 +001000110100 +000100100011 +000100100010 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001100110010 +010001000011 +010000110010 +010101000011 +010101000011 +010101000100 +010101000011 +010101000010 +010100110010 +010101000100 +010101010100 +010101010101 +010101010100 +010101010101 +010101010101 +010101010110 +010101010101 +010000110010 +010000110010 +010101000100 +010101010100 +010000110100 +001100010001 +010000110011 +010101000011 +001100100001 +010101000011 +010101010101 +010101010101 +010001000100 +010001000100 +010101000100 +010101000100 +010101010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101010100 +010101010100 +010101000100 +010101010100 +010101000100 +010101000100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010100 +010101010101 +010101010100 +010101010101 +010101010101 +010101010100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010101000100 +010001000011 +010000110010 +010000110011 +010001000100 +010000110011 +010000110011 +010101000100 +010101010101 +010101010100 +010101010101 +010001000011 +010000110010 +010000110010 +010000110010 +001100010001 +001100100001 +010000110010 +010000110010 +001100100001 +001100100001 +001100100001 +010000110011 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101000100 +010101010100 +010001000100 +001100100010 +001100100001 +001100100001 +010000110010 +001100110010 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010101010101 +010101010100 +010000110011 +010000110010 +010101000100 +010101010100 +010101000100 +010101000011 +010000110011 +010001000100 +010101010101 +010101010101 +010000110011 +010000110010 +001100100001 +010000110011 +010000110010 +010001000011 +010001000100 +010001000011 +010101000100 +010001000100 +010101000100 +010101000100 +010101000100 +010101010101 +010101010100 +010101010100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000011 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +001100100010 +010000110011 +010000110011 +010000110011 +001100110011 +010001000100 +010001010101 +010101010101 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110010 +010001000100 +010001010101 +010001000100 +010001000011 +001100110010 +001100110010 +001100100010 +001000100001 +010000110011 +010001000100 +010000110011 +001100100010 +010001000100 +001100110011 +001100110011 +010001000100 +000100010010 +000100010010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +000100100010 +000100100010 +000100010001 +000100010010 +001000100010 +001000100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000000000000 +000100010001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000000000000 +000100000000 +000100010001 +000100010000 +000100010000 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001100110011 +001000100010 +001000010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +001000100001 +001100100010 +001100100010 +001100110010 +010000110010 +001000100001 +001100110010 +010000110010 +010000110010 +010000110001 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100100010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110001 +010001000010 +001100110010 +001000100000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +001000100010 +010101010101 +010001000011 +010101000100 +010101010101 +010101010101 +010101010101 +010000110011 +001100110010 +010000110011 +010001000011 +010001000101 +010001000101 +010001000101 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100100000 +000100100000 +000100100001 +000100010000 +000000010001 +000100100010 +000100100011 +001000110100 +000100100011 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000000010001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000100001 +010000110010 +010101000011 +010101000100 +010001000011 +010000110010 +010101000011 +010101000100 +010101010101 +010001010100 +010001010101 +010101010101 +010101010101 +010101010101 +010101000011 +010101000011 +010101000100 +010101010101 +010101000100 +010000100001 +010000110010 +010000110010 +001100100001 +010101000011 +010101010110 +010101100110 +010101100110 +010101010100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010101010101 +010101010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101010101 +010101000100 +010101000100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000011 +010101010100 +010101010100 +010101010100 +010001000100 +010101000100 +010101000100 +010001000100 +010001000100 +010101010100 +010101000100 +010101010100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101000100 +010101010100 +010001010101 +010101010101 +010101010101 +010001000100 +010001000100 +010001000011 +001100100001 +001100100001 +010001000100 +010001000011 +010001000100 +010001000011 +010001000100 +010101000100 +010001000011 +010001000011 +010000110010 +010001000011 +010000110010 +010000100001 +001100100001 +001100100001 +001100100001 +010000110010 +010101000100 +010001000100 +010101010101 +010101010101 +010001010101 +010101010101 +010001010101 +010101010101 +010101010101 +010001000100 +010001000100 +010000110011 +001100100001 +001100100001 +001000010000 +001000010000 +001100100001 +001100100001 +010000110011 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010100 +010101010100 +010001000011 +010001000100 +010101010101 +010001000100 +010001000100 +010101000100 +010001000100 +010001010101 +010001000100 +001100100010 +001000010000 +010000110010 +010000110011 +010000110011 +010001000011 +010000110010 +010000110011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010101010100 +010001000100 +010101010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000011 +010001000011 +010000110010 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010000110011 +001100110011 +001100110011 +010001000100 +010001000100 +010001000011 +001100100001 +001100100010 +010000110011 +001100100010 +010000110011 +010001000100 +010001000100 +010001000100 +010001010101 +010001010101 +010001000101 +010001000100 +010001000011 +001100110011 +001100110010 +010001000011 +010001010101 +010001000100 +010000110011 +010000110011 +001100110010 +001100100001 +001100100001 +001100110010 +001100100010 +010000110011 +001100110011 +010001000100 +001100110010 +001100100010 +010000110011 +000100100010 +000100010001 +000100010001 +000100010010 +000100010001 +000100010001 +001000100010 +001000110011 +001000110011 +001000100010 +001000100010 +000100010010 +000100100010 +001000100011 +001000100010 +001000100010 +000100010010 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100000000 +000000000000 +000100000000 +001000100010 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100010 +001100110011 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000000000000 +000100010001 +000100100001 +000100000000 +001000010001 +001100100010 +001100100001 +001000100001 +010000110010 +010000110010 +010000110010 +001100100010 +001100110010 +010000110010 +001100110010 +010000110010 +001100100001 +010000110010 +001100110001 +001100100001 +001100100001 +001100100001 +010000110010 +001100100001 +001000010000 +001100100001 +010000110010 +010000110010 +010000110010 +001100110010 +001000100001 +000100010000 +000000000000 +000000000000 +000000010000 +000000000000 +001000100010 +010001010101 +010000110011 +010101000011 +010101010101 +010101010101 +010101010101 +010000110011 +001100100010 +010000110011 +010001000011 +010001000101 +010001000101 +010001000101 +000100010001 +000000000000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010001 +000000010010 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +010000110001 +010000110001 +010100110010 +010000110010 +010001000100 +010001010101 +010001000101 +010001000100 +010001000100 +010001000101 +010001010101 +010101010101 +010101000100 +010101000100 +010001000100 +010101000100 +010101000100 +010000110010 +010101000011 +010000110010 +010001000011 +010101000100 +010001010101 +010101010110 +010101010110 +001100110011 +000100010001 +010001000101 +010101010101 +010101010101 +010101010101 +010001000100 +010001000100 +010001000100 +010001010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010001000100 +010101010101 +010101010100 +010001000100 +010101000100 +010101000100 +010101010101 +010001010101 +010101000100 +010001000100 +010001000100 +010001000011 +010101000100 +010101000100 +010001000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101010101 +010101000100 +010101010100 +010101010101 +010001010100 +010101000100 +010001000100 +010001010101 +010001000100 +010001000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000100 +010001000011 +010000110011 +010001000100 +010000110011 +001100110010 +001100100010 +001100100010 +010000110010 +010001000011 +010000110010 +010000110010 +010000100001 +010000100010 +001100100010 +010001000100 +010101010101 +010001010101 +010001010101 +010101010100 +010001010101 +010101010101 +010001000100 +001100110010 +010001000011 +010001000011 +010001000011 +010001000100 +001100110010 +001000010001 +001000010000 +001000010000 +001100100001 +001100100001 +001100100010 +010001000100 +010001000011 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +001100110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010001000100 +010000110010 +001000010000 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010001000011 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010001000011 +010001000100 +010001000011 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010000110011 +001100110011 +010000110011 +001100110011 +010001000011 +001100110011 +001100100010 +010000110011 +010001000011 +001100110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001010101 +010001010101 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010000110011 +010001000100 +010001000011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110010 +001000100001 +010000110011 +010001000011 +001100110010 +001100100010 +001100100010 +001100110010 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +000100100010 +001000100010 +001000100011 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +001000100010 +001100110011 +001100110011 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +001000100010 +001000100010 +000100010000 +000100010001 +001000100010 +000100010001 +000100010001 +001000010001 +001000100001 +000100010000 +001000010001 +001100100001 +001100100010 +001000100010 +001000100001 +001100100010 +001100110010 +010000110010 +001100100010 +010000110010 +001100100001 +001100100001 +001000100001 +001000010000 +001100100001 +001100100001 +001100100001 +001000010001 +001000100001 +001100100001 +010000110010 +010000110010 +010000110010 +010000110010 +001100100001 +001000010001 +000100010000 +000000000000 +001000100010 +010001000101 +010000110011 +010000110010 +010001000011 +010101010100 +010101000100 +010001000100 +001100110011 +001100110011 +001100110011 +010001000101 +010001000101 +010001000100 +000100010001 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010001 +000000010010 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +001100100001 +010000100000 +001100100001 +001100100000 +010000110001 +010000110011 +010001000100 +010001000100 +010001000101 +010001000101 +010001010101 +010001010101 +010001000100 +010001000100 +010001000101 +010001000100 +010101000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001010101 +010001000101 +010001010101 +010001010101 +010001000100 +010001000100 +010001010101 +010001010110 +010101010110 +010101010110 +010101100110 +010101010110 +010101010101 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001010101 +010001000101 +010001000100 +010001000100 +010001000100 +010101000100 +010101000100 +010001010101 +010001010101 +010101000100 +010001000100 +010001000011 +010001000100 +010001010100 +010001010100 +010001000100 +010001000100 +010001000011 +010101000100 +010101000100 +010101000100 +010001000100 +010001000100 +010001010101 +010101000100 +010001000100 +010001010101 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010000110010 +010000110010 +010000110010 +001100100001 +010000100001 +001100100001 +010000110010 +001100100001 +010000100010 +001100110010 +010101000100 +010001000100 +010101010100 +010001000100 +010001000100 +010001010101 +010001010101 +010101010101 +001100100010 +001100100001 +001100100010 +010001000100 +010001010100 +010001000100 +001000010001 +001000010000 +001000010000 +001100100001 +001100100001 +001100010000 +010000110010 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +010000110011 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +010001000100 +010001000011 +001100110011 +001100110011 +001100110010 +010000110011 +001100110011 +010001000011 +001100110011 +010001000100 +010001000100 +010001000101 +010001000100 +010000110011 +010001000100 +010001010101 +010001010101 +010001010101 +010001000101 +010001000100 +010001010101 +010001010101 +010001000011 +001100100001 +001100110010 +010000110011 +010000110011 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +001100110010 +001100100010 +001100110010 +001100110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +001100110011 +010001000011 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110010 +001100110011 +001100110010 +001100100010 +001100100010 +001100100010 +000100010001 +000100010001 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100010001 +000100010001 +000100010000 +000100010001 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +001000100010 +001000100010 +001000010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +000100010000 +000100010001 +000100100010 +001000100010 +001000100010 +000100010000 +000100010000 +000100010001 +001000100010 +000100010001 +000100010000 +000100010001 +001000100010 +001000100010 +000100100010 +000100010001 +000100010001 +000100010000 +000000000000 +000100010000 +000100010000 +001000100001 +001000100001 +001100100001 +010000110010 +001100100001 +001100100010 +001100100001 +010000110010 +010000110010 +001100110010 +001000010001 +001000010000 +001100100001 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +010000110010 +010000110010 +010101000011 +010101000011 +010001000011 +001000100001 +001000100010 +010001000101 +010000110010 +010000110010 +010000110010 +010101000100 +010001010101 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +010001000101 +010001000100 +000100100001 +000000010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010010 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100100001 +001100100001 +000000000000 +010000100000 +010000100000 +001100100001 +001100100001 +010000110010 +010001000100 +010001000100 +010001000101 +010001000100 +010001000101 +010001000101 +010001000101 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001000101 +010001010101 +010001010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010100 +010001000011 +010000110010 +010000110010 +010001000011 +010001000100 +010001000011 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001010101 +010001000100 +010001010100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010000110010 +001100100010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100010 +001100110010 +010000110011 +010000110011 +010001000100 +010001000101 +010001000100 +010001000101 +010000110011 +001000010001 +001100110010 +010001000100 +010001000100 +010001000011 +001000010000 +001000010000 +001100010000 +001000010001 +001000010000 +001100010000 +001000010001 +001100110010 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +001100110011 +001100110011 +010000110011 +010001000100 +001100110011 +001100110011 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010001000100 +010001010101 +010001010101 +010001000101 +010001000011 +010001000100 +010001000100 +010001000100 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010000110011 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +001101000011 +001100110100 +010001000100 +001100110011 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +010001000011 +001100110011 +010001000011 +010001000101 +010001000100 +010001000011 +010001000011 +010000110011 +001100110010 +010000110010 +010000110011 +001100110010 +010000110011 +001100110010 +010000110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110011 +001100110010 +001100100001 +001100110010 +001100110010 +001100110011 +001100110011 +001100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100000000 +000100010000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001000100010 +000100010000 +000100000000 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000000000000 +000100000000 +000100000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +001000100001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010000 +001000010010 +001000100011 +001000100011 +001000100010 +000100010001 +000100010001 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001100110011 +000100010001 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000100010001 +010000110011 +010000110010 +010001000011 +010000110011 +001100100001 +001100100001 +001100110010 +001100100001 +001100100001 +001100100001 +001100100010 +010000110011 +010101000011 +010101000100 +010101000011 +010000110011 +001100110010 +010000110010 +010001000011 +010000110010 +010101000011 +010001000011 +010000110010 +010101000011 +010001000100 +010001000100 +001100110010 +010000110010 +010000110011 +010001000100 +010001000100 +010000110011 +001100110011 +001100110011 +001101000100 +001101000100 +010001000100 +010001000100 +000100010001 +000000010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100100001 +000100010000 +001000010000 +010100110000 +010000100000 +010000100000 +010000100000 +010100110001 +010000110001 +001100100001 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001010101 +010001010101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010101010101 +010101010101 +010001010100 +010101000100 +010001000100 +010001000100 +010001000011 +001100110010 +001100110010 +010000110010 +010000110010 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110010 +010000110010 +010000110010 +001100100001 +001100010001 +010000110010 +010001000011 +001100110010 +001100100001 +001100100010 +010001000100 +010001000100 +010001000100 +010001000100 +001100100010 +010001000011 +010001000100 +010001000100 +010001000011 +001100110010 +001100100001 +001000010000 +001000010000 +001000010001 +001100010001 +001000010000 +000100010000 +001100110010 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +001100110011 +010001000100 +010001000011 +001101000011 +001100110010 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +010001000100 +001100110011 +001100110011 +010001000100 +010000110011 +010001000011 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001010101 +010001000100 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +001100110011 +001100110100 +010001000100 +010001000011 +001100110011 +010001000100 +010001000100 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001000100 +010001000100 +010000110011 +010001000100 +001101000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +010001000100 +010000110011 +010000110011 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +010000110011 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001101000100 +001101000100 +001100110011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010010 +000100010010 +001000100010 +000100100010 +000100010010 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001000100011 +001000100010 +000100010001 +000100010001 +000100010010 +001000100011 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +001000010001 +001100100010 +001100100010 +001000100001 +001000010001 +001000100001 +001100100010 +001100100010 +001100100010 +010000110010 +010001000011 +010101000011 +010101000011 +010001000011 +001100110010 +001000100001 +001100100010 +010000110010 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +010000110010 +010000110010 +010000110011 +010001000011 +010001000100 +001100110010 +001100100010 +001100110011 +001101000100 +001101000100 +010001000100 +010001000100 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100100000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100100001 +000100010000 +010000110001 +010000110000 +010000100001 +010000100000 +010100110001 +010100110001 +001100010000 +010000100001 +010100110001 +010000110001 +010000110010 +001100110011 +001101000100 +010001000100 +010001000100 +010001000100 +010001000101 +001101000101 +010001000101 +001101000011 +010001000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000011 +010000110010 +001100100001 +001100100001 +001100110010 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +010000110011 +010000110010 +001100100010 +001100100010 +010001000011 +010001000100 +010001000011 +010000110011 +010000110011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010000110011 +001100100001 +001100010001 +001100010000 +001000010000 +001000010000 +001000010001 +001100100010 +001100110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +001101000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000011 +010000110011 +010001000011 +010000110011 +001100110010 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000100 +001100110011 +001100110011 +001101000100 +010001000101 +010001000100 +001101000100 +010001000100 +010001000100 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100100010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001101000100 +001101000100 +001101000100 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100010010 +000100010010 +000100010001 +000000000000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100010000 +000100010001 +000100010001 +000100000000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000000000000 +000100000000 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100000000 +000100000000 +000100010001 +001000100001 +001000100010 +000100010001 +000100010000 +001000010001 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000100000000 +000000000000 +000100010000 +000100010001 +001000100001 +001000100001 +001100100010 +001000100001 +001100110010 +010101000011 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +001100100010 +001000100001 +001100110010 +010000110010 +010000110011 +010001000011 +001100110010 +001100110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110011 +001101000100 +001101000100 +010000110100 +001000100001 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +001000010000 +010000110001 +010000100000 +010000100000 +010100110001 +010101000001 +001100100000 +001000010000 +001100100000 +010100110000 +010000110001 +000100010000 +000000010001 +001000100010 +001100110011 +001101000100 +001101000100 +001101000100 +010001000100 +001101000011 +001101000011 +010001000100 +010001000101 +001101000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +001000010001 +000100000000 +001100100001 +010000110010 +001100110001 +001100110010 +010000110011 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110010 +001100100010 +001100100001 +001100010001 +001000010000 +001100100001 +001100100010 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +010001000100 +010001000100 +001100110011 +001100100010 +010000110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001101000100 +001101000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001000100 +010001000100 +001100110011 +001100110010 +001100110011 +010001000011 +010000110011 +010000110011 +001100110011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +001100110011 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010000110011 +001100110011 +010000110100 +001100110100 +010000110100 +010001000100 +010000110011 +010000110011 +010001000100 +010001000100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001101000100 +001101000100 +010001000100 +001101000100 +010001000100 +001101000100 +010001000100 +001100110011 +001100110011 +001100110100 +001101000100 +001100110011 +001100110011 +001100110100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100100010 +001100110010 +001100100010 +001100110011 +001100110011 +001101000100 +001101000100 +001101000100 diff --git a/tools/birds.jpg b/tools/birds.jpg new file mode 100644 index 0000000..bc293b3 Binary files /dev/null and b/tools/birds.jpg differ diff --git a/tools/main.py b/tools/main.py new file mode 100644 index 0000000..a3dc57d --- /dev/null +++ b/tools/main.py @@ -0,0 +1,19 @@ +import sys +from PIL import Image + +# Convert an image to a memory initialization file. +# 400x300@12bpp. Usage: `python3 main.py input.png > output.init` +def main(): + im = Image.open(sys.argv[1]) + im = im.resize((400, 300)) + im = im.load() + + for pix in ( im[x,y] for y in range(300) for x in range(400) ): + r, g, b = map(lambda x: (x >> 4) & 0x0F, pix) + print(f'{r:04b}{g:04b}{b:04b}') + + return 0 + + +if __name__ == '__main__': + sys.exit(main()) diff --git a/tools/requirements.txt b/tools/requirements.txt new file mode 100644 index 0000000..c519fe2 --- /dev/null +++ b/tools/requirements.txt @@ -0,0 +1 @@ +pillow==11.3.0 diff --git a/vivado_flow/Basys3_Master.xdc b/vivado_flow/Basys3_Master.xdc new file mode 100644 index 0000000..938f992 --- /dev/null +++ b/vivado_flow/Basys3_Master.xdc @@ -0,0 +1,159 @@ +## This file is a general .xdc for the Basys3 rev B board +## To use it in a project: +## - uncomment the lines corresponding to used pins +## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project + +## Clock signal +set_property -dict { PACKAGE_PIN W5 IOSTANDARD LVCMOS33 } [get_ports clk] +create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk] + + +## Switches +#set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports {sw[0]}] +#set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports {sw[1]}] +#set_property -dict { PACKAGE_PIN W16 IOSTANDARD LVCMOS33 } [get_ports {sw[2]}] +#set_property -dict { PACKAGE_PIN W17 IOSTANDARD LVCMOS33 } [get_ports {sw[3]}] +#set_property -dict { PACKAGE_PIN W15 IOSTANDARD LVCMOS33 } [get_ports {sw[4]}] +#set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports {sw[5]}] +#set_property -dict { PACKAGE_PIN W14 IOSTANDARD LVCMOS33 } [get_ports {sw[6]}] +#set_property -dict { PACKAGE_PIN W13 IOSTANDARD LVCMOS33 } [get_ports {sw[7]}] +#set_property -dict { PACKAGE_PIN V2 IOSTANDARD LVCMOS33 } [get_ports {sw[8]}] +#set_property -dict { PACKAGE_PIN T3 IOSTANDARD LVCMOS33 } [get_ports {sw[9]}] +#set_property -dict { PACKAGE_PIN T2 IOSTANDARD LVCMOS33 } [get_ports {sw[10]}] +#set_property -dict { PACKAGE_PIN R3 IOSTANDARD LVCMOS33 } [get_ports {sw[11]}] +#set_property -dict { PACKAGE_PIN W2 IOSTANDARD LVCMOS33 } [get_ports {sw[12]}] +#set_property -dict { PACKAGE_PIN U1 IOSTANDARD LVCMOS33 } [get_ports {sw[13]}] +#set_property -dict { PACKAGE_PIN T1 IOSTANDARD LVCMOS33 } [get_ports {sw[14]}] +#set_property -dict { PACKAGE_PIN R2 IOSTANDARD LVCMOS33 } [get_ports {sw[15]}] + + +## LEDs +set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports {led[0]}] +set_property -dict { PACKAGE_PIN E19 IOSTANDARD LVCMOS33 } [get_ports {led[1]}] +set_property -dict { PACKAGE_PIN U19 IOSTANDARD LVCMOS33 } [get_ports {led[2]}] +set_property -dict { PACKAGE_PIN V19 IOSTANDARD LVCMOS33 } [get_ports {led[3]}] +set_property -dict { PACKAGE_PIN W18 IOSTANDARD LVCMOS33 } [get_ports {led[4]}] +set_property -dict { PACKAGE_PIN U15 IOSTANDARD LVCMOS33 } [get_ports {led[5]}] +set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports {led[6]}] +set_property -dict { PACKAGE_PIN V14 IOSTANDARD LVCMOS33 } [get_ports {led[7]}] +set_property -dict { PACKAGE_PIN V13 IOSTANDARD LVCMOS33 } [get_ports {led[8]}] +set_property -dict { PACKAGE_PIN V3 IOSTANDARD LVCMOS33 } [get_ports {led[9]}] +set_property -dict { PACKAGE_PIN W3 IOSTANDARD LVCMOS33 } [get_ports {led[10]}] +set_property -dict { PACKAGE_PIN U3 IOSTANDARD LVCMOS33 } [get_ports {led[11]}] +set_property -dict { PACKAGE_PIN P3 IOSTANDARD LVCMOS33 } [get_ports {led[12]}] +set_property -dict { PACKAGE_PIN N3 IOSTANDARD LVCMOS33 } [get_ports {led[13]}] +set_property -dict { PACKAGE_PIN P1 IOSTANDARD LVCMOS33 } [get_ports {led[14]}] +set_property -dict { PACKAGE_PIN L1 IOSTANDARD LVCMOS33 } [get_ports {led[15]}] + + +##7 Segment Display +set_property -dict { PACKAGE_PIN W7 IOSTANDARD LVCMOS33 } [get_ports {seg[0]}] +set_property -dict { PACKAGE_PIN W6 IOSTANDARD LVCMOS33 } [get_ports {seg[1]}] +set_property -dict { PACKAGE_PIN U8 IOSTANDARD LVCMOS33 } [get_ports {seg[2]}] +set_property -dict { PACKAGE_PIN V8 IOSTANDARD LVCMOS33 } [get_ports {seg[3]}] +set_property -dict { PACKAGE_PIN U5 IOSTANDARD LVCMOS33 } [get_ports {seg[4]}] +set_property -dict { PACKAGE_PIN V5 IOSTANDARD LVCMOS33 } [get_ports {seg[5]}] +set_property -dict { PACKAGE_PIN U7 IOSTANDARD LVCMOS33 } [get_ports {seg[6]}] + +set_property -dict { PACKAGE_PIN V7 IOSTANDARD LVCMOS33 } [get_ports dp] + +set_property -dict { PACKAGE_PIN U2 IOSTANDARD LVCMOS33 } [get_ports {an[0]}] +set_property -dict { PACKAGE_PIN U4 IOSTANDARD LVCMOS33 } [get_ports {an[1]}] +set_property -dict { PACKAGE_PIN V4 IOSTANDARD LVCMOS33 } [get_ports {an[2]}] +set_property -dict { PACKAGE_PIN W4 IOSTANDARD LVCMOS33 } [get_ports {an[3]}] + + +##Buttons +#set_property -dict { PACKAGE_PIN U18 IOSTANDARD LVCMOS33 } [get_ports btnC] +#set_property -dict { PACKAGE_PIN T18 IOSTANDARD LVCMOS33 } [get_ports btnU] +#set_property -dict { PACKAGE_PIN W19 IOSTANDARD LVCMOS33 } [get_ports btnL] +#set_property -dict { PACKAGE_PIN T17 IOSTANDARD LVCMOS33 } [get_ports btnR] +#set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports btnD] + + +##Pmod Header JA +#set_property -dict { PACKAGE_PIN J1 IOSTANDARD LVCMOS33 } [get_ports {JA[0]}];#Sch name = JA1 +#set_property -dict { PACKAGE_PIN L2 IOSTANDARD LVCMOS33 } [get_ports {JA[1]}];#Sch name = JA2 +#set_property -dict { PACKAGE_PIN J2 IOSTANDARD LVCMOS33 } [get_ports {JA[2]}];#Sch name = JA3 +#set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports {JA[3]}];#Sch name = JA4 +#set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports {JA[4]}];#Sch name = JA7 +#set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports {JA[5]}];#Sch name = JA8 +#set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports {JA[6]}];#Sch name = JA9 +#set_property -dict { PACKAGE_PIN G3 IOSTANDARD LVCMOS33 } [get_ports {JA[7]}];#Sch name = JA10 + +##Pmod Header JB +#set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVCMOS33 } [get_ports {JB[0]}];#Sch name = JB1 +#set_property -dict { PACKAGE_PIN A16 IOSTANDARD LVCMOS33 } [get_ports {JB[1]}];#Sch name = JB2 +#set_property -dict { PACKAGE_PIN B15 IOSTANDARD LVCMOS33 } [get_ports {JB[2]}];#Sch name = JB3 +#set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVCMOS33 } [get_ports {JB[3]}];#Sch name = JB4 +#set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports {JB[4]}];#Sch name = JB7 +#set_property -dict { PACKAGE_PIN A17 IOSTANDARD LVCMOS33 } [get_ports {JB[5]}];#Sch name = JB8 +#set_property -dict { PACKAGE_PIN C15 IOSTANDARD LVCMOS33 } [get_ports {JB[6]}];#Sch name = JB9 +#set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports {JB[7]}];#Sch name = JB10 + +##Pmod Header JC +#set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports {JC[0]}];#Sch name = JC1 +#set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports {JC[1]}];#Sch name = JC2 +#set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports {JC[2]}];#Sch name = JC3 +#set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports {JC[3]}];#Sch name = JC4 +#set_property -dict { PACKAGE_PIN L17 IOSTANDARD LVCMOS33 } [get_ports {JC[4]}];#Sch name = JC7 +#set_property -dict { PACKAGE_PIN M19 IOSTANDARD LVCMOS33 } [get_ports {JC[5]}];#Sch name = JC8 +#set_property -dict { PACKAGE_PIN P17 IOSTANDARD LVCMOS33 } [get_ports {JC[6]}];#Sch name = JC9 +#set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports {JC[7]}];#Sch name = JC10 + +##Pmod Header JXADC +#set_property -dict { PACKAGE_PIN J3 IOSTANDARD LVCMOS33 } [get_ports {JXADC[0]}];#Sch name = XA1_P +#set_property -dict { PACKAGE_PIN L3 IOSTANDARD LVCMOS33 } [get_ports {JXADC[1]}];#Sch name = XA2_P +#set_property -dict { PACKAGE_PIN M2 IOSTANDARD LVCMOS33 } [get_ports {JXADC[2]}];#Sch name = XA3_P +#set_property -dict { PACKAGE_PIN N2 IOSTANDARD LVCMOS33 } [get_ports {JXADC[3]}];#Sch name = XA4_P +#set_property -dict { PACKAGE_PIN K3 IOSTANDARD LVCMOS33 } [get_ports {JXADC[4]}];#Sch name = XA1_N +#set_property -dict { PACKAGE_PIN M3 IOSTANDARD LVCMOS33 } [get_ports {JXADC[5]}];#Sch name = XA2_N +#set_property -dict { PACKAGE_PIN M1 IOSTANDARD LVCMOS33 } [get_ports {JXADC[6]}];#Sch name = XA3_N +#set_property -dict { PACKAGE_PIN N1 IOSTANDARD LVCMOS33 } [get_ports {JXADC[7]}];#Sch name = XA4_N + + +##VGA Connector +set_property -dict { PACKAGE_PIN G19 IOSTANDARD LVCMOS33 } [get_ports {vgaRed[0]}] +set_property -dict { PACKAGE_PIN H19 IOSTANDARD LVCMOS33 } [get_ports {vgaRed[1]}] +set_property -dict { PACKAGE_PIN J19 IOSTANDARD LVCMOS33 } [get_ports {vgaRed[2]}] +set_property -dict { PACKAGE_PIN N19 IOSTANDARD LVCMOS33 } [get_ports {vgaRed[3]}] +set_property -dict { PACKAGE_PIN N18 IOSTANDARD LVCMOS33 } [get_ports {vgaBlue[0]}] +set_property -dict { PACKAGE_PIN L18 IOSTANDARD LVCMOS33 } [get_ports {vgaBlue[1]}] +set_property -dict { PACKAGE_PIN K18 IOSTANDARD LVCMOS33 } [get_ports {vgaBlue[2]}] +set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports {vgaBlue[3]}] +set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports {vgaGreen[0]}] +set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33 } [get_ports {vgaGreen[1]}] +set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports {vgaGreen[2]}] +set_property -dict { PACKAGE_PIN D17 IOSTANDARD LVCMOS33 } [get_ports {vgaGreen[3]}] +set_property -dict { PACKAGE_PIN P19 IOSTANDARD LVCMOS33 } [get_ports Hsync] +set_property -dict { PACKAGE_PIN R19 IOSTANDARD LVCMOS33 } [get_ports Vsync] + + +##USB-RS232 Interface +#set_property -dict { PACKAGE_PIN B18 IOSTANDARD LVCMOS33 } [get_ports RsRx] +#set_property -dict { PACKAGE_PIN A18 IOSTANDARD LVCMOS33 } [get_ports RsTx] + + +##USB HID (PS/2) +#set_property -dict { PACKAGE_PIN C17 IOSTANDARD LVCMOS33 PULLUP true } [get_ports PS2Clk] +#set_property -dict { PACKAGE_PIN B17 IOSTANDARD LVCMOS33 PULLUP true } [get_ports PS2Data] + + +##Quad SPI Flash +##Note that CCLK_0 cannot be placed in 7 series devices. You can access it using the +##STARTUPE2 primitive. +#set_property -dict { PACKAGE_PIN D18 IOSTANDARD LVCMOS33 } [get_ports {QspiDB[0]}] +#set_property -dict { PACKAGE_PIN D19 IOSTANDARD LVCMOS33 } [get_ports {QspiDB[1]}] +#set_property -dict { PACKAGE_PIN G18 IOSTANDARD LVCMOS33 } [get_ports {QspiDB[2]}] +#set_property -dict { PACKAGE_PIN F18 IOSTANDARD LVCMOS33 } [get_ports {QspiDB[3]}] +#set_property -dict { PACKAGE_PIN K19 IOSTANDARD LVCMOS33 } [get_ports QspiCSn] + + +## Configuration options, can be used for all designs +set_property CONFIG_VOLTAGE 3.3 [current_design] +set_property CFGBVS VCCO [current_design] + +## SPI configuration mode options for QSPI boot, can be used for all designs +set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design] +set_property BITSTREAM.CONFIG.CONFIGRATE 33 [current_design] +set_property CONFIG_MODE SPIx4 [current_design] diff --git a/vivado_flow/run_batch.tcl b/vivado_flow/run_batch.tcl new file mode 100644 index 0000000..647dc29 --- /dev/null +++ b/vivado_flow/run_batch.tcl @@ -0,0 +1,30 @@ +set PROJECT_DIR .. +set OUTPUT_DIR ./output +set PART_NO xc7a35tcpg236-1 + +file mkdir $OUTPUT_DIR + +read_verilog [ glob $PROJECT_DIR/rtl/*.v ] +read_xdc $PROJECT_DIR/vivado_flow/Basys3_Master.xdc + +synth_design -top Basys3_Top -part $PART_NO -include_dirs $PROJECT_DIR/rtl +write_checkpoint -force $OUTPUT_DIR/post_synth.dcp +report_timing_summary -file $OUTPUT_DIR/post_synth_timing_summary.rpt +report_power -file $OUTPUT_DIR/post_synth_power.rpt + +opt_design +place_design +phys_opt_design +write_checkpoint -force $OUTPUT_DIR/post_place.dcp +report_timing_summary -file $OUTPUT_DIR/post_place_timing_summary.rpt + +route_design +write_checkpoint -force $OUTPUT_DIR/post_route.dcp +report_timing -sort_by group -max_paths 100 -path_type summary -file $OUTPUT_DIR/post_route_timing.rpt +report_timing_summary -file $OUTPUT_DIR/post_route_timing_summary.rpt +report_clock_utilization -file $OUTPUT_DIR/clock_util.rpt +report_utilization -file $OUTPUT_DIR/post_route_util.rpt +report_power -file $OUTPUT_DIR/post_route_power.rpt +report_drc -file $OUTPUT_DIR/post_imp_drc.rpt + +write_bitstream -force $OUTPUT_DIR/$PART_NO.bit diff --git a/vivado_flow/run_elaborate.tcl b/vivado_flow/run_elaborate.tcl new file mode 100644 index 0000000..4d1be77 --- /dev/null +++ b/vivado_flow/run_elaborate.tcl @@ -0,0 +1,11 @@ +set PROJECT_DIR .. +set OUTPUT_DIR ./output +set PART_NO xc7a35tcpg236-1 + +file mkdir $OUTPUT_DIR + +read_verilog [ glob $PROJECT_DIR/rtl/*.v ] +read_xdc $PROJECT_DIR/vivado_flow/Basys3_Master.xdc + +synth_design -top Basys3_Top -rtl -include_dirs $PROJECT_DIR/rtl +start_gui diff --git a/xc7a35tcpg236-1.bit b/xc7a35tcpg236-1.bit new file mode 100644 index 0000000..0ef4b75 Binary files /dev/null and b/xc7a35tcpg236-1.bit differ