From 1b017953ee18ae33e8c72797956382d0ea580367 Mon Sep 17 00:00:00 2001 From: Pablo Rodriguez Date: Mon, 24 Nov 2025 21:44:39 -0500 Subject: [PATCH] first commit --- .gitattributes | 2 + .gitignore | 7 + README.TXT | 32 + init/vram.init | 120000 +++++++++++++++++++++++++++++++ rtl/Basys3_Top.v | 84 + rtl/Bin2bcd.v | 21 + rtl/Blinky.v | 16 + rtl/Clock_divider.v | 20 + rtl/RAM.v | 33 + rtl/Seven_segment_bcd.v | 39 + rtl/Seven_segment_timing.v | 19 + rtl/VGA_timing.v | 47 + sim/Makefile | 10 + sim/testbench.v | 54 + tools/birds.init | 120000 +++++++++++++++++++++++++++++++ tools/birds.jpg | Bin 0 -> 275514 bytes tools/main.py | 19 + tools/requirements.txt | 1 + vivado_flow/Basys3_Master.xdc | 159 + vivado_flow/run_batch.tcl | 30 + vivado_flow/run_elaborate.tcl | 11 + xc7a35tcpg236-1.bit | Bin 0 -> 905784 bytes 22 files changed, 240604 insertions(+) create mode 100644 .gitattributes create mode 100644 .gitignore create mode 100644 README.TXT create mode 100644 init/vram.init create mode 100644 rtl/Basys3_Top.v create mode 100644 rtl/Bin2bcd.v create mode 100644 rtl/Blinky.v create mode 100644 rtl/Clock_divider.v create mode 100644 rtl/RAM.v create mode 100644 rtl/Seven_segment_bcd.v create mode 100644 rtl/Seven_segment_timing.v create mode 100644 rtl/VGA_timing.v create mode 100644 sim/Makefile create mode 100644 sim/testbench.v create mode 100644 tools/birds.init create mode 100644 tools/birds.jpg create mode 100644 tools/main.py create mode 100644 tools/requirements.txt create mode 100644 vivado_flow/Basys3_Master.xdc create mode 100644 vivado_flow/run_batch.tcl create mode 100644 vivado_flow/run_elaborate.tcl create mode 100644 xc7a35tcpg236-1.bit diff --git a/.gitattributes b/.gitattributes new file mode 100644 index 0000000..b7a1158 --- /dev/null +++ b/.gitattributes @@ -0,0 +1,2 @@ +*.jpg binary +*.bit binary diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..39894a4 --- /dev/null +++ b/.gitignore @@ -0,0 +1,7 @@ +/tools/.env/** +/sim/output/** +/vivado_flow/output/** +/vivado_flow/.Xil/** +/vivado_flow/*.jou +/vivado_flow/*.log +/vivado_flow/clockInfo.txt diff --git a/README.TXT b/README.TXT new file mode 100644 index 0000000..daf027c --- /dev/null +++ b/README.TXT @@ -0,0 +1,32 @@ +Video Card + - PR 2025 + +Project to practice Verilog and FPGA design flow in Vivado. + +The design will display a static image to a monitor via the VGA +port of the Basys3 board. For demonstration purposes an LED light +and the seven segment display also light up. The image is stored +in the FPGA's block RAM, it can be customized by using the Python +script provided in the tools directory to convert any image file +you want to display: + python3 tools/main.py input.png > init/vram.init + +To simulate; go into the `sim` directory and run `make`; +then use `vvp output/testbench.vvp` to create the waveform dump. + +To synthesize the design for the Basys3 board; enter the `vivado_flow` directory +and run `vivado -mode batch -source run_batch.tcl`. This will create the bitstream +file to program the FPGA. + +Basys3 resources: + + Diligent reference manual: + https://digilent.com/reference/programmable-logic/basys-3/reference-manual + +Xilinx Vivado resources: + + Block RAM and HDL coding techniques: + https://docs.amd.com/r/en-US/ug901-vivado-synthesis/Single-Port-Block-RAM-with-Resettable-Data-Output-Verilog + + Design flow with Tcl scripts: + https://docs.amd.com/r/en-US/ug894-vivado-tcl-scripting/Compilation-with-a-Non-Project-Flow diff --git a/init/vram.init b/init/vram.init new file mode 100644 index 0000000..6fb030c --- /dev/null +++ b/init/vram.init @@ -0,0 +1,120000 @@ +001000100010 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100010 +001100110010 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +010000110011 +001100110010 +001000100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +010001000011 +010101010100 +011101100101 +100001110110 +011101100110 +010101010100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010101010100 +010101010100 +011001010101 +011101110110 +100001110110 +100010000111 +101010011000 +110011001011 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110011001100 +101010101010 +101010011001 +101010011000 +101010101001 +101110101001 +101010101001 +100110001000 +011001010101 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010101000100 +010101000100 +010001000100 +010101010100 +010101010101 +010101100110 +011001110111 +011101111000 +011101110111 +011001100110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +011001010101 +011001100110 +011001110110 +011101111000 +011110001000 +011101111000 +011101110111 +011101110111 +011101111000 +011101111000 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001001 +100010001001 +011110001000 +011101110111 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110010111 +101010010111 +101010010111 +101010010111 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110111000 +101110111000 +101110111000 +101110111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110010111001 +110111001001 +110011001001 +110111001001 +110111001001 +110111001001 +110111001010 +110111001010 +110111001010 +110111001010 +110111011011 +110111011011 +110111011100 +110111011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011101 +111011101101 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101111 +111011101111 +111011101111 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101111 +111011101111 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111011101 +110010111010 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101010 +101110111010 +101110111010 +110010111011 +110011001011 +110011001011 +110011001011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111010 +101110111010 +101110111011 +110011001011 +110011001100 +110011001100 +110011001100 +110010111011 +101110111011 +101010101010 +101010101010 +100110011010 +100110011001 +100110011001 +100110011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100110011001 +101010101010 +101110111011 +101110111011 +101110111011 +101010101010 +100010001001 +011101111000 +011101111000 +011101110111 +011001100111 +011001100110 +011001100110 +011101110111 +100010001000 +101010101010 +110011001100 +110011011100 +110011001100 +110011001100 +110011001100 +101110111011 +101110111011 +101110111010 +101110111010 +101110111010 +101110111010 +101110101010 +101110111010 +101110111011 +110011001011 +110011001100 +110011001100 +110011001100 +101110111011 +101010101011 +100110011010 +100010011001 +100010001001 +100010001001 +100010011001 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +110011001011 +110111011101 +110111101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011011101 +110011011101 +110011011101 +110011011101 +110011011101 +000100100010 +001000110010 +001101000100 +010001010100 +001101000011 +001000110010 +001000100010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001100110011 +001000110011 +001000100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001100110010 +010000110011 +010101000011 +010101000100 +010101000100 +010101000100 +010001000011 +001101000011 +001101000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010100 +010101010100 +011001010101 +011001100101 +011101110101 +100110011000 +110011001100 +111011101110 +111011101111 +111011101110 +111011101110 +111011101110 +111011101110 +110111011101 +110111011110 +110111101110 +110111011110 +110011001100 +100110011001 +100010000111 +100010000111 +100010000111 +100001110111 +100010000111 +100010000111 +100001110110 +011001100101 +010101000100 +010001000011 +010000110011 +010001000011 +010001000011 +010101010100 +010101010101 +010101010100 +010101000100 +010101000100 +010101010101 +011001100110 +011001110111 +011001110111 +011001100110 +011001010110 +011001010101 +011001010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001100110 +011001100110 +011101100110 +011101110111 +011101110111 +011101110110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100110 +011101110111 +011101110111 +011101111000 +011101110111 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001110100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110010111 +101010010111 +101010010111 +101010100111 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110100111 +101110101000 +101110101000 +101110111000 +101110111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110010111001 +110010111001 +110111001001 +110110111001 +110111001001 +110111001001 +110111001010 +110111001010 +110111011011 +110111011011 +110111011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111011101 +110111001011 +101110101001 +101110101000 +101010101000 +101010101001 +101010101001 +101010101001 +101010101001 +101010101001 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010101001 +101010101001 +101010101001 +101010101001 +101010011001 +101010011001 +101010011001 +101010101001 +101010011001 +101010011001 +101010011001 +101010011001 +100110011000 +100110011000 +100110011000 +101010011001 +101010101001 +101010101010 +101010101001 +100110011001 +100110011001 +100110011000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001000 +100010001000 +100010001000 +100110011001 +100110011001 +100110011001 +100010001000 +011110001000 +011101110111 +011101110111 +011001100111 +011001100110 +011001100110 +011001100101 +011001100110 +011101110111 +100110001000 +101010101001 +101010101001 +101010101001 +101010011001 +100110011001 +100110011000 +100110001000 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100110011000 +100110011001 +100110011001 +100110011001 +100110011001 +100010001001 +011101111000 +011101110111 +011001110111 +011001100111 +011001100110 +011001100110 +011001100111 +011001100111 +011101110111 +100010001000 +101010101010 +101111001011 +110111011101 +110111101110 +111011101110 +111011101110 +110111101110 +110111011110 +110111011110 +110111011101 +110111011101 +110011001100 +110011001100 +110010111011 +101110111010 +101110111010 +101110111010 +101110111010 +101110111010 +101110111011 +110011001100 +110011001100 +110111011101 +110111011101 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011011101 +110011011101 +110011011101 +010001010100 +010001010100 +011001100110 +011101110111 +011101110110 +010101100101 +001101000011 +010001010100 +010101010100 +010101010100 +010001010100 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110100 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +001101000100 +010001000101 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +011101100101 +101010010111 +110111001011 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111011101 +110111011101 +110111011101 +110011011101 +101110111011 +100110011001 +100010011000 +100010011000 +011110000111 +011101110110 +011101100110 +011101100110 +011101100110 +011001100101 +010101010100 +010001000011 +010001000011 +010101000011 +010101000100 +010101010100 +011001010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101010101 +011001100101 +011001100110 +010101010101 +010101010101 +010101010100 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100101 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100110 +011101110111 +011001100110 +011001010101 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001110100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100010000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110010111 +101010010111 +101010010111 +101010011000 +101010101000 +101010101000 +101010101000 +101010101000 +101010101000 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +101110101000 +101110101000 +101110100111 +101110100111 +101110101000 +101110101000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110010111001 +110110111001 +110110111001 +110110111001 +110111001001 +110111001001 +110111001010 +110111011011 +111011011100 +111011011100 +111011011100 +111011011011 +111011011011 +111011011011 +111011011011 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011101 +111011101101 +111011011101 +111011011100 +110111011100 +110111011100 +110111011100 +110111011100 +110111011011 +110111011011 +110111011011 +110111011100 +110111011100 +110111011100 +110111011100 +110111011100 +110111011100 +110111001011 +110011001011 +101110111001 +101110101000 +101110011000 +101010011000 +101010101000 +101010101001 +101010101001 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +100110000111 +100110010111 +101010011000 +101010011000 +101010011000 +100110011000 +100110000111 +100110000111 +100110001000 +100110011000 +100110011000 +100110011000 +100110001000 +100110001000 +100010000111 +100001110110 +100001110111 +100010000111 +100010001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011101110111 +011101110111 +011101110111 +100001111000 +011110001000 +011101111000 +011101110111 +011101100111 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001100101 +011101100110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100110 +011101100110 +011101110110 +011101110111 +011101110111 +011101111000 +011001110111 +011001100111 +010101100111 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +100010001000 +101010101001 +101110111011 +110011001100 +110111011101 +110111011101 +110111011101 +110111011101 +110011001100 +110011001011 +101110111011 +101110111010 +101010101001 +100110011000 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100110001000 +101010011001 +101010101010 +101110111011 +110011001100 +110011001100 +110111011101 +110111011101 +110111011110 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +100010011000 +100010000111 +100010000111 +100110011000 +100110011001 +100110011000 +011101110110 +011001110110 +011101110110 +100010010111 +100010010111 +011101110110 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +010000110100 +001100110100 +001100110011 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100110011 +001100110100 +001101000100 +010000110100 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +010101000100 +010101000011 +010101010100 +010101010100 +010101000100 +010101010100 +100001110101 +101010010111 +101110111010 +110111011100 +111011101110 +111011101111 +111011101110 +110111101110 +110111011110 +110111011101 +110011001100 +101110111100 +101110111011 +101111001100 +101110111011 +101010101010 +100010000111 +011101100110 +011001100101 +011001100101 +011001010100 +010001000011 +010001000011 +010101000100 +011001010100 +011001010100 +011001000100 +011001000100 +011001000100 +011001000100 +010101000100 +011001000011 +010101000100 +010101010100 +010101000100 +010001000100 +010101000100 +010101000100 +010101010100 +011001010100 +010101010100 +010101010100 +010101010100 +011001010101 +011001100101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011001100110 +011001100101 +011001010100 +010101010100 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001100100 +011101100101 +011101100100 +011101100100 +011101100100 +100001100101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100010000110 +100010000110 +100110000110 +100110000110 +100110000111 +100110000111 +100110010111 +100110010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110101000 +101110101000 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110110111001 +110110111001 +110111001001 +110111001001 +110111001010 +111011011011 +111011011100 +111011011100 +111011011011 +111011011011 +111011011010 +111011011010 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +110111001011 +110111001011 +110111001011 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110010111010 +110010111010 +110010111010 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +101110101000 +101110101000 +101110101000 +101110101000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +100110000111 +100110000111 +100110000111 +100110011000 +101010011000 +100110011000 +100110000111 +100110000110 +100010000110 +100110000111 +100110001000 +100110001000 +100110001000 +100110001000 +100010000111 +100001110110 +100001110110 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +011110001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100111 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100110 +011101100110 +011101100111 +011101100111 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100110 +011001100111 +011001111000 +011001111000 +011001110111 +010101100111 +010101010110 +010101010101 +010101010101 +010101010101 +010001010101 +010101010101 +010101010110 +011001100110 +011110000111 +100010011000 +100110011001 +101010101010 +101110111010 +101110111010 +101110111010 +101110101001 +101010101001 +100110011000 +100110000111 +100010000111 +011101110101 +011001100101 +011001100100 +011001100100 +011001100100 +011101100101 +100001110110 +100010000111 +100110011000 +100110011000 +100110011001 +101010101010 +101010111011 +101110111100 +110011001100 +110011001100 +110011011101 +110111011101 +110111011110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +101110111010 +101110111010 +101010111010 +101110111010 +110011001011 +101110111010 +100110101001 +100010000111 +100010000111 +101010111010 +101110111010 +101110101001 +100010000110 +010001000011 +001100100010 +001100100010 +001100100010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100100010 +001100100010 +001100110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010001000011 +010101010100 +011101100101 +100110000110 +101010101000 +110111011100 +111011101110 +111011101110 +111011101111 +111011101110 +110011011101 +101110111011 +101110111011 +110011001100 +110111011101 +110011001100 +101110111011 +100110011001 +011101100110 +011001010101 +010101010100 +010101000100 +010001000011 +010101000011 +011001010100 +011101010101 +011101010100 +011001000100 +011001000011 +011001000100 +011001000100 +011001000011 +011001000011 +011001000011 +010101000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001010101 +011001100100 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101010011 +010101010011 +011001010100 +011001010100 +011101100101 +011101100101 +011101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100010000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110010111001 +110111001001 +110111001010 +111011011011 +111011011100 +111011011011 +110111011011 +110111001010 +110111001010 +110111001010 +111011011010 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011001010 +110111001010 +110111001001 +110111001001 +110111001001 +110111001001 +110110111001 +110110111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111000 +110010101000 +110010101000 +110010100111 +101110100111 +101110100111 +101110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110011000 +100110011000 +100110000111 +100110000111 +100001110110 +100001110110 +100001110110 +100110000111 +100110000111 +100110000111 +100110000111 +100010000110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100001111000 +100001111000 +011101110111 +011101110111 +011101111000 +100001111000 +100001111000 +011101111000 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001100110 +011001100110 +011101100111 +011101100111 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001111000 +011001111000 +011001110111 +011001100111 +010101100110 +010101100110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010110 +010101010110 +010101100110 +011001100111 +011101110111 +011101110111 +100010001000 +100110001000 +100110001000 +100010000111 +100010000111 +100001110110 +011101110110 +011101100101 +011001010100 +010101010100 +010101000011 +010101010011 +011001010100 +011101100101 +100010000110 +100110011000 +101010011000 +100110011000 +100010000111 +011101110110 +011110000111 +100010001000 +100110011001 +100110101010 +101010101010 +101110111011 +110011001100 +110111011101 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011001101 +110011001100 +110011001100 +110011001011 +110011001100 +110111011100 +110111011100 +101110111010 +100110011000 +100010011000 +101110111011 +110111011100 +110111001011 +101010101001 +011001100101 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100100010 +001100100010 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100100010 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010001000011 +010000110011 +010001000011 +011001010100 +100001110101 +101010101000 +110011001011 +110111011101 +111011101110 +111011101110 +110011001100 +101010101010 +100110011001 +101110111010 +110011001100 +101110111100 +101010101010 +100110001000 +011001100101 +010101000100 +010101000100 +010101000100 +010101000100 +011001010100 +011001010100 +011101010101 +011101010100 +011001000100 +011001000011 +011001000100 +011001000011 +011001000011 +011001000011 +011001000011 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001100100 +011001100100 +011001100100 +011001100101 +011001100101 +011001100101 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110110 +100010000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110010111 +100110010111 +101010010111 +100110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +101110101000 +110010101000 +110010101000 +110010100111 +110010101000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110111001001 +110111001010 +111011011011 +111011011011 +111011011011 +110111001010 +110111001010 +110111001001 +110111001001 +110111001010 +111011001010 +111011011010 +111011011010 +111011011011 +111011011011 +111011011010 +111011011010 +111011011010 +111011001010 +110111001010 +110111001010 +110111001010 +110111001010 +110110111001 +110110111001 +110110111001 +110110111001 +110010111001 +110010111001 +110010111001 +110010111000 +110010101000 +110010111000 +101110101000 +101110100111 +101110100111 +101110010110 +101110010111 +101110010111 +101110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000110 +100001110110 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100010000110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101010100 +010101010101 +011001010101 +011001100110 +011001100111 +011101100111 +011101100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001100111 +011001111000 +011001111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100110 +011001100110 +011101100110 +011101100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +010101010100 +010101000100 +010001000011 +010001000011 +010101010100 +011001100101 +100110011000 +101110111010 +101110111010 +101010101001 +011110000110 +011001100101 +010101010101 +010101100101 +011001100110 +011001110110 +011110000111 +100010011000 +101010101010 +110011001100 +110111011110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011001101 +110011001100 +110011001100 +110011001100 +101110111011 +101110111011 +101110111011 +101110111011 +110011001011 +110011001011 +110111001100 +101110111011 +100110011000 +100010001000 +101110111010 +110111001100 +110111001100 +101110101010 +011101110111 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100100010 +001100100010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010100110011 +010101000011 +010101000011 +011001000100 +010101000100 +010001000011 +001100110011 +010000110011 +010001000011 +010101010011 +011001100101 +100010000111 +101010101000 +110011001011 +110111001100 +101110111011 +100110001000 +011101110110 +100110001000 +100110011001 +100110011001 +100110001000 +011101100111 +011001010100 +010101000100 +010101000100 +011001010100 +010101000100 +011001000100 +011001010100 +011001010100 +011001010100 +011001000100 +011001000011 +011001000100 +011001000011 +011001000011 +011001000011 +011001000011 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +011001100101 +011101100101 +011001010100 +011001010011 +011001100100 +011001100101 +011001100101 +011001010101 +010101010100 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100110 +011101100110 +011101100101 +011001010101 +011101100101 +011101100101 +011101100110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110010111 +100110010111 +100110010111 +100110010111 +100110010110 +100110010110 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010101000 +110010101000 +110010100111 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111000 +110010111001 +110111001001 +110111001011 +111011011011 +111011011011 +110111001010 +110111001010 +110111001001 +110111001001 +110111001001 +110111001001 +110111001010 +110111001010 +111011001010 +111011001010 +111011011011 +111011011011 +111011011011 +111011011011 +111011001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111000 +110010101000 +101110100111 +101110010111 +101110010110 +101110010111 +101110100111 +101110101000 +101110100111 +101010010111 +101010010111 +101010010111 +101010010111 +101010000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000110 +100110000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +100110000110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110101 +011101110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001111000 +100001111000 +100001110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000100 +010101010101 +011001100110 +011001100110 +011101100111 +011001100111 +011001100111 +011001100110 +011001100111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001100111 +011001110111 +011001110111 +011001110111 +011001100111 +010101100111 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101010110 +010101010101 +011001010101 +011001010101 +011001010101 +010101010101 +010101010100 +010001000100 +010001000011 +010001000011 +010101010100 +011101110110 +100110011001 +101111001011 +110011001100 +101110111010 +100010000111 +010101010100 +010001000011 +001101000011 +010001010100 +010101010101 +010101100101 +011001110110 +100010011000 +101110111011 +110011011101 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111011110 +110111011101 +110011001100 +110011001100 +101111001100 +110011001100 +110111011101 +110111011101 +110111011110 +110111011110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110011011101 +110011001100 +101111001100 +101110111011 +101110111011 +101010101011 +101010101010 +100110011001 +100010011000 +100110011001 +100110011001 +100110011001 +101010101001 +101010101010 +101010101001 +100010001000 +011101110111 +100110011000 +101110111010 +101110111010 +100110011001 +011101100110 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100100010 +001100100010 +010000110010 +010000110010 +010000110011 +010100110011 +010000110010 +010000110010 +001100100010 +010000100010 +010000110011 +010000110011 +010000110011 +010101000011 +010101000011 +010000110011 +010000110011 +010000110011 +010100110011 +010101000011 +011001000011 +010101000100 +010001000011 +010001000011 +010001000011 +010101010100 +010101000100 +010101000011 +010101000011 +011001100100 +100010000111 +101010101001 +101010011001 +100001110111 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001000100 +010101000100 +010101000011 +011001000011 +011001010100 +011001010100 +011001000011 +011001000011 +011001000100 +011001000011 +011001000011 +011001000011 +011001000011 +011001000100 +011001000011 +011001000011 +011001000011 +011001000100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001000100 +010101010100 +011001010101 +011001010101 +011001010100 +011001010011 +011001010100 +011001010101 +011001010101 +011001010101 +010101010100 +010101010100 +010101000011 +011001010100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100110 +100001110111 +100001110111 +011101110110 +011101100110 +100001110110 +100001110111 +100001110111 +100010000111 +100010000111 +100001110111 +100001110110 +100001110101 +100001110110 +100101110110 +100101110110 +100001110101 +100001100101 +100001110101 +100101110101 +100101110101 +100101110110 +100101110110 +100101110110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110010111 +100110010111 +100110000110 +100110010110 +101010010110 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110010111 +101110010111 +101110100111 +101110101000 +110010101000 +110010101000 +101110100111 +101110100111 +110010100111 +110010101000 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111001 +110111001010 +110111001011 +110111011011 +110111001011 +110111001010 +110111001001 +110111001001 +110111001001 +110111001001 +110111001001 +110111001001 +110111001001 +111011001010 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110010111001 +110010111010 +110010111010 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +101110010111 +101110010110 +101010010111 +101110010111 +101110101000 +101110101000 +101010101000 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000110 +100110000110 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101110101 +011101110101 +100001110101 +100001110101 +100001110101 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110110 +100001110111 +100001110111 +100010001000 +100010001000 +100010001000 +100001111000 +100001111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001100101 +011001010101 +011001010101 +011001010100 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101010101 +011001100110 +011001100110 +011001100111 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011001100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100111 +011001100111 +010101100110 +010101100110 +010101010110 +010101010110 +010101100111 +010101100111 +010101100111 +011001100111 +010101100111 +010101100111 +010101100110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010001000100 +010001000011 +010001000100 +010101010101 +011101110110 +100110101001 +110011001011 +110011001100 +101110111010 +100010000111 +010101100100 +010001000011 +001101000011 +010001000011 +010101010100 +011001100101 +011001100110 +011110000111 +100110101001 +101111001011 +110111011101 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111011101 +110011001100 +101110111011 +101010101010 +100110011001 +100110011010 +101010101011 +101110111011 +110011001100 +110011001101 +110011011101 +110111011101 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011110 +110111011110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011001101 +110011001100 +101110111011 +101110111011 +101010101010 +100110011001 +100010011000 +100010001000 +100010001000 +011101110111 +010101010101 +010101010101 +010101100101 +010101100101 +010101100101 +011001110110 +011001110111 +010101100110 +010101100110 +011001110111 +011110001000 +011110001000 +011101110111 +010101010101 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +010000110011 +010001000011 +010101000100 +010101000100 +010101000100 +010000110011 +001100110010 +001100100010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010100110011 +010000110011 +010100110011 +010101000011 +010101000011 +010101000011 +011001000100 +010101000011 +010001000011 +010101000100 +010101010100 +010101010100 +010001000011 +010001000011 +010001000011 +010101010100 +011101100101 +011101100110 +011101010101 +011001000100 +011001000100 +011001000011 +011001000011 +011001000011 +011001000011 +010101000011 +010101000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +010101000011 +010101000011 +010101000011 +011001000011 +011001000011 +011001000100 +011001000011 +011001000011 +010101000011 +010101000100 +011001000100 +011001010100 +011001010100 +011001010100 +011001010100 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001010100 +011001010101 +011001010100 +010101010100 +010101010100 +010101000011 +011001010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110101 +100001110101 +100001110110 +100101110110 +100101110110 +100001110101 +100001100101 +100001110101 +100101110101 +100101110101 +100101110110 +100110000110 +100110000110 +100110000111 +100110000111 +101010010111 +101010010111 +101010010111 +101010000111 +100110000111 +100110000110 +100110000110 +100110000110 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +110010100111 +110010101000 +110010100111 +101110100111 +101110100111 +110010100111 +110010100111 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111001 +110111001010 +110111001011 +110111001011 +110111001010 +110010111001 +110010111001 +110110111001 +110110111001 +110111001001 +110111001001 +110111001001 +110111001001 +110111001010 +111011011011 +111011011100 +111011011100 +111011011011 +110111001011 +110111001010 +110111001001 +110111001001 +110111001001 +110111001010 +110110111010 +110110111010 +110011001010 +110111001010 +110011001010 +110010111001 +110010111001 +110010111001 +110010111000 +101110111000 +101110111001 +110010101001 +101110101000 +101010010110 +101010010110 +101010010111 +101010011000 +101110101000 +101110101000 +101010101000 +101010011000 +101010011000 +101010010111 +101010010111 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +011101111000 +011101110111 +011101100110 +011001100101 +011001010101 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101010101 +010101010101 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001010101 +010101010101 +010101010101 +010001010101 +010001010101 +010001010101 +010101010101 +010101010101 +010101010101 +010001010101 +010001010101 +010001010101 +010101010110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101010110 +010101010101 +010001010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010101010101 +011101110111 +100110011001 +101010101010 +101110111010 +100110011001 +011101110110 +010101010100 +010001000011 +001101000011 +010001000100 +010101010100 +011001100101 +011001110110 +011101110111 +100010011000 +101010101010 +110011001100 +110111011110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111011101 +110011001101 +101110111011 +101010101010 +100010011000 +011110000111 +011001110111 +011101110111 +011110001000 +100110011001 +100110101010 +101010111011 +101010111011 +101111001100 +110011001100 +110011001101 +110111011101 +110011001101 +110011001100 +110011001100 +110011001100 +110011001100 +110011001101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011001101 +110011001100 +110011001100 +110011001100 +101110111011 +101010101010 +100110011001 +100010011000 +100010000111 +011101110111 +011101110110 +011001100110 +011001100110 +010101010101 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000101 +010001010110 +010001010110 +010101100110 +010101100111 +010101100110 +010101010110 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010000110011 +010000110011 +001100100010 +001100110011 +010001000100 +010101010101 +010101010101 +010101010101 +010001000100 +010000110011 +001100110010 +010000110010 +010000110011 +010101000011 +010000110011 +010000110011 +010000110010 +010000110011 +010101000100 +010101010101 +010101010110 +011001010110 +010101010101 +010101000100 +010000110011 +010000110011 +010101000100 +010101010100 +010101010100 +010101010100 +011001010100 +010101000100 +010001000011 +010001000011 +010101000100 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010101000100 +011001010100 +011001010100 +011001000100 +011001000011 +011001000011 +011001000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001000011 +011001010100 +011001010100 +011001000100 +010101000100 +010101000011 +010101000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +010100110011 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +011001000100 +011001000011 +011001000011 +011001000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010011 +010101000011 +011001010100 +011001100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001100101 +100001110101 +100001110101 +100101110101 +100101110110 +100101110110 +100110000110 +100110000110 +100110000111 +100110000111 +101010000111 +101010010111 +101010000111 +101010000111 +101010000111 +100110000110 +100110000110 +101010000110 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110011000 +101110011000 +101110011000 +101110101000 +101110101000 +101110100111 +110010100111 +110010101000 +110010100111 +110010100111 +101110100111 +101110100111 +110010100111 +110010100111 +110010101000 +110010101000 +110010111000 +110010111001 +110010111001 +110111001010 +110111001011 +110111001010 +110110111001 +110010111000 +110010111000 +110010111000 +110110111001 +110110111001 +110111001001 +110111001001 +110111001001 +110111001010 +111011011011 +111011011100 +111011011100 +111011011011 +110111001010 +110111001001 +110111001001 +110111001001 +110010111010 +110010111001 +110010111001 +110010111000 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +101110101000 +101110101000 +101110101000 +101110101001 +101110101001 +101110101000 +101010010110 +101010010110 +101010000110 +101010010110 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +100001110101 +011101110101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101111000 +100001111000 +100001111000 +011101111000 +011101111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101100110 +011001100101 +011001010101 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010101010100 +010101010101 +010101010101 +010101010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001010101 +010101010110 +010101010110 +010101100110 +010101100111 +010101100111 +010101100110 +010101010110 +010001010101 +010001000100 +001101000011 +001101000011 +010001000011 +010001000100 +010001000100 +010001010100 +010001010100 +010101010101 +011001100110 +011110000111 +100010001000 +100010001000 +011110000111 +011001100101 +010101010100 +001101000011 +001101000011 +001101000011 +010001010100 +010101100101 +011001110110 +011101110110 +100010000111 +100110011001 +101110111011 +110011011101 +110111011110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011101 +110111011101 +110011001100 +101111001100 +101010101010 +100110011001 +011110000111 +011001100110 +010101100110 +010001010101 +010001010110 +010101100111 +011001111000 +011110001000 +011110001001 +100010011001 +100110011010 +100110101010 +101010101011 +101110111011 +101010101011 +101010101010 +100110011001 +100110011001 +101010101010 +101010101011 +101110111011 +110011001100 +110011001100 +101110111100 +101110111011 +101110111011 +101010101011 +101010101010 +101010101010 +100110101001 +100110011000 +100010001000 +011101110111 +011001110110 +011001100110 +011001100101 +010101010101 +010101010100 +010101010100 +010001000100 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001101000101 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010110 +010001010110 +010101010110 +010001010101 +010001000101 +010001000100 +010000110011 +001100100010 +001100110011 +010001000101 +010101010110 +010101100111 +010101100111 +010101010110 +010001000100 +010000110011 +010000110011 +010001000100 +010101000100 +010101000100 +010000110011 +010000110011 +010001000100 +010101010110 +011001101000 +011001111000 +011001111000 +011001100111 +010101010110 +010001000100 +010001000100 +010101010101 +010101100110 +011001100110 +011001100110 +011001100110 +010101000100 +010000110011 +001100110011 +010000110011 +010000110011 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010101000011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +011001010100 +011001010101 +011001010101 +011001010100 +010101000100 +010101000011 +011001000011 +011001000100 +011001010100 +011001000011 +011001000011 +010101000011 +010101000011 +011001010100 +011001100101 +011001100101 +011001010101 +011001010100 +011001000011 +011001000011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +011001010100 +011001100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100101110110 +100101110110 +100101110110 +100110000110 +100110000110 +100110000110 +100110000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000111 +101010000111 +101010010111 +101010011000 +101010011000 +101010010111 +101010010111 +101010011000 +101110011000 +101110011000 +101110010111 +101110011000 +101110011000 +101110011000 +101110011000 +101110011000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +101110100111 +101110100111 +101110100111 +101110100111 +110010100111 +110010101000 +110010101000 +110010111001 +110010111001 +110111001010 +110111001011 +110111001011 +110111001010 +110010111001 +110010111000 +110010111000 +110010111000 +110110111000 +110110111001 +110110111001 +110110111001 +110110111001 +110111001010 +110111001011 +110111011011 +110111011011 +110111001010 +110111001001 +110111001001 +110111001001 +110111001010 +110110111010 +110010111001 +110010101000 +110010100111 +110010100111 +110010111000 +110010111000 +110010101000 +101110100111 +101110010111 +101110010111 +101110010111 +101110101000 +101110101000 +101110100111 +101010010111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001100101 +011001010101 +011001010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000100 +010001000100 +010001010101 +010101010101 +010101100110 +010101100110 +010101100110 +010001010101 +010001000100 +001101000011 +001100110011 +001101000011 +001101000011 +010001000100 +010001010100 +010001010100 +010001010100 +010001010100 +010101010101 +011001100110 +011001100110 +011001100110 +010101010101 +010101010100 +010001000011 +001100110011 +001100110011 +001101000011 +001101000011 +010101010101 +011001100110 +011101110110 +011101110111 +100010001000 +101010101010 +101110111011 +110011001100 +110011001100 +110011001100 +110011001101 +110011001101 +110011001101 +110011011101 +110011011101 +110111011101 +110111011101 +110111011101 +110011001100 +101111001100 +101110111011 +101010101010 +100110011001 +100010001000 +011001110111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000101 +010001010110 +010101100111 +010101100111 +010101100111 +011001110111 +011001110111 +011101111000 +011110001000 +100010001001 +011110001000 +011101110111 +011001110111 +011001110111 +011101111000 +100010001000 +100010011001 +100110011001 +100110011001 +100110011001 +100110011000 +100010001000 +100010001000 +100010001000 +011110001000 +011101110111 +011001100110 +010101100110 +010101010101 +010101010101 +010101010101 +010101010100 +010101000100 +010101000100 +010001000011 +010001000011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001101000101 +010001010110 +010101010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010110 +010101010110 +010001010110 +010001000101 +010001000100 +010001000100 +001100110011 +001101000100 +010001010101 +010101100111 +010101100111 +010101100111 +010101100111 +010001010101 +010000110011 +010000110011 +010101000100 +010101000101 +010101000100 +010100110011 +010000110011 +010001000100 +010101100110 +011001111000 +011001111001 +011001111001 +011001111000 +010101010111 +010001000101 +010101000100 +010101100110 +011001111000 +011001111000 +011001111000 +011001100111 +010101010101 +010000110011 +001100110010 +001100110011 +001100110011 +010001000100 +010001000101 +010001000100 +001100110011 +010000110011 +010101000100 +011001010101 +011001010100 +011001010100 +011001010101 +011001100110 +010101100110 +010101010101 +010101010100 +010101000011 +010001000011 +010101010100 +010101100110 +011001100110 +011001100110 +011001100110 +010101010100 +010101000011 +011001000011 +011001010100 +011001010101 +011001010100 +011001000011 +010101000011 +011001010101 +011001100110 +011101110111 +011101110111 +011101110111 +011001100110 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010100 +010101010100 +010101000011 +010001000011 +010101000011 +010101010100 +011001010101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001100101 +100001110101 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100010000110 +100110000110 +100110000110 +100110000111 +101010000111 +101010000111 +100110000111 +100110000111 +101010000110 +101010000110 +101010000111 +101010000111 +101010010111 +101010011000 +101010011000 +101010010111 +101010010111 +101010010111 +101110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +101110101000 +101110101000 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +110010101000 +110010101000 +110010111001 +110010111001 +110111001010 +110111001011 +110111001011 +110011001010 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110110111001 +110110111001 +110110111001 +110111001010 +110111001010 +110111001011 +110111001010 +110111001001 +110111001001 +110110111001 +110110111001 +110110111010 +110010111001 +110010111000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010110 +101010010110 +101010010111 +101110010111 +101010010111 +101010010111 +101010010111 +101010010110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +100001110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100101 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000100 +010001000101 +010001010101 +010101010110 +010001010101 +010001000101 +010001000100 +001101000011 +001101000011 +001101000011 +010001000100 +010001000100 +010001010100 +010001010100 +010001000100 +010001000100 +010001000100 +010101010101 +010101010101 +010001010100 +010001000100 +010001000011 +001101000011 +001100110011 +001100110011 +001100110011 +001101000011 +010001000100 +010101010101 +011001100110 +011001100110 +011101110111 +100010001000 +100110011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101011 +101010101011 +101010101010 +101010101010 +101010101001 +100110011000 +100010000111 +011101110111 +011001110111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +010001010110 +010001010110 +010001100111 +010101100111 +010001100110 +010101100110 +010101100110 +010101100111 +011001100111 +010101100110 +010101010110 +010001010101 +010001010101 +010101010110 +010101100110 +011001100111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101100110 +010101010101 +010101010101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010101000100 +010101000011 +010001000011 +010000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010101010101 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001000101 +010001010101 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010101 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110010 +010000110011 +010101010110 +010101111000 +011001111000 +011001111001 +011001101000 +010101100111 +010001010110 +010101010110 +011001100111 +011001111000 +011001111000 +011001111001 +011001101000 +010101010110 +010001000100 +001100110011 +001100110011 +010001000100 +010101010101 +010101010101 +010101000101 +010001000100 +010001000100 +010101010101 +011001010101 +011001010101 +011001010101 +011001100110 +011001100111 +010101100111 +010101100110 +011001010101 +010101010100 +010101000100 +010101010101 +010101100111 +011001110111 +011001110111 +011001100111 +010101010101 +010101000011 +011001000100 +011001010101 +011001100110 +011001010101 +011001000011 +010101000011 +011001100101 +011001111000 +011110001000 +011110001000 +011001111000 +011001100111 +011001010101 +011001010100 +011001010101 +011001100101 +011001100110 +011001100110 +011001010101 +011001010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101010100 +011101100100 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100101 +011101100101 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100010000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +101010000111 +101010000111 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010111001 +110010111001 +110111001010 +110111001011 +110111001010 +110010111001 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110110111001 +110110111001 +110110111001 +110111001010 +110111001010 +110111001001 +110110111001 +110110111001 +110110111000 +110110111001 +110010111001 +110010111000 +110010101000 +110010100111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010111 +101110010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010000110 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001100101 +011001010101 +011001010101 +011001010101 +011101010101 +011101010101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010101000100 +010101010100 +010101000100 +010001000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +001101000011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +001101000011 +001101000011 +010001000100 +010001000100 +010001010100 +010001010100 +010001000100 +010001000100 +001101000011 +001101000011 +010001000100 +010001000100 +010001000100 +010001000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +010001010101 +010101010101 +011001100110 +011001110111 +011101110111 +011101111000 +011101111000 +011101111000 +011001110111 +011001110111 +011101110111 +011101111000 +011110001000 +011110001000 +011110001000 +011101110111 +011001110111 +011001100110 +011001100110 +011001100110 +010101100110 +010101010110 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +001101010101 +010001010110 +010001010110 +010101010111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010101010101 +010101010100 +010101010101 +010101010101 +010101000101 +010001000101 +010001000100 +010001000100 +001101000100 +010001000100 +010001000100 +010001000100 +010101000100 +010001000100 +010001000011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110011 +010000110100 +010000110100 +010000110100 +010000110100 +010000110011 +010000110011 +010000110100 +010001000101 +010001010110 +010001010110 +010001010101 +010001010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010001010101 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +010000110011 +010000110010 +010000110011 +010001010101 +010101100111 +011001101000 +011001101000 +010101100111 +010101100111 +010101100110 +010101010110 +010101100111 +011001110111 +011001111000 +011001101000 +011001100111 +010101010110 +010001000100 +010000110011 +010000110100 +010001000100 +010101000101 +010101000101 +010101000100 +010001000100 +010001000100 +010101010101 +011001010101 +011001010101 +011001010101 +011001100110 +011001100111 +011001110111 +011001100111 +011001100110 +011001010110 +010101010110 +010101100110 +011001110111 +011001111000 +011001111000 +011001110111 +011001010110 +010101000100 +011001000100 +011001010101 +011101100101 +011001010101 +011001000011 +011001000011 +011001010101 +011001110111 +011110001000 +011110001000 +011101111000 +011001110111 +011001100110 +011001100101 +011001100110 +011001110111 +011001110111 +011001110111 +011001100110 +011001010100 +010101000011 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100110000110 +100110000110 +100001110110 +100001110110 +100010000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010010110 +101010010110 +101110010110 +101110010110 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110100111 +110010101000 +110010111001 +110110111010 +110111001010 +110111001011 +110010111010 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111000 +110010111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111000 +110010101000 +110010101000 +110010101000 +110010101000 +110010100111 +110010100111 +101110100111 +101110010111 +101110010110 +101110010110 +101010010110 +101010010110 +101010010110 +101010000110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +101010010111 +101010011000 +100110010111 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101010100 +011001010101 +011001010101 +010101010101 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000100 +010001000100 +010001010100 +010001000100 +010001000100 +010001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001101000011 +001101000011 +010001000011 +001101000011 +001100110011 +001100110011 +001100110011 +001101000011 +010001000100 +010001010101 +010101010110 +010101100110 +011001100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101010110 +010101010101 +011001010110 +011001100110 +011001100110 +010101010110 +010001010101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +010001010110 +010001010110 +010101010111 +010101010110 +010101010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +010001000100 +010001000100 +010101000100 +010101000100 +010101000101 +010001000101 +010001000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000100 +010001000011 +010000110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110011 +010000110100 +010000110100 +010000110011 +010000110011 +010000110011 +010000110100 +010001000101 +010001010110 +010001010110 +010101010110 +010101010110 +010101010101 +010001010101 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101010110 +010001000101 +010000110011 +010000110010 +010000110011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010001000101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010110 +010101010110 +010101100111 +010101100111 +010101100111 +010101010110 +010101010101 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +010101000100 +010101000100 +011001010101 +011001100111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001111000 +011001111000 +011001110111 +011001100110 +010101000100 +011001000011 +011001010100 +011001010101 +011001010100 +011001000011 +011001000011 +011001010101 +011001110111 +011110001000 +011110001000 +011101111000 +011001110111 +011001110111 +011101100111 +011101110111 +011101110111 +011101111000 +011101110111 +011101100110 +011001010100 +010101000011 +010101000011 +010101000100 +010101010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +011101010100 +011101010100 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100101 +011101110110 +011101110111 +011101111000 +100010001000 +100010001000 +100001110111 +100001110111 +100001110110 +100001110110 +100010000110 +100110000110 +100001110110 +100001110110 +100010000111 +100110001000 +100110001000 +100110001000 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010010110 +101010010110 +101010010110 +101110010111 +101110010111 +101110010111 +101010010111 +101110010111 +101110100111 +101110100111 +101110010111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010111001 +110011001010 +110111001010 +110111001010 +110010111001 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111000 +110010111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111001 +110010111000 +110010101000 +110010101000 +110010101000 +110010101000 +110010100111 +101110100111 +101110100111 +101110010110 +101110010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010000110 +101010010110 +101010000110 +101010000110 +101010010110 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +101010011000 +101010101001 +101010011001 +101010011000 +100110000111 +100110000110 +100110000101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000100 +011001010101 +011001100110 +011001100110 +011001100110 +011001010101 +010101010101 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +010001000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +010001010101 +010001010101 +010101010110 +010101010110 +010101100110 +010101100111 +010101100111 +010101100111 +010001010111 +010101010111 +010001010111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101010110 +011001100110 +011001100111 +011001100111 +010101100110 +010001010101 +001101000100 +001000110100 +001000110100 +001101000100 +001101000101 +010001010110 +010001010110 +010101010110 +010101010110 +010101010110 +010101010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001101000100 +001101000100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110011 +010001000100 +010101000101 +010101010101 +010101000101 +010001000100 +010001000100 +010101000100 +010101010101 +010101010110 +010101010110 +010101010110 +010101010101 +010101000100 +010000110100 +001101000100 +010001010110 +010001010110 +010101010110 +010001010110 +010001010110 +010001000101 +010000110011 +010000110010 +010000110011 +010101000100 +010101000100 +010100110011 +010000110010 +010000110011 +010001010101 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000101 +010001000101 +010001000101 +010001010110 +010101100110 +010101010110 +010101010101 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010101000011 +010001000011 +010001000011 +010100110011 +010101000100 +010101010101 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +010101100110 +011001100111 +011001110111 +011101111000 +011001111000 +011001110111 +011001100110 +010101000100 +010101000011 +011001000011 +011001010100 +011001010100 +011001000011 +011001000011 +011001010101 +011001100111 +011101111000 +011101111000 +011001111000 +011001111000 +011001110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101100101 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100001110110 +100010000111 +100110001000 +100110011000 +100110001000 +100110001000 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000111 +101010010111 +101010010110 +101010010111 +101010011000 +101110101000 +101010101000 +101010101000 +101010101000 +101010100111 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110101000 +110010111001 +110011001010 +110111001011 +110111001011 +110011001010 +110010111001 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111001 +110010111001 +110010111001 +110110111001 +110110111001 +110110111001 +110110111001 +110010111000 +110010101000 +110010101000 +110010101000 +110010101000 +101110100111 +101110010111 +101110010111 +101110010111 +101110010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010000110 +101010000110 +101010000110 +101010000101 +101010000110 +101010010110 +101010010111 +101010000110 +100110000110 +100110000110 +101010010111 +101010011001 +101010101001 +101010101001 +101010011000 +100110000110 +100110000110 +100010000101 +100001110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010100 +011001100110 +011001100110 +011001010101 +011001010101 +010101010101 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +001101000100 +001101000011 +001100110011 +001100110011 +001100110011 +001101000100 +010001000100 +010001000101 +010001000101 +010001010101 +010001010110 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100110 +011001100111 +011001100111 +011001100111 +010101100111 +010001010110 +001101000100 +001000110011 +001000110011 +001100110100 +001101000101 +010001010101 +010001010110 +010101010110 +010101010110 +010101010110 +010001010110 +010001010101 +010001000101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000100 +001101000100 +001100110011 +001101000011 +001101000011 +001101000011 +001101000100 +001100110100 +001100110100 +001100110100 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110011 +010101000101 +010101100110 +010101100111 +010101100110 +010101010110 +010101010110 +010101010101 +010101010110 +010101010110 +010101100110 +010101010110 +010101010101 +010001000100 +001100110011 +001100110011 +001101000101 +010001010110 +010101100110 +010101100110 +010101100110 +010001010101 +010001000100 +010000110011 +010101000011 +010101000100 +010101000101 +010101000100 +010000110011 +010000110011 +010101010101 +010101100111 +010101100111 +010101100111 +010101100111 +010001010101 +010001000100 +010000110011 +010000110011 +001100110011 +001101000101 +010001010110 +010101100110 +010101010101 +010101000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010101000100 +010101000100 +010101000100 +010101000100 +010100110011 +010101000100 +010101010101 +010101100111 +010101100111 +011001100111 +011001100110 +010101010101 +010101010101 +010101100110 +011001110111 +011001111000 +011001111000 +011001100111 +010101010110 +010101000100 +010101000011 +011001000100 +011001010100 +011001010100 +011001000011 +011001000011 +010101010100 +011001100110 +011001110111 +011001110111 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001110111 +011001100110 +011001010100 +010101000011 +010101000011 +010101000100 +010101000011 +011001000011 +011001000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101100101 +011101100110 +011101110110 +011101110111 +100001110111 +100001110111 +100001110111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100010000111 +100110001000 +100110011000 +100110001000 +100110001000 +100110001000 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100101110110 +100110000110 +100110000110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101010011000 +101110101000 +101110101001 +101110101000 +101110101000 +101010101000 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110101000 +110010111010 +110011001011 +110111001011 +110011001011 +110010111001 +101110111001 +101110101001 +101110101001 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111001 +110010111001 +110110111001 +110010111001 +110110111001 +110010111001 +110010111001 +110010101000 +110010101000 +110010101000 +110010100111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010110 +101010010110 +101010010110 +101010010110 +101010000110 +100110000110 +101010000110 +101010000110 +101010000101 +101010000101 +100110000101 +101010000110 +101010010111 +101010010111 +100110000110 +100110000110 +100110000110 +100110010111 +101010011000 +101010011000 +100110011000 +100110000110 +100110000110 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100100 +011101100101 +011101100100 +011101100100 +011101100100 +011101010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010101010100 +011001100110 +011001100110 +010101010100 +010101000100 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000100 +001101000011 +001101000011 +001100110011 +001100110011 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +010001010110 +001101000100 +001000110011 +001000110011 +001000110100 +001101000100 +010001010101 +010001010101 +010001010101 +010001010101 +010001000101 +001101000101 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010101 +001101000101 +001101000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +010000110011 +010001000101 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010101 +010101010110 +010101010110 +010101010110 +010101010110 +010101010110 +010001000101 +010001000100 +001101000100 +010001010101 +010001010110 +010101100111 +010101100111 +010101100111 +010101010110 +010001000100 +010000110011 +010101000100 +010101000101 +011001010101 +010101000100 +010000110011 +010000110011 +010001000101 +010101010111 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000100 +010000110011 +010001000100 +001101000101 +010001010110 +010101100110 +010101010110 +010101010101 +010101010101 +010101010101 +010001000101 +010001000100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010101000100 +010101010101 +011001100111 +011001100111 +011001100111 +011001100110 +011001010101 +010101010101 +010101010110 +011001110111 +011001111000 +011001111000 +011001100111 +010101010110 +010101000100 +010101000011 +011001010100 +011001010101 +011001010101 +011001000100 +011001000011 +010101010100 +010101100110 +011001100111 +011001100111 +010101100111 +010101010110 +011001010101 +011001010101 +011001010101 +011001100111 +011001100111 +011001110111 +011101100110 +011001010101 +011001000100 +010101010100 +011001010100 +011001010100 +011001000100 +011001010100 +011001010100 +011101010101 +011101010101 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +100001110110 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001110110 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100110000111 +100110000111 +100110000111 +100110000111 +100101110110 +100101110110 +100101110110 +100101110110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +101010000101 +101010000110 +101010000110 +101010010111 +101010010111 +101010010111 +101010010111 +101110011000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110101001 +110010111010 +110011001011 +110111001011 +110011001011 +110010111001 +101110111001 +101110101001 +101110101001 +110010101001 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +110010101000 +110010101000 +110010100111 +101110100111 +101110010111 +101010010111 +101010010111 +101010010111 +101110010110 +101010010110 +101010010110 +101010010110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +100110000101 +100110000101 +100110000110 +100110000110 +101010010111 +100110000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000111 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +100001110100 +100001110100 +100001110100 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101010101 +011001100110 +011001100110 +010101010100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +001101000101 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001101000100 +001000110011 +001000110011 +001000110100 +001101000100 +001101000101 +001101000101 +010001000100 +001101000100 +001101000100 +001100110100 +001000110011 +001000110100 +001101000100 +001101000101 +010001010101 +010001010101 +010001000101 +001101000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110100 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100011 +010001000100 +010101010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101000101 +010101000101 +010101010110 +010001010110 +010001010110 +010101010110 +010001010101 +010001010101 +010001010101 +010001010110 +010101100111 +010101100111 +011001100111 +011001100111 +010101010110 +010001000100 +010000110011 +010100110011 +010101000101 +010101000101 +010101000100 +010100110011 +010000110011 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010101100110 +010001010110 +010101010101 +010101010101 +010101010101 +010101010110 +010101100110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010110 +010001010101 +010001000101 +010101000101 +010101010101 +010101010101 +010101010110 +010101010110 +010101010101 +010101000100 +010101000100 +010101010101 +011001100111 +011001111000 +011001111000 +011001100111 +011001010110 +010101010101 +010101100110 +011001110111 +011001111000 +011001111000 +011001111000 +010101100110 +010101000100 +010101000011 +011001010100 +011001010101 +011001010101 +011001010100 +011001000011 +010101010100 +010101100110 +011001100111 +011001100111 +010101100111 +010101010110 +010101010101 +011001010101 +011001100110 +011001100111 +011001110111 +011001111000 +011101100111 +011001010101 +011001010100 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011101010101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011101010100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110110 +011101110110 +100001110110 +100001110111 +100010001000 +100010001000 +011110001000 +100010001000 +100001110111 +100001110110 +100001110110 +100101110110 +100101110110 +100101110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100101110101 +100101110101 +100101110101 +100101110110 +100110000110 +100110000101 +100101110101 +100101110101 +100110000101 +101010000110 +101010000110 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101110011000 +101110101000 +101110101000 +101110101000 +101110011000 +101110010111 +101110010111 +101110010111 +101110100111 +101110101000 +101110111001 +110011001010 +110111001011 +110111001011 +110011001010 +110010111001 +101110101001 +101110101001 +101110101001 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +110010101000 +110010100111 +101110010111 +101110010111 +101110010110 +101010010111 +101010010111 +101010010111 +101010010110 +101110010110 +101010010110 +101010000110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +100110010111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110101 +100001110101 +100001110100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101010100 +011001010110 +011001010101 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +001101000101 +001101000101 +001101000101 +001101000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110100 +001100110100 +001100110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101000100 +010001000101 +001101000101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110100 +010101000101 +010101000101 +010101000101 +010001000101 +010001000101 +010101000100 +010101000101 +010001010110 +010101010110 +010001010110 +010001010110 +010101010101 +010001010101 +010001010101 +010001010110 +010101100110 +010101100111 +010101100111 +010101100111 +010101010110 +010001000100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110010 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010110 +010101010110 +010101100110 +010101100110 +010101010110 +010101010101 +010101000100 +010101000100 +010101000101 +010001000101 +010001000100 +010001000100 +010101000100 +010101000101 +010101010101 +010101010101 +010101000101 +010001000011 +010101000100 +010101010101 +011001100111 +011001110111 +011001111000 +011001100111 +011001100110 +010101100110 +011001100111 +011001110111 +011001111000 +011001111000 +011001111000 +011001100111 +010101010101 +010101000011 +011001000100 +011001010101 +011001010101 +011001000100 +011001000011 +010101010100 +011001100110 +011001111000 +011001111000 +011001111000 +011001100111 +011001100111 +011001100110 +011001100111 +011001110111 +011001111000 +011001111000 +011101100111 +011001010101 +011001010101 +011001010110 +011001100110 +011001010101 +011001010100 +011101010100 +011101100101 +011001100110 +011001100110 +011001010101 +011001010101 +011001010101 +011101100101 +011101100100 +011101100101 +011101100110 +011101110110 +011101110111 +011101110110 +011101110110 +011101110110 +100001110111 +100010001000 +100010001000 +011110001000 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110110 +100001110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100101110110 +100101110110 +100101110110 +100101110110 +100101110101 +100101110101 +100101110101 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +101010010111 +101010010111 +101010010111 +101110010111 +101110011000 +101010011000 +101010011000 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +101110101000 +110010111001 +110011001010 +110111001011 +110011001011 +110010111010 +101110101001 +101110101001 +110010101001 +110010101001 +101110101000 +110010101000 +110010101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010101000 +110010101000 +110010101000 +101110010111 +101110010111 +101110010111 +101110010110 +101110010111 +101110010111 +101010010110 +101010000110 +101010010110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +100110010110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110101 +100001110101 +100001110101 +100001100100 +100001100100 +011101100100 +011101100101 +011101100101 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010101000100 +010101000100 +010001000011 +010000110011 +010000110011 +001100110011 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +001100110011 +001101000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110010 +001100110011 +001000110011 +001100110011 +001101000011 +001101000011 +001100110011 +001100110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000101 +001101000101 +001101000100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110100 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110100 +010001000100 +010001000101 +010001000100 +010000110100 +010000110100 +010001000100 +010101000101 +010001010101 +010101010110 +010101010110 +010101010110 +010101010101 +010001000101 +010001000101 +010001010101 +010101010110 +010101100111 +010101100111 +010101100110 +010101010110 +010001000100 +010000110011 +010000110010 +010101000011 +010101000100 +010101000011 +010000110011 +010000110010 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010101010110 +010001010110 +010001010101 +010101010101 +010101010110 +010101010110 +010101100110 +010101010110 +010101010101 +010101000100 +010101000100 +010001000101 +010001000100 +010001000100 +010101000100 +010001000100 +010001000100 +010001000101 +010101000101 +010001000100 +010000110011 +010101000011 +010101010101 +010101100110 +010101100111 +011001100111 +011001100111 +010101100110 +010101100110 +011001100110 +011001100111 +011001110111 +011001111000 +011001111000 +011001100111 +011001010101 +010101000011 +011001000100 +011001010101 +011001010100 +011001000011 +011001000011 +010101010100 +011001100110 +011001110111 +011001111000 +011001111000 +011001111000 +011001110111 +011001100111 +011001110111 +011001111000 +011101111000 +011101110111 +011101100110 +011001010101 +011001010100 +011001010101 +011001010101 +011001010101 +011101010100 +011001010100 +011101010101 +011101100101 +011001100110 +011001010101 +011001010101 +011001100101 +011101100101 +011101100100 +011101100101 +011101110110 +011101110110 +011101110111 +011101110110 +011101110110 +011101110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110110 +100001110111 +100001110111 +100010000111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100110000110 +100101110101 +100110000101 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +101010000110 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010011000 +101110010111 +101110010111 +101110010111 +101110010111 +101110101000 +110010101000 +110010111001 +110011001011 +110011001011 +110010111010 +110010101001 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +101110101000 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101010010110 +101010010110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +101010000101 +100110000101 +100110000101 +100110000101 +100110000101 +100110000110 +100110000111 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110010 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001000100011 +001000110011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001100110100 +001101000100 +001100110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110100 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010101000101 +010101010101 +010101010110 +010101100110 +010101010110 +010101010101 +010001000100 +010000110100 +001101000101 +010001010110 +010101100110 +010101100110 +010101100110 +010101010110 +010001000100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110010 +010001000100 +010101010110 +010101100111 +010101100111 +010001010110 +010001000101 +001101000100 +010000110100 +010001000100 +010001000100 +010001010101 +010001010101 +010101010110 +010101010101 +010101000100 +010001000100 +010001000101 +010001000101 +010001010101 +010101000101 +010101000100 +010101000100 +010001000101 +010101000100 +010001000100 +010000110011 +010001000011 +010101000100 +010101010110 +010101100110 +010101100110 +010101100110 +010101010101 +010101010101 +010101010110 +010101100110 +011001100111 +011001100111 +011001100111 +010101100110 +010101010101 +010101000011 +011001000100 +011001010100 +011001010100 +011001000011 +011001000011 +010101000100 +011001010110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100110 +011001100111 +011001100111 +011001100111 +011001100111 +011101100110 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011101010100 +011101010100 +011101010101 +011101100101 +011101100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110101 +100001110101 +100001110101 +100001110110 +100101110110 +100101110110 +100101110101 +100101110101 +100101110101 +100110000101 +100110000110 +100110000110 +100110000110 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010101000 +101010101000 +101010101000 +101110010111 +101110010111 +101110011000 +101110101000 +110010101001 +110010111010 +110011001010 +110011001010 +110010111010 +101110101001 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +101110101000 +101110100111 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +101110100111 +101110100111 +101110010111 +101110010111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010111 +101110010110 +101010010110 +101010000110 +101010000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100110000110 +100101110101 +100101110101 +100110000110 +100110000110 +100110000110 +100110000110 +100101110101 +100101110101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +011101110100 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +010001000011 +010001000011 +010001000011 +001101000011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001100110100 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001101000100 +001101000100 +001100110100 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110010 +001000100011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110100 +010001000101 +010101000101 +010001000101 +010001000101 +010001000101 +010001000101 +010101000101 +010101010110 +010101100110 +010101100110 +010101100110 +010101010110 +010101000101 +010001000100 +001101000101 +010001010110 +010101100110 +010101100110 +010101100110 +010101010110 +010001000101 +010000110011 +010000110011 +010101000100 +010101010101 +010101000100 +010101000011 +010000110010 +010001000100 +010101010110 +010101100111 +010101100111 +010001010110 +001101000101 +001100110011 +001100110011 +010000110011 +010000110011 +001101000100 +001101000101 +010001010110 +010101010110 +010101000101 +010001000101 +010001010101 +010001010110 +010101010110 +010101010110 +010101010101 +010101010101 +010001010101 +010001000101 +010001000101 +010000110100 +010000110011 +010101000100 +010101010110 +010101010110 +010101010110 +010101010110 +010101010100 +010101000100 +010101010101 +010101100110 +010101100111 +010101100111 +010101100111 +010101010110 +010101000100 +010101000011 +011001010100 +011001010101 +011001010101 +011001010100 +011001000011 +011001000100 +010101010101 +010101100110 +010101100110 +010101010110 +010101010110 +010101010101 +011001010101 +011001010101 +011001010110 +011001100110 +011001100110 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011101010100 +011101010100 +011101100101 +011101100101 +011101100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110100 +100101110100 +100101110101 +100110000110 +100110000110 +101010010111 +101010010111 +101010010111 +101010010111 +101010011000 +101010101000 +101110101001 +101110101001 +101010101000 +101110010111 +101110010111 +101110011000 +110010101000 +110010111001 +110010111010 +110011001010 +110010111010 +110010111010 +101110101001 +101110101001 +101110101000 +101110101001 +101110101000 +101110101000 +101110101000 +101110101000 +101110100111 +101110100111 +101110100111 +101110100111 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010110 +101110010110 +101010010110 +101010000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100101110101 +100110000110 +100110000110 +100110000110 +100110000110 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100100 +011101100100 +011101100100 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001101000100 +001101000100 +001100110100 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110010 +001000100011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110011 +010000110100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +010101000101 +010101010110 +010101100110 +010101100111 +011001100111 +010101100110 +010101010110 +010001010110 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010111 +010001000101 +010000110011 +010000110011 +010101000100 +010101010101 +010101000100 +010101000011 +010000110011 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000100 +010001000100 +010001000100 +001101000100 +001101000101 +010001010110 +010101010110 +010101000101 +010001000101 +010001010110 +010001010110 +010101010110 +010101010110 +010101010110 +010001000101 +010001010101 +010001000101 +001101000101 +010001000100 +010000110011 +010101000100 +010101010110 +010101100111 +010101100111 +010101010110 +011001010101 +010101010101 +010101010101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101000100 +010101000011 +011001010100 +011001010101 +011001010101 +011001010100 +011001000011 +011001000100 +010101010101 +010101010110 +010101100110 +010101100110 +010101010110 +010101010101 +011001010101 +011001010100 +011001010101 +010101010110 +010101100110 +011001100110 +011001010101 +011001010101 +011001010110 +011001100110 +011001100110 +011101100101 +011101010100 +011101100101 +011101100110 +011101100110 +011001100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +011101110111 +100001110110 +100001110111 +100010000111 +100010001000 +100010001000 +011101110111 +011101110111 +011101110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001100100 +100001100101 +100001100101 +100001110110 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100101110101 +100101110100 +100101110100 +100101110101 +100110000110 +100110000110 +100110010110 +101010010111 +101010010111 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010011000 +101110010111 +101110010111 +101110101000 +110010101000 +110010111001 +110010111010 +110010111010 +110010111010 +101110101001 +101110101001 +101110101000 +101110101000 +101110101001 +101110101001 +101110101000 +101110101000 +101110100111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +110010100111 +110010100111 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100101110101 +100110000110 +100110000110 +100110000110 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001100101 +011101100101 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110010 +001000110010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001101000100 +001100110100 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100011 +010000110100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +010101000100 +010101010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001000101 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110010 +010001000100 +010101010110 +010101100111 +011001111000 +011001100111 +010101100111 +010101100110 +010101010110 +010101010101 +010101010110 +010001010101 +010001010101 +010101010110 +010101010101 +010101000101 +010001000100 +010001000101 +010001010101 +010101010110 +010101000101 +010101000101 +010001000101 +010001000101 +010001000101 +010001000100 +010000110100 +010001000011 +010101000100 +010101010110 +010101100111 +011001100111 +011001100111 +011001100110 +011001100110 +010101100110 +011001100111 +011001111000 +011001111000 +011001111000 +011001100111 +010101010101 +010101000011 +011001010100 +011001010101 +011001010101 +011001010100 +011001000011 +010101000100 +010101010101 +011001100111 +011001110111 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001010110 +011001100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011001100110 +011001100111 +011101100110 +011101100110 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +011101110111 +100001110110 +100001110111 +100001110111 +100010000111 +100001110111 +011101110111 +011101110111 +011101110110 +100001110101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +011101100101 +100001110110 +100001110111 +100001110111 +100001110110 +100001110101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100101110100 +100101110100 +100101110100 +100101110101 +100110000110 +100110000110 +100110000110 +101010000110 +101010000110 +101010000110 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110101000 +110010101000 +110010111001 +110010111010 +110010111010 +101110101001 +101110101000 +101010101000 +101110100111 +101110101000 +101110101000 +101110101000 +101110101000 +101110011000 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +110010101000 +110010101000 +110010101000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110100111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100110000101 +100101110101 +100110000110 +100101110101 +100101110101 +100110000101 +100101110101 +100101110101 +100101110110 +100110000110 +100110000110 +100110000110 +100110000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100100 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000011 +010001000011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100011 +010000110100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +010101000100 +010101000101 +010001010110 +010001010110 +010001010110 +010101010110 +010101010110 +010101010110 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010001000101 +010000110011 +010000110010 +010100110011 +010101000100 +010101000100 +010101000011 +010000110010 +010000110011 +010001010101 +010101100111 +010101100111 +011001100111 +010101100111 +010101100111 +010101010110 +010101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010101010101 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010101000100 +010100110100 +010000110100 +010001000100 +010000110100 +010000110100 +010000110011 +010000110011 +010101000100 +010101010110 +010101100111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100111 +011001100111 +011001111000 +011001111000 +011001111000 +011001100111 +010101010101 +010101000011 +011001000100 +011001010100 +011001010101 +011001010100 +011001000011 +010101000011 +011001010101 +011001100111 +011001111000 +011101111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011101100110 +011101100110 +011001010101 +011001100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011001100101 +011001100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100010001000 +100001110111 +100001110111 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +100001110110 +100010000111 +100010000111 +100001110110 +011101110101 +011101100101 +011101100101 +011101110110 +100001110110 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100100 +100001100100 +100101110100 +100101110101 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110000111 +101010010111 +101010010111 +101010010111 +101110010111 +101110101000 +110010101001 +110010111001 +110010111001 +101110101001 +101110011000 +101010010111 +101010010111 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010010111 +101110010111 +101010010111 +101010010110 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110010111 +110010101000 +110010101000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100110000101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110110 +100101110110 +100110000110 +100110000110 +100110000110 +100101110101 +100001110101 +100001110101 +100001110100 +100001110100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110011 +001100110011 +001000110011 +001000110010 +001000110010 +001000110010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110011 +001000110011 +001000110010 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001100110100 +001100110100 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +010001000011 +000100100010 +000100100010 +000100100010 +000100010010 +001000100010 +001100100010 +001100100010 +001100110011 +010001000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010101000101 +010001000101 +010001010101 +010001010101 +010001010101 +010001000101 +010001000100 +010001000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001000100 +010000110011 +010000110011 +010101000011 +010101000100 +010101000100 +010101000011 +010000110011 +010000110011 +010001000101 +010001010110 +010001010110 +010101010110 +010101010110 +010001010110 +010001000100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +010001000100 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010101000100 +010101000100 +010001000100 +010001000100 +010001000100 +010000110100 +010000110011 +010000110011 +010101000100 +010101010101 +010101010110 +010101100110 +010101100110 +011001100110 +010101010110 +010101010110 +010101100111 +011001100111 +011001110111 +011001110111 +011001100111 +010101010101 +010101000011 +011001000011 +011001010100 +011001010100 +011001010100 +011001000011 +010101000011 +010101010101 +011001100111 +011001110111 +011001111000 +011001110111 +011001100111 +011001100111 +011001100110 +011001100110 +011001110111 +011001110111 +011101100110 +011001100101 +011001010101 +011001010101 +011001100101 +011101100101 +011101100101 +011101010100 +011101010101 +011101100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001110110 +100001110111 +011110000111 +011101110111 +100001110111 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100001110111 +100001100110 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +011101110101 +100001110111 +100010001000 +100010001000 +100010000111 +100001110110 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100101110100 +100001110101 +100110000110 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +101010011000 +101010011000 +101010011000 +101010010111 +101110011000 +101110101000 +110010111001 +110010111001 +101110101001 +101110101000 +101010011000 +101010011000 +101010010111 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +101010000110 +101010000111 +101010000111 +101010000111 +101010010111 +101110010111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100101110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100110000110 +100110000110 +100110000110 +100001110101 +100001110101 +100001110100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001000110010 +001000110011 +001000110011 +001000110011 +001000110010 +001000110010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000110010 +001000110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +010001000011 +000100100010 +000100100010 +000100010010 +000100010010 +001000100010 +001100100010 +001100100010 +001100110011 +010001000101 +010001010110 +010001010111 +010001010110 +010001010110 +010001010110 +010101000101 +010001000101 +010001010101 +010001010101 +010001010101 +010001000101 +010001000100 +010000110100 +001101000100 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001000100 +010000110011 +010000110011 +010101000100 +010101000101 +011001000101 +010101000100 +010100110011 +010000110011 +010001000100 +010001000101 +010001010101 +010001010101 +010001010101 +001101000100 +001000110011 +001000100010 +001000100001 +001000100001 +001000100010 +001000110011 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001000101 +010101000101 +010101000101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010000110011 +010101000011 +010101000100 +010001010101 +010001010101 +010101010101 +010101010101 +010101000100 +010101010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101000101 +010101000011 +011001000100 +011001010100 +011001010101 +011101010100 +011001000011 +011001000011 +010101010101 +011001100111 +011001110111 +011001110111 +011001100111 +011001100110 +011001010101 +011001010101 +011001100110 +011001100110 +011001100111 +011001100110 +011001100101 +011001010101 +011001010101 +011001100101 +011101100101 +011101100101 +011101010100 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +100001100101 +100001110110 +100001110111 +011101110111 +011101110110 +100001110110 +100001110110 +011101110111 +100001110111 +100001110111 +011101110111 +011101110111 +011101110110 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +011101110101 +100001110111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100101110101 +100001110110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110011000 +101010011000 +101010011001 +101010011000 +101010010111 +101110011000 +101110101001 +110010111001 +110010101001 +101110101001 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +101010000110 +101010000110 +101010000111 +101010000111 +101010010111 +101110010111 +110010100111 +110010101000 +110010101000 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110010111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100110000101 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100110000110 +100110000110 +100101110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +010101000100 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001000110011 +001000110011 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001100110011 +010000110011 +001000100010 +001000100010 +000100010010 +000100010010 +001000100010 +001100100010 +001100100010 +001100100011 +010001000101 +010001010110 +010001010111 +010001010110 +010001010110 +010001010110 +010101000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010000110011 +010000110011 +010101000100 +010101000101 +011001000101 +010101000100 +010000110011 +010000110011 +010001000100 +010001010110 +010001010110 +010001010110 +010001010110 +001101000100 +001100110011 +001000100010 +001000100010 +001000100001 +001000100010 +001000110011 +001100110100 +010001000100 +010001000100 +010001000101 +001101000101 +010001000101 +010001000101 +010101000101 +010001010101 +010001010101 +010001010110 +010001000101 +010001000100 +010001000100 +010101000011 +010001000100 +010001010101 +010001010110 +010101010101 +010101010100 +010101000100 +010101010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100110 +010101010101 +010101000011 +011001000100 +011001010101 +011101100110 +011101010101 +011001000011 +011001000100 +011001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001100111 +011001010101 +011001010101 +011001100110 +011001110111 +011001110111 +011101110111 +011101100110 +011101100101 +011001100110 +011001100110 +011001100110 +011101100101 +011101100100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101100110 +011101100110 +011101100101 +011101100101 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +011101110110 +011101110111 +100001110111 +100001110111 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001110101 +100001110110 +100010000110 +100110000111 +100110000111 +100110000110 +100110000111 +100110000111 +100110011000 +100110011000 +101010011000 +101010011000 +101010010111 +101110101000 +110010101001 +110010101001 +101110101001 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010010111 +101110010111 +110010100111 +110010100111 +101110100111 +101110010111 +101010010111 +101110010111 +101110100111 +101110100111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100110000101 +100110000110 +100110000110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001100110010 +001000100010 +001000010010 +000100010010 +000100010010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110100 +010001000110 +010001010110 +010001010110 +010001000101 +010001000101 +010001000101 +010001000101 +010001010110 +010001010110 +010001100111 +010101010111 +010101010110 +010101010110 +010101010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010000110100 +010000110011 +010000110011 +010101000100 +010101000101 +010101000100 +010000110011 +010000110011 +010001000101 +010101010110 +010101100111 +010101100111 +010101100111 +010001010110 +010001010101 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001000100 +010101000100 +010000110011 +001100110100 +001101000100 +001101000100 +010001000100 +010101000100 +010001000101 +010001010101 +010001010101 +010001000101 +010001000100 +010000110011 +010101000011 +010101000101 +010101010110 +010101100110 +010101100110 +011001010110 +010101010101 +010101010110 +010101100111 +011001100111 +011001110111 +011001110111 +011001100111 +010101010101 +010101000011 +011001000100 +011001010101 +011101100110 +011101010101 +011001000011 +011001000100 +011001010101 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001100111 +011101100110 +011101110111 +011101111000 +011001111000 +011101110111 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101111000 +011101110111 +011101110111 +011101100110 +011101100101 +011101100100 +011101100101 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101100110 +011101100110 +011101100101 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +011101110110 +011101110111 +100001110111 +100001110111 +011101110110 +011101100101 +011101100101 +011101110110 +100001110110 +100001110110 +100001100110 +100001100110 +100001100110 +100001100110 +011101110110 +100001110110 +100001110101 +100001100100 +100001100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000110 +100110000110 +100110000111 +100110000111 +100110011000 +100110011000 +100110010111 +100110010111 +101010011000 +101110101000 +110010101001 +110010101001 +101110101000 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +100110010111 +101010011000 +101010011000 +101010011000 +101010010111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000110 +101010000110 +101010010111 +101110010111 +101110100111 +110010100111 +101110100111 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100110000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100110000110 +100110000110 +100010000110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010011 +010101010011 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001101000011 +001101000011 +001101000011 +001100110011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001100110010 +001100110011 +001000110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000110010 +000100010001 +000100010010 +000100010010 +000100010001 +001000100010 +001100100010 +001100100001 +001100100010 +001100110100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000101 +010001010110 +010001010110 +010001010111 +010101010110 +010101010110 +010101010110 +010101010110 +010101010111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010000110100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000011 +010000110011 +010000110011 +010001000101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010101010101 +010101000100 +010000110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +010000110011 +010101000011 +010101010101 +010101100110 +010101100111 +011001100111 +011001100111 +010101100110 +010101100110 +011001100111 +011001100111 +011001111000 +011001111000 +011001101000 +011001010110 +010101000100 +011001000011 +011001010101 +011101010101 +011101010100 +011001000011 +011001000011 +011001010101 +011001110111 +011101111000 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101100110 +011101100101 +011001100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101100100 +011101100100 +011101100101 +011101110111 +011101110111 +100010001000 +100001110111 +100001110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101110111 +011101110111 +011101100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +100001110111 +100010001000 +100010001000 +100010000111 +100001110110 +011101100101 +011101100101 +011101100110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001100100 +100001100100 +100001100101 +100001110110 +100010000110 +100010000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +100110011000 +100110011000 +101010011000 +101010011000 +101110101001 +110010101001 +101110101001 +101010011000 +101010010111 +100110000111 +100110011000 +101010011000 +100110000111 +100110000111 +100110000111 +100110010111 +101010011000 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +101010000110 +101010010111 +101110010111 +101110100111 +110010100111 +110010100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110110 +100101110101 +100001110101 +100001110101 +100001110101 +100110000110 +100010000110 +100001110110 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101100100 +011001010100 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001100110010 +001100110010 +001100110010 +001101000010 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110011 +001000110011 +001000110011 +001000110010 +001000110010 +001000100010 +001000100010 +001000110010 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001100110011 +001000110011 +001000110010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001100100010 +001100100010 +001100100010 +010000110011 +010001000100 +010001000101 +010001000101 +001101000100 +010000110100 +010001000100 +010001000101 +010001000101 +010001010110 +010001010110 +010001010101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010000110100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000011 +010100110011 +010000110011 +010001000101 +010001010110 +010101100111 +010101100111 +010101100111 +010101010110 +010001010101 +010001000101 +010001010101 +010001010110 +010001010110 +010001010110 +010101010101 +010101000101 +010000110011 +010000110011 +010000110100 +010000110100 +010000110100 +010000110100 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010101000011 +010101000101 +010101010110 +010101100110 +010101100110 +011001100110 +011001100110 +010101010110 +010101100110 +010101100111 +010101100111 +011001100111 +011001100111 +010101010110 +010101000100 +010101000011 +011001010100 +011101010101 +011101010100 +011001000011 +011001000011 +011001010101 +011001100111 +011001111000 +011001111000 +011001111000 +011101110111 +011101110111 +011101100111 +011101110111 +011001110111 +011101110111 +011101110111 +011101100101 +011001100101 +011001010101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011101110110 +011101100110 +011101100110 +011101100101 +011101010100 +011101100100 +011101100101 +100001110111 +011110001000 +011110001000 +100001110111 +100001110111 +011101110111 +011101110111 +100010001000 +100010001000 +011110000111 +100001110111 +100001100110 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +100001110111 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001100101 +011101100101 +011101100110 +100001110110 +100001110101 +100001100101 +100001100100 +100001100100 +100001110101 +100101110110 +100110000111 +100110000111 +100110000111 +100110000111 +100110001000 +100110011000 +100110011000 +101010011001 +101010011000 +101010011000 +101110101001 +101110101001 +110010101001 +101110101001 +101010010111 +100110000111 +100110000111 +101010011000 +101010011000 +101010011000 +100110000111 +100110000111 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +101010000110 +101110010111 +101110010110 +101110010111 +101110010111 +101110100111 +101110100111 +101110100110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110110 +100101110101 +100001110101 +100001110101 +100001110101 +100010000110 +100110000110 +100010000110 +100001110110 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001000110011 +001000110010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100011 +001000100010 +000100100011 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001100100010 +001100100010 +001100110011 +010001000101 +010001010101 +010001000101 +001101000101 +010001000101 +010001000101 +010001000101 +010001000101 +001101000101 +001101000101 +001101000100 +001100110100 +001100110100 +001100110100 +001101000100 +001101000101 +010001010110 +010001010110 +010001010110 +001101000101 +010000110100 +010000110011 +010100110011 +010101000100 +010101000101 +010101000100 +010100110011 +010000110011 +010001000100 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +001101000100 +001100110011 +010000110100 +010001000100 +001101000100 +010001000101 +010001010101 +010101000101 +010101000100 +010001000100 +010001000100 +010001000101 +010001000101 +010101000100 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000011 +010001000100 +010101000101 +010001010101 +010101010101 +010101010101 +010101000100 +010101000100 +010001000101 +010001010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101000011 +011001000011 +011001010100 +011001010100 +011001010100 +011001000011 +011001000011 +011001010101 +011001010110 +010101100111 +010101100110 +011001100111 +011001100111 +011001100110 +011001100101 +011001100110 +011001100110 +011001100111 +011001100110 +011101100101 +011101010101 +011001010101 +011001010101 +011101100101 +011101100101 +100001100101 +011101100101 +011101100110 +011101100110 +011101100110 +011001100101 +011101100101 +011101100101 +011101010100 +011101100100 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001110110 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100100 +100001100100 +100001110101 +100101110110 +100110000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +101010011000 +101010011001 +101010101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110011000 +101010000111 +100110000111 +100110000111 +101010011000 +101010011000 +101010011000 +100110000111 +101010010111 +101010011000 +101010011000 +101010010111 +101010000111 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +101010000110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100110000110 +100001110101 +100001110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100110000110 +100110000110 +100001110110 +100001110110 +100001110101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +001000100001 +001000100001 +001100100010 +001100110011 +001101000101 +001101010101 +010001010101 +001101010101 +010001000101 +010001000101 +010001000101 +010001000101 +001101010101 +001101000101 +001101000101 +010000110100 +001100110100 +001100110100 +001101000100 +001101000101 +010001010110 +010001010110 +010001010110 +010001000101 +010000110100 +010000110011 +010000110100 +010101000101 +010101010101 +010101000101 +010101000011 +010000110011 +010001000100 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001100110100 +001100110011 +010000110011 +001100110011 +001100110100 +001101000101 +010001010101 +010101000101 +010101000101 +010001000101 +010001000101 +010001000101 +010001000101 +010101000101 +010001000100 +001100110100 +001100110100 +001100110011 +001100110011 +010000110011 +010000110011 +010001000100 +010001000101 +010001000101 +010001000100 +010101000100 +010101000100 +010001000100 +010001000101 +010001010101 +010101010110 +010101010110 +010101010101 +010101000100 +010101000011 +010101000011 +011001010100 +011001010101 +011001010100 +011001000011 +011001000011 +011001010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101010110 +011001010101 +011001010100 +011001010101 +010101010110 +010101010110 +011001100110 +011001100110 +011001010101 +011001010101 +011001010101 +011101100101 +011101100110 +100001100101 +100001100110 +011101100110 +011101100110 +011001100110 +011001100101 +011001100101 +011101100101 +011101100100 +011101100100 +011101100101 +011101110110 +011101110111 +011101110111 +011101100110 +011101100101 +011101100110 +011101110110 +011101110110 +011101100110 +011001100110 +011101100110 +011101100101 +011101100100 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +011101100101 +011101110110 +011101100110 +011101110110 +011101110101 +011101100101 +011101100101 +011101110110 +100001110110 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +101010011000 +101010011000 +101110101001 +101110101001 +101110101001 +101110101000 +101010010111 +101010000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +101010000111 +101010011000 +101010011000 +100110010111 +101010000111 +100110000110 +100110000110 +100101110110 +100110000110 +100110000110 +101010000110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100110000110 +100001110101 +100001110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100110000110 +100110000111 +100001110110 +100001110101 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000010001 +000000010001 +000000000001 +000000000000 +000100010001 +001000010001 +001000100001 +001100100010 +001100110011 +001101000100 +001101000101 +010001010101 +001101010101 +010001000101 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010001000101 +010001000101 +010001010110 +010001010111 +010101100111 +010101100111 +010101100111 +010001010110 +010001000100 +010000110011 +010000110100 +010101000101 +010101010101 +010101000101 +010101000011 +010000110011 +010001000100 +010001010110 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000100 +010001000100 +001101000100 +001101000101 +010001010101 +010001010101 +010101010101 +010001000100 +001101000100 +001101000101 +001101000101 +010001000101 +010001000100 +010000110100 +001100110100 +001100110100 +001100110100 +001100110011 +010000110011 +010000110011 +010101000100 +010101010101 +010101010101 +010101010110 +010101010101 +010101010101 +010101010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010101 +010101000100 +010101000100 +011001010101 +011001010101 +011001010101 +011001010100 +011001000100 +011001010101 +011001100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001010110 +011001010101 +011001010101 +011001100110 +011001100110 +011001100111 +011101100110 +011101100101 +011001010101 +011001100101 +011001100110 +011101100110 +100001100101 +011101100101 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011101100101 +011101100100 +011101100100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110110 +011101100101 +011101100101 +011101100110 +011101110111 +011101110110 +011001100110 +011101100110 +011101100101 +011101100100 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +011101100101 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101110110 +011101110110 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100100 +100001100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000111 +100110000110 +100110000111 +100110011000 +100110011000 +100110011000 +101010011000 +101110101000 +101110101001 +101110101001 +101110011000 +101010000111 +100110000110 +100110000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +101010011000 +101010011000 +100110010111 +101010000111 +100110000110 +100110000110 +100101110101 +100101110101 +100110000110 +101010000110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100101110110 +100001110101 +100001110101 +100001110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110110 +100110000111 +100010000110 +100001110101 +100001110101 +100001110101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +010101010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001100110011 +001000110011 +001000110011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000100010001 +001000010001 +001000100001 +001100100010 +001100100011 +001100110100 +001101000100 +010001000101 +010001000101 +010001000100 +010000110100 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010001000100 +010000110011 +010000110011 +010101000100 +011001000101 +010101000100 +010101000011 +010000110011 +010001000100 +010101010110 +010101100111 +010101111000 +010101100111 +010101100111 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010101010110 +010101010101 +010001000100 +010000110011 +001100110100 +001100110100 +010000110100 +010000110100 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110011 +010000110011 +010101000101 +010101010110 +010101100111 +010101100111 +011001100111 +011001100110 +010101100110 +010101100111 +011001100111 +011001110111 +011001111000 +011001111000 +011001100110 +010101000100 +010101000011 +011001010100 +011001010101 +011101010101 +011001010100 +011001010100 +011001010101 +011001100111 +011001111000 +011101111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011101110111 +011101100110 +011101100101 +011001100101 +011001100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011001100110 +011101100110 +011101100101 +011101100100 +011101010100 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100101 +011101100100 +100001100100 +100001100101 +100001100100 +100001100100 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +100001110110 +100001110110 +100001110110 +100001110111 +100001110110 +100001110110 +100001110101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100100 +100001100100 +100001100101 +100001110101 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +101010011000 +101010011000 +101010011000 +101010101001 +101110101001 +101110101001 +101110101001 +101010011000 +101010000111 +100110000110 +100110000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +100110010111 +101010000111 +101010000110 +100110000110 +100101110101 +100101110101 +100110000101 +101010000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100110000110 +100110000111 +100001110110 +100001110101 +100001110101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001100110100 +001100110100 +001100110100 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000000010001 +001000010001 +001000010001 +001000100010 +001100100010 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010000110100 +010001000100 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010101010110 +010001010110 +010001010110 +010001010110 +010001010111 +010101010111 +010001010111 +010001010110 +010001000100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110011 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010101010110 +010101010110 +010101010101 +010101000100 +010000110011 +001100110011 +010000110100 +010000110100 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +010000110010 +010000110011 +010101000101 +010101010110 +010101100111 +010101100111 +011001100111 +011001100111 +010101010110 +010101100110 +011001100111 +011001110111 +011001111000 +011001110111 +011001100110 +010101010100 +010101000011 +011001010100 +011001010101 +011101010101 +011101010100 +011001010100 +011001010101 +011001110111 +011001111000 +011101111000 +011101111000 +011101110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011101010100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101111000 +011110001000 +011101110111 +011101100110 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +011101100101 +011101110110 +100010000111 +100010001000 +100010001000 +100001110111 +100001110111 +100001110111 +100010000111 +100001110111 +100001110110 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100100 +011101100100 +100001100100 +100001100100 +100001100101 +100001110110 +100110000111 +100110001000 +100110001000 +100110000111 +101010011000 +101010011000 +101010011001 +101010101001 +101110101010 +101110101010 +101110101001 +101110011000 +101010010111 +101010000110 +100110000110 +100110000110 +100110000111 +100110000111 +100110001000 +100110000111 +100110000111 +100110000111 +101010011000 +100110011000 +100110010111 +101010000111 +100110000110 +100110000110 +100101110101 +100101110101 +100110000101 +100110000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100110000111 +100010000110 +100001110110 +100001110101 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100010 +001000110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001100110100 +001100110100 +001100110100 +001100110100 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000000010001 +000100010001 +001000010001 +001000100001 +001100100010 +001100110011 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010000110100 +010001000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010000110100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110011 +010001000100 +010001010110 +010101100111 +010101100111 +010101100111 +010001010111 +010001000101 +010001000100 +010001000100 +010001000101 +010001010101 +010001010110 +010001010110 +010101010101 +010101000100 +010000110011 +001100110011 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +001100110011 +001100110011 +010000110011 +010000110011 +010101000101 +010101010110 +010101100110 +010101100111 +010101100110 +010101010101 +010101000101 +010001010101 +010101010110 +010101100110 +010101100110 +010101100110 +010101010101 +010101000100 +010101000011 +011001010100 +011001010101 +011101010101 +011101010100 +011001010100 +011001010101 +011001100110 +011001110111 +011001110111 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011101110111 +011101110110 +011101100110 +011001100101 +011001010101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101100101 +011101100101 +011101010101 +011101010101 +011101010100 +011101010011 +011101100100 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101100100 +011101100100 +100001100100 +100001100100 +011101100100 +011101100101 +011101110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100100 +100001100100 +100001100101 +100101110110 +100110000111 +100110000111 +100110001000 +100110000111 +100110001000 +101010011000 +101010101001 +101110101001 +101110101010 +101110101010 +101110101001 +101010011000 +101010000111 +100110000110 +100110000101 +100110000110 +100110000111 +100110001000 +101010011000 +101010011000 +100110000111 +100110000111 +101010011000 +101010011000 +100110010111 +101010000111 +100110000110 +100101110101 +100101110101 +100101110101 +100101110101 +100110000101 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +011001010100 +010101010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101010100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000100 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001000110011 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001100110100 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000000000000 +000100010001 +001000010001 +001100010001 +001100100010 +001100110011 +001101000100 +001101000101 +001101000100 +001101000100 +010001000100 +010001000100 +010001000101 +010001000101 +001101000101 +001101000101 +010001000100 +010000110100 +001100110100 +001100110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001100110100 +010000110011 +010000110011 +010101000100 +010101000101 +010101000101 +010101000100 +010100110011 +010001000100 +010001010110 +010101100111 +010101100111 +010101100111 +010001010110 +001101000100 +001100110011 +010000110011 +010001000101 +010001010110 +010001010110 +010101010110 +010101010110 +010101000100 +010001000100 +001101000100 +001101000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +001100110100 +001100110011 +010000110011 +010000110011 +010101000100 +010101010110 +010101100110 +010101100110 +010101010110 +010101000100 +010000110011 +010001000100 +010001000101 +010001010110 +010001010110 +010001010110 +010101010101 +010101000100 +011001000100 +011001010100 +011101010101 +011101010101 +011101010100 +011001010100 +011001010101 +011001100110 +010101100111 +010101100111 +010101110111 +010101100110 +011001100110 +011001100101 +011001100101 +011001100110 +011001110111 +011101110111 +011101110110 +011101100110 +011001100101 +011001010101 +011001100101 +011101100101 +011101100101 +100001100101 +011101100101 +011101100110 +011101100110 +011001010101 +011001010101 +011101010100 +011101010011 +011101010011 +011101010100 +011101100101 +011101100110 +011001100110 +011101100101 +011101100101 +011101100110 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011101100101 +011101100100 +011101100100 +011101100100 +100001100100 +011101100100 +011101100100 +011101100110 +011101110111 +011101110111 +011101110111 +011101100110 +011101100101 +011101100101 +011101110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100100 +100001100100 +100001100101 +100001110110 +100110000110 +100010000111 +100110000111 +100110000111 +100110000111 +101010011000 +101010101001 +101110101010 +101110101010 +101110101010 +101110011001 +101010010111 +100110000110 +100110000110 +100110000101 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110010111 +100110000111 +100110000110 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +101010000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101010010110 +101010010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +011101100101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010100 +010101010100 +010101010100 +010101010011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000000000000 +000100010001 +001000010001 +001100100001 +001100100010 +001100110011 +001100110100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001000101 +010001000101 +010001000101 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010000110011 +010000110011 +010101000100 +010101000101 +010101000101 +010101000100 +010100110011 +010001000100 +010001010110 +010101101000 +010101101000 +010101101000 +010001010110 +001101000101 +001100110100 +010001000100 +010001010101 +010101010110 +010101100111 +010101100111 +010101010110 +010101000101 +010001000100 +001101000100 +001101000101 +010001000100 +010001000101 +010001000101 +010001000101 +001101000101 +001101000100 +001100110011 +001100110011 +010000110011 +010101000100 +010101010110 +010101100111 +010101100111 +010101010110 +010101010101 +010001000100 +010001000100 +010001010101 +010101100110 +010101100111 +010101100111 +010101010110 +010101010100 +010101000100 +011001010100 +011101100101 +011101100101 +011101010101 +011001010100 +011001010101 +011001100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +011001100110 +011101110110 +011101110111 +011001111000 +011101110111 +011101100110 +011101100110 +011001010101 +011001010101 +011001100110 +011101100110 +100001100101 +100001100101 +011101100110 +011101100110 +011001100110 +011001010101 +011001010101 +011001010101 +011101010100 +011101010011 +011101010100 +011101100110 +011001110111 +011001100111 +011101100110 +011101100101 +011101100101 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101100101 +011101100101 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100110 +011101110111 +011101111000 +011101110111 +011101100110 +011101100101 +011101100101 +011101110110 +100001110111 +100001110110 +100001110101 +100001100101 +011101100101 +011101100110 +011101100101 +011101100101 +011101100101 +100001100101 +100001100100 +100001110101 +100001110101 +100110000110 +100010000111 +100001110110 +100110000110 +100110000111 +101010011000 +101010101001 +101110101010 +101110101010 +101110101010 +101010011000 +101010000111 +100110000110 +100110000110 +100101110110 +100101110110 +100001110110 +100010000111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000111 +100110011000 +100110000111 +100110000111 +100110000110 +100101110101 +100001110101 +100101110101 +100101110101 +100101110101 +101010000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101110010110 +101110010101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +011101100101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000010001 +001000100001 +001100100010 +001100100011 +001100110100 +001100110100 +001100110100 +001100110100 +001101000100 +010001000101 +010101010110 +010001100111 +010101100111 +010101100111 +010001010111 +010001010110 +010001010110 +010001010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010111 +010001000101 +010000110011 +010000110010 +010101000011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110100 +010101010110 +010101101000 +011001111000 +011001111000 +010101100111 +010001010110 +010001010101 +010001010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101010110 +010101000101 +010000110100 +001100110100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110100 +001100110011 +001100110011 +010000110011 +010101000100 +010101010101 +010101100111 +010101100111 +010101100111 +010101010110 +010101010110 +010101100110 +010101100111 +011001110111 +011001111000 +011001111000 +011001100111 +011001010101 +010101000100 +011001010100 +011001010101 +011101100101 +011101010100 +011001010100 +011001010101 +011001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011101111000 +011001111000 +011101111000 +011101110110 +011101100110 +011001100101 +011001100110 +011001100110 +011101100110 +011101100101 +100001100101 +011101100101 +011101100110 +011101100110 +011001010101 +011001010101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100110 +011101110111 +011101111000 +100010001000 +100001111000 +100001110111 +100001100110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100101 +011101100110 +100001110111 +100001111000 +100001110111 +100001110111 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100100 +100001110101 +100001110110 +100110000111 +100010000111 +100010000111 +100110000111 +100110000111 +101010011001 +101110101001 +101110111010 +101110111010 +101110101010 +101010011000 +100110000111 +100110000110 +100101110110 +100101110101 +100101110101 +100001110110 +100110000111 +100110000111 +100110000111 +100110000110 +100110000111 +100110000111 +100110011000 +100110000111 +100110000110 +100110000110 +100101110101 +100001110100 +100001110101 +100001110101 +100101110101 +100110000101 +101110010110 +101110010101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +100110000101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001110101 +100001100101 +011101100101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +001000010001 +001000100001 +001000100010 +001100100011 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010001000101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010001010110 +010001010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010111 +010001000101 +010000110011 +010000110010 +010100110011 +010101000100 +010101000100 +010101000011 +010100110011 +010000110011 +010001010110 +010101100111 +011001111000 +011001111000 +010101100111 +010101100111 +010001010110 +010001010101 +010101010110 +010101100110 +010101100110 +010101100110 +010101010110 +010101000100 +010000110011 +010000110100 +001100110100 +010001000100 +010000110100 +010000110100 +010000110100 +010001000100 +010000110100 +001100110011 +001100110010 +010000110010 +010001000011 +010001000100 +010101010110 +010101100111 +011001100111 +010101100110 +010101100110 +010101100110 +011001100111 +011001111000 +011001111000 +011001111000 +011001100111 +011001010101 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001110111 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101100101 +011001010101 +011001010101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101010101 +011101100101 +011101100101 +100001100110 +100001100110 +100001110110 +011101110111 +100001110110 +011101100110 +011101110110 +011101100110 +011101100110 +011101110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100101 +011101100110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001110101 +100001110110 +100010000111 +100110000111 +100110000111 +100110000111 +100110011000 +101010101001 +101110101010 +101110111010 +101110111010 +101110101001 +101010011000 +100110000110 +100101110110 +100101110101 +100101110101 +100101110110 +100110000111 +100110001000 +100110001000 +100110001000 +100110000111 +100110000111 +100110011000 +100110011000 +100110000111 +100110000111 +100110000110 +100001110101 +100001110100 +100001110100 +100001110101 +100001110100 +100101110100 +101010000101 +101010010101 +101010000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +101010000110 +101010000110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001110101 +100001100101 +011101110101 +011101110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +010000110010 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +001000010001 +001000010001 +001100100010 +001100110011 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101000100 +010000110011 +010000110011 +010100110011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110011 +010001000101 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001010101 +010001000101 +010001000101 +010001010101 +010001010110 +010001010110 +010101010110 +010101000101 +010000110100 +001100110100 +001101000100 +001100110100 +001100110011 +010000110011 +010000110011 +010000110100 +010000110100 +001100110011 +001100100010 +001100110010 +010000110010 +010001000011 +010001000100 +010101010101 +010101100110 +011001100110 +010101010101 +010101010110 +010101100110 +011001100111 +011001100111 +011001100111 +011001100110 +010101010101 +010101000011 +010101000011 +010101010100 +011001010100 +011001010011 +011001010011 +011001010101 +011001100111 +011101111000 +011110001000 +011110001000 +011001110111 +011001110111 +011001100110 +011001100110 +011001110111 +011001110111 +011101110111 +011101100111 +011101100101 +011101010101 +011101010101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101100101 +011101100101 +100001100101 +100001100110 +100001100110 +011101100110 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001110110 +100010000111 +100110000111 +100110000111 +100110001000 +101010011000 +101010101001 +101110101010 +101110101010 +101110101001 +101010011001 +101010000111 +100110000110 +100101110110 +100101110101 +100101110101 +100101110110 +100110000111 +100110000111 +100110001000 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +100110000111 +100110000110 +100101110101 +100101110101 +100001100100 +100001110100 +100001110100 +100001110100 +100101110100 +100101110101 +101010010101 +101110010101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +101010000101 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100100 +100001100100 +100001100101 +100001110101 +100001110101 +011101100101 +100001110101 +100001110101 +100001100101 +100001110101 +100001100101 +011101100101 +011101100101 +011101110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001100110011 +010000110011 +001100110011 +001000110010 +001100110011 +010001000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +001000010001 +001000010001 +001100100010 +001100110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001000101 +001101000100 +001101000100 +001101000100 +010001000101 +010001000101 +010001000100 +001101000101 +010001010110 +010001010110 +010001010110 +001101000101 +010001010101 +001101000100 +010000110011 +010000110011 +010101000011 +010101000101 +011001010101 +011001000100 +010100110011 +010000110011 +010001000101 +010101010110 +010101100111 +010101100111 +010101100111 +010001010110 +001101000100 +010000110100 +010001000101 +010001010101 +010001010110 +010001010110 +010101010110 +010101000101 +010001000100 +001101000100 +001101000101 +001101000100 +001100110011 +001100110011 +010000110100 +001101000100 +001101000100 +001100110100 +001100110011 +010000110011 +010000110011 +010001000100 +010001000100 +010001010101 +010101010101 +010101010101 +010101010100 +010101010101 +010101100110 +011001100111 +011001100111 +011001100111 +011001100110 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010101 +011001100110 +011101111000 +011101111000 +011101111000 +011001110111 +010101100101 +010101010101 +011001100110 +011001100110 +011001100111 +011001100111 +011101100110 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +011101100101 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100100 +011101100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000111 +100110000111 +101010011000 +101010101001 +101010101001 +101010101001 +101010011000 +100110011000 +100110000110 +100101110110 +100101110110 +100101110110 +100101110101 +100101110110 +100101110110 +100001110111 +100010000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100101110101 +100101110101 +100001110100 +100001100100 +100001110100 +100001110100 +100001110100 +100101110100 +100110000101 +101010000101 +101110010110 +101110010110 +101110010101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001110101 +011101100101 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101110101 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +010101010011 +010101010011 +010101010100 +010101010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +001000100010 +001100110011 +010000110011 +001100110011 +001100110011 +010000110011 +010101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +001000010001 +001000010001 +001000100010 +001100110011 +001101000101 +001101000101 +001101000110 +001101000110 +010001010110 +010001000110 +010001000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001000110 +010001000101 +010001010110 +010001010111 +010001010111 +010001010111 +010001010110 +010001010110 +010001000101 +010000110100 +010000110011 +010101000100 +010101010101 +011001010110 +011001000101 +010101000011 +010000110011 +010001000101 +010101010111 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010101010110 +010101010110 +010101010101 +010001010101 +010001010110 +010001010101 +010001000101 +010001000100 +010001000100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000100 +010101000100 +010101000101 +010001000101 +010101010101 +010101010101 +011001010101 +010101010101 +010101010101 +010101100110 +011001100111 +011101100111 +011001100111 +011001100110 +011001010100 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101010011 +011001010101 +011001100110 +011101110111 +011101111000 +011101111000 +011001100111 +011001100101 +011001010101 +011001100101 +011001100110 +011001100110 +011101100111 +011101100110 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001010100 +100001010100 +100001010100 +011101010100 +011101010011 +011101010100 +100001010100 +100001100100 +100001100100 +100001100100 +100001010100 +100001010100 +100001010100 +100001010100 +100001010100 +100001010100 +100001010100 +100001100100 +100001100100 +100001010100 +100001010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100101100100 +100101100101 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100001100100 +011101100100 +011101100100 +011101100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000111 +100110000111 +101010011000 +101010101001 +101010101001 +101010011001 +101010011000 +100110000111 +100101110110 +100101110110 +100101110110 +100101110110 +100101110101 +100101110110 +100001110110 +100001110111 +100001110111 +100001110111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100101110110 +100001110101 +100001100100 +100001100100 +100001100100 +100001110100 +100001110100 +100001110100 +100101110100 +100101110101 +101010000110 +101010010110 +101110010101 +101110010110 +101110010110 +101010010110 +101110010110 +101010010111 +101010010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +101010000101 +100101110101 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001110101 +100001110101 +011101100101 +100001100100 +100001100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +100001110101 +100001110110 +100001110110 +100001110110 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001000011 +010101000011 +010101010011 +010101010011 +010101010100 +010101010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000110011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100011 +001000100010 +001100110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101010100 +000100010001 +000100010001 +000000000000 +000000010000 +000000010000 +000100010001 +000100010001 +001000100001 +001000100010 +001100110100 +001101000101 +010001010110 +010001010111 +010101010111 +010101100111 +010101100111 +010101100111 +010001100111 +010001010111 +010001010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101101000 +010101101000 +011001101000 +011001101000 +010101101000 +010101100111 +010101010110 +010101000101 +010101010110 +010101010110 +011001010110 +010101010101 +010101000100 +010101000100 +010101010110 +010101100111 +011001111000 +011001111000 +011001101000 +010101100111 +010101100111 +010101010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010001010101 +001101000100 +001101000011 +010001000100 +010101000100 +010101000100 +010101000101 +011001010101 +011001010110 +011001010110 +011001010110 +011001010101 +011001010101 +011001010101 +011001100110 +011101100111 +011101100111 +011101100111 +011101100110 +011001010101 +010101000011 +010001000011 +010001000011 +010001000011 +010101010011 +010101010100 +011001010100 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100100 +100001100100 +100001100101 +100001110101 +100001110110 +100001110110 +100010000111 +100010000111 +100110000111 +101010010111 +101010011000 +101010101001 +101010101001 +101010011000 +101010011000 +100110000111 +100101110110 +100101110110 +100101110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001110111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100101110110 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100101110100 +100101110101 +101010000101 +101110010101 +101110010110 +101110010110 +101010000110 +101110010110 +101010010111 +101010010111 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101110010110 +101010000110 +101010000101 +100101110101 +100001110100 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000110010 +001000110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100011 +001000110011 +010000110011 +010101000100 +010101010100 +010101010100 +010101000011 +010101010011 +001100100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +001000110011 +001101000101 +010001010110 +010001010111 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +011001111000 +011001111000 +010101111000 +010101101000 +010101100111 +010101010111 +010101010111 +010101100111 +010101100111 +010101010110 +010101000101 +010001000101 +010001010110 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010111 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010101 +010001000100 +001101000011 +010001000011 +010001000011 +010101000100 +010101000101 +011001010110 +011001100111 +011001100111 +011001100111 +011001010101 +011001010101 +011001010110 +011101100110 +011101100111 +011101100111 +011101100111 +011101100110 +011001010101 +010101000011 +010001000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010101 +011101100111 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100100 +100001100100 +100001100101 +100001110110 +100001110110 +100110000111 +100110000111 +100110000111 +101010011000 +101010011000 +101010101001 +101110101001 +101010101001 +101010011000 +101010011000 +100110000111 +100110000111 +100110000110 +100101110110 +100101110110 +100101110110 +100101110110 +100101110110 +100101110110 +100110000111 +101010001000 +101010011000 +101010011000 +101010011000 +101010001000 +100110001000 +100110000111 +100101110110 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100101110100 +101010000101 +101110010101 +101110010110 +101010000110 +101010000110 +101110010110 +101010010111 +101110010110 +101110010110 +101110010110 +101010010110 +101010000101 +101010000101 +101010000101 +100101110101 +100001110101 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100101 +100001110101 +011101100100 +011101100100 +011101100101 +011101100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000100010 +001000100011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +001000110011 +010001000011 +010101010100 +010101010100 +010101010100 +010001000011 +010101000011 +010000110011 +010000110011 +001100100010 +001000100010 +000100010001 +000000010001 +000100010001 +000000010001 +000100100010 +001000110011 +001000110100 +001101000101 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001100110100 +001100110011 +001100110100 +001101000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010101010101 +010001010101 +010001010101 +010001000101 +010001010101 +010001000101 +001101000011 +001100110010 +001100110011 +010001000011 +010101000100 +010101010101 +011001100110 +011001100111 +011001100111 +011001100110 +011001010110 +011001010101 +011001010110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +010101010100 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +011001010100 +011101100111 +011101111000 +011110001000 +011110001000 +100010001000 +011101111000 +011101110111 +011101100110 +011101100101 +011101100101 +011101100101 +011101010101 +011101010101 +011101100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100100 +011101100100 +011101100100 +100001110101 +100001110110 +100110000111 +100110000111 +100110001000 +101010011000 +101010011001 +101110101001 +101110101001 +101010011000 +101010011000 +100110001000 +100110000111 +100110000111 +100001110110 +100001110110 +100001110110 +100101110110 +100001110110 +100001110101 +100001110101 +100101110110 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110000111 +100101110110 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100101110100 +101010000101 +101010000101 +101010000101 +101010000101 +101010010110 +101110010110 +101010010110 +101110010110 +101010010110 +101010000110 +101010000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000110010 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001000011 +010101010100 +010101010100 +010001000011 +010001000011 +010101010011 +010101000100 +010101000011 +010000110011 +001100100010 +001000100001 +000100010001 +000000010000 +000000010001 +000100010001 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001000110100 +001000110100 +001100110100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000101 +001101000100 +001101000100 +001100110100 +001100110100 +001100110100 +001101000101 +001101000101 +001101000100 +001000100011 +000100010001 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001000110011 +001100110011 +001100110011 +001100110010 +001100110011 +010001000011 +010001000100 +010101010101 +010101010110 +010101010110 +010101010110 +010101010110 +011001010110 +011001010110 +011001100110 +011001100111 +011001100111 +011001100111 +011001110111 +011001100111 +011001100110 +011001010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +011001100110 +011001110111 +011001111000 +011001111000 +011101111000 +011101110111 +011101110111 +011101100111 +011001100110 +011001100110 +011001100101 +011101010101 +011101010100 +011101010100 +011101010100 +011101010100 +100001100100 +100001100100 +100001100100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010100 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100101 +011101100100 +011101100100 +011101100101 +100001110110 +100001110111 +100110000111 +100110000111 +100110011000 +101010011001 +101010011001 +101010011000 +100110011000 +100110000111 +100110000111 +100110000111 +100001110111 +100001110110 +100001110110 +100001110101 +100001110110 +100001110101 +100001100100 +100001100100 +100001110110 +100001110110 +100001110111 +100101110111 +100110000111 +100001110111 +100101110111 +100110000111 +100101110110 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +011101100011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100101110101 +100110000101 +100110000101 +101001110101 +101010000101 +101010000101 +101010000101 +101010000101 +101010000101 +100110000101 +100101110101 +100101110101 +100001110100 +100001110100 +100001110100 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101110101 +100001110110 +100001110110 +011101100101 +011101100101 +011101100101 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001000011 +010101010100 +010101010100 +010101010100 +010101000100 +010101010011 +010101000100 +010101000100 +010000110011 +001100110010 +001000100010 +000100010001 +000100010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000110011 +001000110011 +001100110100 +001100110100 +001100110100 +001000110100 +001000110100 +001000110100 +001000110100 +001100110100 +001100110100 +001000110011 +001000110100 +001101000100 +001101000101 +001101000100 +001000100011 +000100100010 +001000100010 +001000110011 +001100110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +010000110100 +010000110100 +010000110011 +001100110011 +001000110011 +001100110011 +001100110011 +001100110010 +001100110011 +010001000100 +010001000100 +010001000100 +010101010101 +010101010101 +010001010101 +010001010101 +010101010110 +011001100110 +010101100110 +010101100110 +010101100111 +010101100111 +011001100111 +011001100111 +011001100110 +011001100110 +010101010101 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010101010101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +011101010101 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +100001100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +100001010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010011 +011001010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100001110101 +011101100110 +011101110110 +100001110110 +100001110111 +100010000111 +100110000111 +100110001000 +100110011000 +101010011000 +101010011001 +100110011000 +100110000111 +100010000111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110110 +100001110110 +100001110111 +100101110111 +100001110111 +100001110111 +100001110111 +100101110111 +100101110110 +100001110110 +100001100101 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100001110101 +100001110100 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110100 +100001110100 +100001100100 +100001110101 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011001100101 +011101100101 +011101110110 +100001110110 +011101110101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000100 +010001000100 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100010010 +000100010010 +000100100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +000100100010 +000100100010 +001000110011 +010001000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010100110011 +010101000100 +010000110011 +001100110010 +001000100010 +000100100001 +000100010001 +000000010001 +000000010001 +000100010010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000110011 +001000110011 +001000110100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +001101010101 +001101000101 +001101000100 +001100110100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001000101 +010001000101 +010001000101 +001101000101 +001101000100 +001101000100 +001101000011 +001101000011 +001101000100 +010001000101 +010001000101 +010001010101 +010001010101 +010101010101 +010001010110 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +011001100111 +011001100111 +011001100111 +010101100110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100110 +011001100111 +011001100111 +011001110111 +011001110111 +011001100110 +011101010101 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +100001100100 +100001100100 +011101100100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +100001010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010100 +011101010011 +011101010100 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110110 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100110000111 +100110001000 +100110001000 +101010011000 +101010011001 +101010011000 +100110001000 +100110000111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100010000111 +100110000111 +100110000111 +100001110111 +100101110111 +100110000111 +100101110111 +100001110110 +100001110101 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001100100 +100001100100 +100001110100 +100001110100 +100001110101 +100001110101 +100001110101 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011001100100 +011001100100 +011101100101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +010101000100 +010101000100 +010001000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000100 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +010000110010 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100010 +000100100010 +001100110011 +010001000011 +010101010100 +010101010100 +010001000011 +010001000011 +010101000011 +010000110011 +010000110011 +010000110011 +001100100010 +001000010001 +000100010001 +000100010001 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001100110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001010101 +010001000101 +010001000101 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001010101 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010101 +010001000101 +001101000101 +001101000100 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001010101 +010001010101 +010101010110 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101100101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010110 +011001010101 +011001010101 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +100001010100 +100001100100 +100001100100 +100001010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010100 +100001100100 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100101100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110110 +100101110110 +100001110110 +100001110110 +100001110111 +100001110111 +100010000111 +100010000111 +100110000111 +100110001000 +100110001000 +100110001000 +100110000111 +100110000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100001110110 +100001110101 +100001100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011101100100 +011101100101 +011101110110 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +000100100010 +000100100010 +001100110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010011 +001100100010 +001100100010 +001100100010 +001000100001 +000100010001 +000100010001 +000000010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110100 +001100110100 +001100110100 +001000110100 +001000110011 +001100110100 +001101000100 +001101000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001101000100 +001101000100 +001100110100 +001100110011 +001100110011 +001100110100 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010100 +010101010101 +010101010101 +010101010101 +010101010100 +010001010101 +010101010100 +010101010100 +010101000100 +010001000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +011001000011 +011101010011 +011101010100 +011101010100 +011101010100 +100001010100 +100001010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100100 +100001100101 +100001100101 +100101100101 +100101100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +011101100101 +011101100101 +100001110101 +100001110110 +100001110110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000110 +100001110110 +100001110101 +100001100101 +100001100101 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001110110 +100101110110 +100101110110 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011101100100 +011101100101 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +001100110011 +010001000011 +001101000011 +001101000011 +010101010100 +011001100101 +011101110101 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010000 +000000000000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100011 +001000110011 +001100110011 +001100100010 +001000100010 +001000100001 +001000100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110010 +001100110010 +001100110011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010000110010 +010000110010 +010101000011 +011001000011 +011101010100 +011101010100 +011101010100 +011101010100 +100001010100 +100001010100 +100001100100 +100001010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +100001010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100100 +100101100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101110101 +100101110101 +100101100101 +100001100101 +100001100100 +100101110101 +100101110101 +100001100100 +011101100011 +011101100100 +011101100100 +100001110101 +100001110101 +100110000110 +100110000111 +100110000111 +100110000110 +100101110110 +100001110101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101010100 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011101010100 +011101100100 +011001010100 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001100110011 +001101000011 +001101000011 +010001010101 +011001100111 +100010000111 +100110011000 +001100100001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010000 +000100000000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100110010 +001100110011 +001100100010 +001100110011 +001100110100 +001100110011 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001000011 +011001010011 +011101010100 +011101010100 +011101010100 +011101010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101110101 +100101110101 +100001100101 +011101100100 +011101010011 +100001100100 +100001110101 +100001100100 +011101100011 +011101100100 +011101100100 +100001110101 +100001110110 +100110000110 +100110000110 +100110000110 +100110000110 +100101110110 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100011 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +001000100010 +001100110011 +001101000011 +010001000100 +010101100110 +011101111000 +100010011001 +101010101010 +001100100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +010000110100 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +011001000100 +011001010100 +011001010100 +011001010100 +011001010011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011101010011 +011101010100 +011101010100 +011101010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101000011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101110101 +100101110101 +100001100100 +011101010011 +011001010011 +100001100100 +100001110101 +100001100100 +011101100011 +011101100011 +011101100100 +100001110101 +100101110110 +100110000110 +100110000110 +100110000110 +100101110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001110101 +100001100101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110100 +100001110100 +100001110101 +100101110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +100001100100 +011101100100 +011101100011 +011101100100 +011101100100 +100001100100 +100001110100 +100001110100 +100001100100 +100001100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010101000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001100110011 +010001000100 +010001000100 +010101100111 +011110001000 +100110011001 +101110111001 +001100100010 +001100100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +001000010010 +001000100010 +001000100001 +001000010001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010101000011 +010101000011 +010101000100 +011001000100 +011001000100 +011001000100 +011001000100 +011001000100 +011001000100 +010101000100 +010001000011 +010000110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +011001000011 +011001010100 +011001010100 +011001010011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001010011 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101000011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100100 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100001100100 +011101010100 +011101010011 +100001100100 +100001110101 +100001100100 +011101010011 +011101100011 +011101100100 +100001110101 +100001110110 +100110000110 +100110000110 +100001110101 +100001110101 +100001100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001110101 +100001110100 +100001100100 +011101100011 +011101100011 +100001110100 +100001110100 +100001110100 +100001100100 +011101100011 +011101100100 +011101110100 +100001110100 +100001110100 +011101100011 +011101100011 +011101100100 +100001110100 +100001110100 +100001110100 +100001110100 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101100100 +011101010100 +011101100100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010101000100 +010101000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110010 +001000100010 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +001000100010 +001100110011 +010001000011 +010001010100 +011001100111 +100010001001 +100110011001 +101110111010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110011 +010000110011 +010001000011 +010101000011 +011001000011 +011001000011 +011001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101000011 +011101000011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100001100101 +100001100100 +100001100100 +100001100101 +100001110101 +100001100100 +011101100011 +011101100100 +011101100100 +100001110101 +100001110110 +100001110110 +100001110101 +100001110101 +100001100100 +011101100100 +011101100011 +011101100011 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +100001100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101110011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101110100 +011101110100 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001100100 +011001100101 +011001100101 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010001000011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100011 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +001000100010 +001100110011 +010001000011 +010001010100 +011001110111 +100010001001 +100110011001 +101110111010 +001100100011 +010000110011 +001100100011 +001100100010 +001000100001 +001000010001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +011001000011 +011001010100 +011001010100 +011101010100 +011101100101 +011101010100 +011101010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010011 +011101000011 +011101010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100001110101 +100101110101 +100101110101 +100001100101 +011101100100 +011101100100 +100001110101 +100001110101 +100001110110 +100001110110 +100001110101 +100001100101 +011101100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100011 +011101010011 +011001010011 +011001010011 +011101010011 +011101100011 +011101010011 +011101010011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011101100101 +011101110101 +011101100101 +011101100100 +011101100100 +011001100100 +011001100100 +011001100101 +011001100101 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100011 +001100110011 +001100110011 +001000100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +001000100010 +001100110011 +010001000011 +010001010100 +011001110111 +100010011001 +100110011001 +110010111010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010101000011 +011001010100 +011001010100 +011101010100 +011101100101 +011101010100 +011101010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101000011 +011101010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101100101 +100001100101 +100001100101 +100101110101 +100101110101 +100001110101 +011101100100 +011101100101 +100001110101 +100001110110 +100001110110 +100001110101 +100001110101 +011101100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100011 +011101010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101010011 +011101010011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +000100100010 +001000100010 +001100110011 +001100110100 +001100110011 +001000100011 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001100110011 +010001000011 +010001010101 +011001110111 +100010001001 +100110011001 +110010111010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100010 +001000100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110001 +010000110010 +010101000011 +011001000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100001100101 +100001010100 +011101010011 +100001100100 +100101110101 +100001110101 +100001100101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110101 +100001100101 +011101100100 +011001010011 +011001010011 +011101010011 +011101010011 +100001010100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +011101100100 +011101010011 +011001010011 +011001010011 +011001010011 +011101010011 +011001010011 +011101100011 +011101100011 +011101100011 +011101100011 +011101010011 +011101010011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010100 +011001100100 +011001100100 +011001100011 +011001010011 +011001100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110100 +001100110011 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +001000110010 +010001000011 +010001000011 +010101010100 +011001100110 +011101110111 +100010001000 +101110101000 +001100100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000010010 +001000100010 +001000100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +001000100001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100100001 +001100100001 +001100110010 +010101000011 +011001000011 +011001000011 +011001000011 +011101010100 +011101010100 +011101010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100001100101 +011101010011 +011001000011 +100001100100 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101010011 +011001010011 +011001010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101010011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101010100 +011101010011 +011101010100 +011101010100 +011101010100 +011101010100 +011001010011 +011001010011 +011101010100 +011101010100 +011101100100 +011101010100 +011101010011 +011101010011 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001100100 +011101100100 +011001100100 +011001010011 +011001010011 +011001010011 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100001 +001000100010 +001000110011 +001000110100 +001000110101 +001000110100 +000100110011 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +001000110010 +010001000011 +010101010100 +010101010100 +011001100101 +011001100101 +011001100101 +100001110110 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100001 +001100100010 +001100100010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +001100110010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +011001000011 +011001000011 +011001000011 +011101010100 +011101010100 +100001010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010011 +011001010011 +011001010011 +011101010100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100001100101 +011101010100 +011101010011 +100001100100 +100101110101 +100101110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101100100 +011101010100 +011001010011 +011001010011 +011001000011 +011001000011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101010100 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101010011 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101010100 +011101010011 +011101010011 +011101010100 +011101010100 +011101010100 +011001010011 +011001010011 +011101010011 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001100011 +011001100011 +011001010011 +010101010011 +010101010011 +011001010011 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000110100 +001001000110 +001101011000 +001001011000 +001001011000 +001001000111 +001001000110 +000100110100 +000100100011 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +001000110010 +010001000011 +010101010100 +011001100100 +011001010100 +011001010100 +010101010100 +011001010100 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +001000010001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010010 +001000010010 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +010000110010 +010000110011 +010000110011 +010000110010 +001100110010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +011001000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011001010011 +011001010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100101110110 +100101110110 +100001110110 +100001110101 +100001110101 +100001110101 +011101100101 +011101100100 +011001010011 +011001010011 +010101000011 +011001000011 +011001000010 +011001000011 +011101010011 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101100011 +011101100100 +100001100100 +011101100011 +011101010011 +011101010011 +011101100011 +011101100011 +011101100100 +011101100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010100 +011101010100 +011101010100 +011001010100 +011001010100 +011101010011 +011101010100 +011001010011 +011001010011 +011101010011 +011101010011 +011101010100 +011101010100 +011001010100 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +010101010011 +011001010011 +010101010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +000100100010 +001000110100 +001101011000 +001101101010 +001101111011 +001101111011 +001101111011 +001101111011 +001101101010 +001001011000 +001000110101 +001000100010 +001000100010 +001000100010 +000100100010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110010 +010001000011 +011001010100 +011001100101 +011001100100 +011001010100 +010101010100 +011001010100 +001000010001 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010010 +001000100010 +001000100010 +001000100001 +001000010010 +001000100010 +001000100010 +001000100010 +001000010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +010000110011 +010000110011 +010000110011 +001100110010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010000110011 +011001000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011001000011 +011001000011 +011101010011 +011101010100 +011101010100 +011101010100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100101110110 +100101110110 +100101110110 +100001110110 +100001110110 +100001110101 +100001110101 +011101100101 +011101100100 +011001010011 +010101000011 +010101000010 +010101000010 +011001000011 +011001000011 +011101010011 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +011101010100 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101100011 +011101100100 +100001100100 +011101100100 +011101010011 +011101010011 +011101010011 +011101100011 +011101100100 +011101100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010011 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010011 +011001010011 +011001010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001100100 +011001100100 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +011001010011 +011001010100 +011001100100 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +000100100010 +001000100011 +001101000110 +010001101010 +010010001011 +010010001100 +010010001100 +010010001100 +010010001100 +010010001100 +001101111011 +001001011000 +001000110011 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +000000010001 +000100010001 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110010 +010001000011 +011001100100 +011001100101 +011001010100 +010101010100 +010101010100 +011001010100 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100010 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100001 +001100100001 +001100100010 +010000110010 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011001000011 +011001000011 +011001000011 +011001010011 +011001010011 +011001010011 +011101010100 +011101010100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100110 +100001100101 +100001100101 +100101110110 +100101110110 +100110000110 +100101110110 +100001110110 +100001110110 +100001100101 +011101100100 +011101010100 +011001010011 +010101000011 +010101000011 +010101000011 +011001000011 +011101010011 +011101010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +011001010100 +011001010100 +011101010011 +011101010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010011 +011001010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100011 +001001000111 +001101101011 +010010001100 +010110001100 +010110011100 +010110001100 +010110001100 +010110001100 +010010001011 +001101011001 +001000110101 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +001100110010 +010001000011 +011001010100 +011001100100 +010101010100 +010101010100 +010101010100 +011001100100 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100010 +001000100010 +001000010010 +001000010010 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001100100010 +010000110010 +010101000011 +011001000011 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +011001000011 +010101000011 +010101000010 +010101000011 +010101000011 +011001010011 +011101010100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101010100 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100101 +100001100100 +100001110101 +100101110110 +100110000110 +100101110110 +100001110110 +100001110101 +011101100101 +011101100100 +011001010011 +011001010011 +010101010011 +011001010011 +011001000011 +011001010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001000011 +011001000011 +011001010011 +011001010011 +011001010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011001010100 +011001010100 +011101010100 +011101100100 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011101100100 +011101100100 +011101100100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101010010 +010101010011 +011001010011 +011001010100 +011001010100 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100110010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100011 +001001000110 +001101101001 +010010001011 +011010011100 +011010011101 +011010011101 +011010011101 +010110011100 +010010001011 +001101011001 +001000110101 +001000100011 +001000100010 +001000100010 +001000110010 +000100100010 +000100100010 +000100010001 +000100010010 +000100100010 +001000100011 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +000100010010 +000100100010 +001100110011 +010101000011 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +011001100100 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010010 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100100010 +001100100001 +001100100001 +010000110010 +010101000011 +011001000011 +011001000011 +011001000011 +011001010011 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +010101000011 +010101000010 +010000110010 +010000110010 +010001000010 +010101000011 +011001010100 +011101010100 +100001100101 +100001100101 +100001100101 +011101100100 +011001010100 +011001000011 +011101010100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100100 +011101100100 +100001100101 +100101110110 +100110000111 +100001110110 +100001110110 +100001100101 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001000011 +011001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010011 +011001010011 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101010100 +011001010100 +011101010100 +011101100100 +011101010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101010011 +011001010011 +011001010100 +010101010100 +010101000011 +010101000011 +011001010100 +011001010100 +011001010101 +011001010101 +011001010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001100110010 +010001000011 +010101000100 +010101010100 +010101010100 +010101010100 +010001010101 +010001010101 +010001000101 +010001000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000110 +001101011000 +010001111010 +010110001100 +011010001100 +011010011100 +011010011100 +010110001011 +010001111010 +001101010111 +001101000101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110100 +001101000100 +001101000100 +001101000100 +001101000100 +001000110100 +000100100010 +000100010001 +000100010001 +000100100010 +001100110011 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000010001 +000100010001 +000000010000 +000000010001 +000000010001 +000000010001 +000000010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110010 +001100110010 +001100100010 +001100100001 +001100100001 +010000110010 +010101000011 +011001000011 +011001000011 +011001000011 +011001010011 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +010101000011 +010101000011 +010000110010 +010000110010 +010101000011 +010101000011 +011001010100 +011101010100 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +011101010100 +011101010100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +011101100101 +011101100100 +100001110101 +100101110110 +100110000111 +100001110110 +100001110110 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010011 +011101010011 +011001010011 +011001010011 +011001000011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010011 +011101010100 +011101100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101010101 +011001010101 +011101010101 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101100100 +011101100100 +011101100100 +011101010100 +011101100100 +011101100100 +011101100101 +011101100101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000011 +011001010011 +011001010100 +011001010100 +010101010100 +010101010011 +011001010100 +011001010100 +011001100101 +011001010101 +011001010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001100110011 +001000100011 +001000100011 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011101100101 +011101100101 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010111 +010001101000 +010001101001 +010001111010 +010101111010 +011001111010 +010101111001 +010001101000 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001101000100 +001101000100 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001000110011 +000100100010 +000100010010 +001000100010 +001100110011 +010101010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001100100 +011001010100 +001100100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000000010000 +000000010001 +000000010001 +000000010001 +000000010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100100010 +001100100001 +001100100001 +010000110010 +010101000011 +011001000100 +011001000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +011001000011 +011001000011 +011001000011 +010101000011 +011001000011 +011001010100 +011101010100 +011101100101 +100001100101 +100001100101 +011101100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001110101 +011101110110 +100001110110 +100110000111 +100110000111 +100001110110 +100001110110 +011101100101 +011101100101 +011101010100 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001000011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +011001000011 +011001010100 +011101010100 +011101100101 +011101100101 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001000010 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010100 +011101100100 +011101010100 +011001010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101110100 +011101110101 +011101110101 +011101110100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001010100 +011001010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110010 +001000100010 +001000100010 +001000100010 +001100110011 +011001010100 +011101110101 +011101110101 +011101110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +010101100110 +010101010110 +010001010110 +001101010110 +001101010110 +001101010110 +010001010110 +010101010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +001101000101 +001101000101 +001101000100 +001101000100 +001101000101 +001101000101 +010001010110 +010001010110 +010001010110 +001101000101 +001000110011 +000100100010 +000100100010 +001000100010 +001100110011 +010001000011 +010101010100 +010101010100 +011001010100 +011001100100 +011001100100 +011001010100 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000000010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100001 +001100100001 +010000110010 +010101000011 +011001000011 +011001000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +011001000011 +011001000011 +011001010100 +011001010100 +011001010100 +011101010100 +011101010100 +011101100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110110 +100001110110 +100010000111 +100110001000 +100110000111 +100110000111 +100001110110 +011101100110 +011101100101 +011101100101 +011101010100 +011001010011 +011001000011 +011001010100 +011001010100 +011001010011 +011001010011 +011001000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +011001010011 +010101010010 +010101000010 +011001000010 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101100100 +011101010011 +011001010011 +011001100100 +011101100100 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011101100101 +011101100101 +011101100100 +011101100100 +011001100011 +011001100011 +011001100011 +011001100011 +011001100100 +011001010011 +010101010011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +011001100101 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110101 +011101110101 +011101110110 +011101110110 +011101110110 +011001100110 +010101010101 +010001010101 +001101000101 +001001000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001001000100 +001000110100 +001001000100 +001001000100 +001001000100 +001001000100 +001001000100 +001001000100 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +001000110010 +001000110011 +001101000011 +010001010100 +010101010100 +011001010100 +011001010100 +010101010100 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000100010 +001000110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000110001 +001000110010 +001000100001 +001000100010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001100100001 +001100100010 +010000110010 +010101000011 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001010100 +011001000011 +011001000011 +011001000011 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +100001010101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +011101100100 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100101110110 +100001110111 +100010000111 +100110001000 +100110000111 +100110000111 +100001110110 +011101100101 +011101100101 +011001010100 +011001010100 +010101000011 +010101000011 +011001000100 +011001000011 +011001010011 +011001000011 +010101000011 +010101000010 +010101000010 +010000110010 +010000110010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101000010 +010101000010 +011001000010 +011001000011 +011001010011 +010101000011 +010101000011 +011001010011 +011001010011 +011001010011 +011101010100 +011001010011 +011001010011 +011001100011 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100011 +011001100011 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010100 +011001010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101010100 +010101010100 +010001000011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010000110011 +011001100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011001100101 +010101010101 +010001010101 +001101000101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000011 +010001010100 +010101010100 +010101010100 +010101010100 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100100001 +000100100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000110001 +001000100001 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001010100 +011001010100 +011001000011 +010101000011 +011001000100 +011001010100 +011001010100 +011101010100 +011101010101 +011101010101 +011101010101 +011101010101 +100001010101 +100001100100 +100001100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001110101 +100101110110 +100101110110 +100001110110 +100110000111 +100110000111 +100110000111 +100101110110 +100001110110 +011001100101 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +010101000011 +010101000010 +010101000010 +011001000011 +010101000011 +010101000011 +010101010010 +011001010011 +011001010011 +011001010011 +011101010011 +011001010011 +011001010011 +011001010100 +011101100100 +011101100101 +011101110110 +011101100101 +011101100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011001100011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101010010 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101000100 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110010 +001100100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011001100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +011001100101 +010101010101 +010001010101 +010001010101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001001000011 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001101000011 +010001000100 +010001010100 +010101010100 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001100110010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000100 +011001000011 +010101000011 +010101000011 +011001000011 +011001010100 +011001010100 +011001010100 +011101010101 +011101010101 +011101010100 +011101010100 +100001010101 +100001010100 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100101110110 +100101110110 +100001110110 +100101110111 +100101110111 +100110000111 +100101110110 +011101100110 +011001010101 +010101010100 +010101000011 +010101000011 +010101000011 +010001000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010010 +011001010011 +011001010011 +011001010011 +011101100100 +011101100100 +011001100100 +011001100100 +011101100101 +011101100101 +011101110101 +011101100101 +011101100101 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010010 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010100 +011001010011 +011001010011 +010101010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101010011 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011001100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110101 +010101100101 +010001010101 +001101010101 +001101000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001001000100 +001000110011 +001000110011 +001000110011 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000110011 +001000110011 +001101000011 +001101000011 +010001000100 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110001 +001100110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +011001000011 +011101010100 +011101010100 +011101010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +100001010101 +100001010101 +011101100101 +011101010101 +011001010101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110110 +100101110110 +100001110111 +100001110111 +100110000111 +100101110110 +011101100110 +011001010101 +010101010100 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010001000010 +010101000011 +011001010101 +011001100101 +011001010100 +010101000011 +010101000010 +010101000010 +010101000011 +010101010011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001100011 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001100100 +011001010100 +011001100100 +011001100100 +011001100011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +011001010011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101010011 +010101010100 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000011 +010001000011 +010000110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001100110010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011101100101 +100001110110 +100001110110 +100010000110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011101110101 +010101010101 +001101000100 +001101000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001001000100 +001001000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001101000011 +001101000011 +001100100010 +001000100010 +001000100010 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110010 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010101000011 +010101000011 +010101000011 +011001000011 +011001010100 +011001010100 +011101010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010101 +011101010100 +011101010101 +011001010101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110110 +100101110110 +100101110111 +100101110111 +100101110110 +100001110110 +011101100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010100 +011101100111 +011101111000 +011101100110 +011001010100 +010101000011 +010101000010 +010101000011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011101100100 +011101100100 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011101100101 +100001110110 +100010000110 +100010000110 +100010000110 +100001110110 +100010000110 +100010000110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011001100101 +010001010101 +001101000100 +001001000011 +001001000011 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001001000100 +001001000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001101000011 +001000100010 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110010 +001000110010 +001000100001 +001000100001 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110001 +001100110001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100001 +001100100001 +001100110010 +010000110011 +010101000011 +010101000011 +011001000100 +011001010100 +011001010100 +011001010100 +011001000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +100001100101 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110111 +100101110110 +100101110110 +100101110110 +100101110110 +100001110110 +011101100101 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010101010010 +010101010010 +010101010011 +010101010011 +010101000011 +011001010100 +011101100110 +011101110111 +011001100110 +010101010100 +010101010011 +010101010010 +010101000010 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +011001010011 +011001010011 +011001010100 +011101100100 +011101100100 +011101100101 +011101110110 +011101110101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +010001000011 +011101100101 +100001110110 +100010000110 +100010000110 +100010000110 +100010000110 +100010000110 +100010000110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011001100101 +010001010100 +001101000100 +001001000011 +001001000100 +001001000100 +001000110100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110010 +000100100011 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001001000011 +001000010001 +001000100010 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000100010001 +000100010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110010 +001000110010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100100001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110001 +001100110001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100001 +001100100001 +001100100001 +010000110010 +010000110011 +010101000011 +011001000100 +011001010100 +011001010100 +011001000100 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101010100 +100001100100 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100110000111 +100110000110 +100101110110 +100101110110 +100101110110 +100001100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101010010 +010101010010 +011001010011 +010101010011 +010101000011 +010101000011 +011001010100 +010101010100 +010101010011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +011001010011 +011001010100 +011101100100 +011101110101 +011101110101 +011101110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +010001000011 +011101110101 +100010000110 +100010000110 +100010000110 +100010000110 +100010000110 +100110000110 +100110000111 +100010000110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011001100101 +010001010100 +001101000100 +001000110011 +001001000100 +001000110100 +001000110100 +001000110100 +001000110100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000010001 +001000100010 +001000100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110010 +001000110010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110001 +001100110001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +001100110010 +010000110010 +010101000011 +011001000011 +011001000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011101010100 +011101100101 +100001100101 +100001100101 +100001100101 +011101010100 +011101010100 +100001100101 +100001100101 +100001100110 +100101110110 +100101110110 +100101110111 +100101110110 +100101110110 +100001110110 +100001110110 +100001110110 +100001100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010000110010 +010001000010 +010101000010 +010101010010 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101010010 +011001010011 +011101010100 +011101100100 +011101110101 +011101110101 +011101110101 +011001100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010001000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010001000010 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110010 +001100110010 +001100100010 +001100110010 +010000110010 +010000110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100010 +010101000100 +011101110110 +100010000110 +100001110110 +100001110110 +100010000110 +100010000110 +100110000111 +100110000111 +100010000111 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001010100 +001001000011 +001000110011 +001000110100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000010001 +001000100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101010101 +011101100101 +100001100101 +100001100101 +011101010100 +011101010100 +011101100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110111 +100101110110 +100001110110 +011101100101 +011101100101 +100001100101 +100001100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000010 +010101010010 +010101010010 +010101010010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010000110010 +010000110010 +010000110010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101010010 +011001010011 +011001010011 +011101100100 +011101100100 +011101100100 +011101110101 +011101110100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011101100100 +011101100100 +011001100100 +011001010011 +011001010011 +011001010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110010 +010000110010 +010001000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101000011 +010001000011 +010001000011 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +010101010100 +011101110110 +100010000111 +100010000110 +100001110110 +100010000110 +100010000110 +100110000111 +100110000111 +100110000111 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001010100 +001001000011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100010010 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001100100001 +010000110010 +010100110011 +010101000011 +010101000011 +010101000011 +010101000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101010100 +010101000100 +011001010100 +011001010100 +011001010100 +011101010101 +011101010101 +011101010101 +011101010101 +011101010100 +011101010100 +011101100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110111 +100101110110 +100001110110 +011101100100 +011101100100 +100001100101 +100001100101 +011001010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +011001010100 +010101010100 +010101000011 +010101000010 +010001000010 +010000110010 +010000110010 +010001000010 +010101000010 +010101010010 +010101010011 +010101010010 +010101000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010010 +011001010011 +011001010011 +011101100011 +011101100100 +011101100100 +011101110100 +011101100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011101100011 +011001100011 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000010 +010000110010 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +010001000011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010101010100 +011101110110 +100010000111 +100010000110 +100001110110 +100010000110 +100010000111 +100110000111 +100110000111 +100010000111 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001010100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +010000110010 +010000110011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +010101000011 +010101000011 +011001010100 +011001010100 +011101010100 +011101010100 +011101010101 +011101100101 +011101010101 +011101010101 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110111 +100101110110 +100001110110 +011101100100 +011101100100 +011101100101 +011101100101 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001010101 +011001010100 +011001010100 +010101000011 +010101000011 +010001000010 +010001000010 +010101000010 +010101000010 +010101010010 +010101010010 +010101010010 +010101000010 +010101000010 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010010 +010101010010 +010101010010 +010101010010 +010101010011 +011001010011 +011001100011 +011001100011 +011101100100 +011101110101 +011101110101 +011001100100 +011001100011 +011001100011 +011001100011 +011001100011 +011001100100 +011101100100 +011101100100 +011101100011 +011001100011 +011001010011 +011001100011 +011001100011 +011001010011 +011001010011 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010001000010 +010001000010 +010001000011 +010101000011 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000011 +010001000010 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +010101010100 +011101110110 +100010000110 +100010000110 +100001110110 +100010000110 +100010000111 +100110000111 +100110000111 +100010000110 +100001110110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001010100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001100100010 +001100100010 +001000100010 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +010000110010 +010000110010 +010000110011 +010100110011 +010100110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000100 +010101000011 +010101000011 +011001010011 +011001010100 +011101010100 +011101010100 +011101010101 +011101100101 +011101010101 +011101010101 +100001100101 +100001100110 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001100101 +011101100100 +011101100101 +011101010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101000011 +010101010011 +010101010011 +011001010100 +011001010101 +011001010101 +011001010100 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101010010 +010101010010 +010101000010 +010101000010 +010101000011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101010011 +011001010011 +011001100011 +011101100100 +011101110101 +011101100101 +011001100100 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000011 +010001000010 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011101110110 +100010000110 +100010000110 +100001110110 +100010000110 +100110000111 +100110010111 +100110000111 +100010000111 +100001110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001100100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100001 +001100110001 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +011001010011 +011001010100 +011101010100 +011101010101 +011101100101 +011101100101 +011101010101 +011101100101 +100001100101 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001100101 +011101100101 +011101010100 +011101010100 +011001010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010101 +011001010100 +010101010100 +010101000011 +010001000010 +010101000010 +010101000010 +010101000010 +010001000010 +010101000010 +010101000010 +010101010010 +010101000010 +010101000010 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101010011 +011001010011 +011001100011 +011101100100 +011101110100 +011101100100 +011001100100 +011001100100 +011001100011 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010001000011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +100001110110 +100010000110 +100010000110 +100001110110 +100010000110 +100110000111 +100110010111 +100110010111 +100010000111 +100001110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100001 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000011 +011001010011 +011001010100 +011101010100 +011101010101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001100101 +011101100101 +011001010100 +011001010100 +011001010100 +010101000011 +010101000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000100 +010101010100 +010101010100 +010101010100 +010101000011 +010001000011 +010101000010 +010101000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +011001010011 +011001100011 +011001100100 +011101100100 +011101100100 +011001100100 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000011 +010001000011 +010001000011 +010001000011 +010001000010 +010000110010 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101010100 +010101010100 +010101000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010000110010 +001100110010 +001100110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100100010 +001100100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +001000100010 +001000100010 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +100001110110 +100010000110 +100001110110 +100001110110 +100001110110 +100010000111 +100110000111 +100110010111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110011 +001000110011 +001000110011 +000100110011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100010010 +000100010001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010001 +001000010000 +000100100001 +000100100000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001100110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010101000011 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001110110 +100001110110 +100101110110 +100101110110 +100001110110 +100001110110 +011101100101 +011001010100 +010101000011 +010101000011 +011001000011 +010101000011 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000011 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +011001010011 +011001100011 +011001100011 +011101100100 +011001100100 +011001100011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +010101010011 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010001000010 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010011 +010001010011 +010001010100 +010001010100 +010101010100 +010101010100 +010101010011 +010001000011 +010001000011 +010001000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000010 +010001000010 +001100110010 +010000110010 +010000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000110 +100110000111 +100110000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110010 +000100110011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +001000010001 +001000010001 +001000010001 +001000100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010101000011 +011001000011 +011001010100 +011101010100 +011101010100 +011101010100 +011101010101 +011101100101 +011101100101 +100001100101 +100001100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +011101010100 +010101000011 +010000110010 +010101000011 +010101000011 +010101000011 +010001000010 +010000110010 +010001000010 +010001000011 +010001000011 +010001000011 +010001000010 +010000110010 +010000110010 +010001000011 +010001000011 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +011001100011 +011001100011 +011001100011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +011001010101 +010101010101 +010101010101 +010101010100 +011001010100 +011001100101 +010101100101 +010101100101 +010101110101 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +010101100101 +010101010101 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000010 +010001000010 +010001000010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000110 +100010000111 +100010000110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110011 +001000110011 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100110010 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100010 +000100010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110001 +001100110001 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +010000110011 +010001000011 +010101000011 +011001000011 +011101010100 +011101010100 +011101010100 +011101010100 +011101100101 +011101100101 +100001100101 +100001100101 +100001110110 +100001110110 +100001110110 +100001100110 +100001100110 +011101100101 +011101010100 +010101000011 +010000110010 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +011001100011 +011001100011 +011001100011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +011001010100 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110110 +011001110110 +011101110110 +011101110110 +011001110110 +011001110110 +011001110110 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011101110111 +011101110111 +011101110111 +011001110110 +010101010101 +010001000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +011101100101 +011101110110 +011101110110 +011101110101 +011101100101 +011101110101 +011101110110 +100001110110 +100001110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +010101100101 +001101000011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110011 +001000110011 +001101000100 +001101000100 +001000110011 +001000110011 +001101000100 +001000110011 +001000110011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100110011 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001100110010 +001100110001 +001100100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110001 +001100110001 +001100110001 +001100100001 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000011 +011001000011 +011001010100 +011101010100 +011101010100 +011101010101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100110 +100001110110 +100001100110 +100001100101 +011101100101 +011101100101 +011001010100 +011001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000010 +010001000010 +010001000010 +010001000010 +010000110010 +010000110010 +010001000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001100011 +011001100100 +011001100011 +011001010011 +011001010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010001000010 +010001000011 +010101000011 +010101010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +011001010100 +011001010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +100010000111 +100010001000 +100010000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +011101111000 +011001100110 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001000100010 +001100110010 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101000100 +011001100100 +011001100100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010100 +011001100100 +011001100101 +011101110101 +011101110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +010101100101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001001000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100110011 +001100100010 +001000100010 +001000100001 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +001000100001 +001000100001 +001000110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010101000011 +010101000011 +011001000011 +011001000100 +011001000100 +011001010100 +011101010100 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001000100 +011001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101010100 +011001010100 +011001100100 +011001100011 +011001100100 +011001100011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000011 +010101010011 +010101100100 +010101100101 +010101100101 +011001100101 +011001100101 +011001010100 +011001010100 +011001010100 +010101010011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +011001010101 +011001100101 +011001010101 +010101010101 +010101010100 +010101000100 +010101000100 +011001010101 +011101110110 +100001110111 +100010001000 +100001110111 +100010000111 +100010001000 +100010001000 +011101110111 +011101110111 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100001111000 +011001100110 +010001000011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101000011 +010101010100 +010101010100 +010101000011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +010101100101 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +010101010100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001001000100 +001000110011 +000100100010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +001100100010 +001000100010 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011101010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +011001010100 +011001010100 +011001100100 +011001100011 +011001100011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001010100 +011001010100 +011001010100 +011001100101 +010101100101 +010101100101 +010101100101 +011001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001110110 +011001110110 +011001100110 +010101010101 +010101010100 +010001000011 +010000110011 +010101000100 +011101100110 +100001111000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +011101110111 +100010001000 +100110001000 +100110001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100110001001 +100001111000 +011001100110 +010001000011 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011001010101 +010001000100 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000110011 +010001010100 +011001100101 +011101110110 +011101110110 +011101110110 +011101110110 +011001110101 +010101010100 +001101000011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001101000100 +001101000100 +001101010101 +001101010101 +001101000100 +001000110011 +001000110011 +001000100010 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +001100100010 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100001 +001100100001 +001000100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011101010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +011001010100 +011001010100 +011001100100 +011001100011 +011001100011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001100100 +011001100101 +011001100101 +011101100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011001110110 +011001110110 +011001110110 +011001110110 +011110000111 +011110000111 +100010011000 +100010011000 +100010011000 +100010011000 +100010001000 +011110001000 +011110000111 +011110001000 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011101110111 +011001110110 +011001100110 +010101010101 +010101010101 +011001100110 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100010001000 +011101110111 +011001100110 +011101110111 +100010001000 +100110001000 +100110001001 +100110001000 +100110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100110001001 +100001111000 +011001100110 +010001000011 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011001100101 +010101010100 +001101000011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +010101100101 +011001110110 +011101110110 +011101110110 +011101110110 +011001110101 +010101010100 +001101000011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001000110011 +001101000100 +001101000100 +010001010101 +001101000101 +001101000100 +001000110011 +001000110011 +001000100010 +001000100010 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001000100010 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +011001100101 +011001010100 +011001010100 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101010100 +011001010100 +011001100100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011101100101 +011101110110 +011101110101 +011101110101 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +100010001000 +100010011000 +100010011000 +100010011000 +100010011000 +100010011000 +100010011000 +100010011000 +100010011001 +100010011000 +100010011000 +100010011000 +100010011000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100111 +011001110111 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +011101110111 +100010001000 +100110001001 +100110001001 +100110001001 +100110001000 +100110001000 +100010001001 +100010001001 +100110001001 +100001111000 +100010001000 +100010001000 +100110001001 +100001111000 +011001100110 +010001000011 +001100110010 +001100110010 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +001000010010 +001000010010 +001000010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011001100101 +010101010100 +001101000011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001101000100 +010001010101 +011001100110 +011101110110 +011101110110 +011101110110 +011101110101 +010101010100 +001001000011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001101000100 +001101000100 +001101000100 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +011001100101 +011001100100 +011001010100 +011001010100 +010101010100 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010001000011 +010001000011 +010001000010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011101100110 +011101110110 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011000 +100010011000 +100010011000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100111 +011101110111 +100001111000 +100001111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100111 +011101110111 +100010001000 +100110001000 +100110001001 +100110001001 +100110001000 +100110001000 +100110001000 +100110001001 +100110001001 +100010001001 +100010001001 +100010001001 +100110001001 +100001111000 +011001100110 +010001000011 +001100110010 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011001100101 +010001010100 +001101000011 +001000110011 +001000110011 +000100110011 +000100100010 +001000110011 +001001000011 +001101010101 +010101100101 +011001110110 +011101110110 +011101110110 +011101110101 +010001010100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010010 +001000100010 +001000010010 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +001000100001 +000100010001 +000100010000 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100001 +001000100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000100 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010000110010 +010001000010 +010101000011 +010101000011 +010101010011 +011001010100 +011001010011 +011001010011 +011001100011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011101100110 +011101110110 +011001100101 +011001010100 +010101010011 +010101010011 +010101010011 +011001010100 +011101100110 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100110001000 +100110001000 +100010001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001000 +100001111000 +011001100111 +011001100110 +011101110111 +100001111000 +100001111000 +100001111000 +100010001000 +100010001000 +100010001000 +011101111000 +011001110111 +011101111000 +100010001000 +100110001000 +100110001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +011110001000 +011101111000 +011001100110 +010001000100 +010001000100 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010101 +011001100101 +011001100101 +010001000100 +001000110011 +001000110011 +000100110011 +000100110010 +000100100010 +001000100010 +001000110011 +001101010101 +010001010101 +010101100110 +011001110110 +011101110110 +011001110101 +010001010100 +001001000011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +010101010100 +010101010100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101010011 +010101010100 +011001010100 +011001100100 +011001100100 +011001100011 +011001010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001100100 +011001100110 +011101110110 +011001100101 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +011101100101 +100010000111 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100010001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100001111000 +011101110111 +011001100110 +011001100110 +011001100111 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011101111000 +011101111000 +011101111000 +100001111000 +100001110111 +100001110111 +100001111000 +100110011001 +101010101010 +100110011010 +100010011001 +100010011010 +100110011010 +100110101010 +100010011010 +100010011010 +100010001001 +011101111000 +011001111000 +011001110111 +011001100111 +011001100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101010110 +010101010110 +010101010101 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +011001010101 +011101100101 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100110010 +001001000011 +001101010100 +010001010101 +010101100101 +010101100110 +011001110110 +011001100101 +010001010100 +001001000100 +001000110011 +001000110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001100100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000110001 +001000110001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100000 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100001 +001100100001 +001100100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010100 +011001100100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011001100110 +011101110110 +011001100101 +010101010011 +010101000011 +010101000011 +010101000010 +010101000011 +011101100101 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001000 +100110001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100001111000 +011101100110 +010101010101 +010101010101 +010101100101 +011001100110 +011001100110 +011001100110 +011001100111 +011101111000 +011101111000 +011101111000 +011101111000 +011101110111 +011101100110 +011101100101 +100001110111 +101010101010 +101110111100 +101010101011 +100110011010 +100110011010 +101010111100 +101111001101 +101010111101 +101010111100 +101010111100 +100110101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110011011 +100010011010 +100010001010 +011110001001 +011110001010 +011110001001 +011101111001 +011001111000 +011001100111 +011001100110 +011001100110 +011001100110 +010101010101 +010001000100 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +011001100101 +011101110110 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100110010 +001001000011 +001101010100 +010001010101 +010001100101 +010101100101 +011001100110 +010101100101 +010001010100 +001001000011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001100100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000000000000 +000000010000 +000000010000 +000100010000 +000100100001 +000100100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000100 +011001010100 +011001010100 +011001010101 +011001010100 +011001010100 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010010 +010101000010 +010101010010 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001100101 +011101110110 +011101110110 +011001100101 +010101010100 +010101000011 +010101000011 +010101000011 +010101010011 +011101100110 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001000 +100110001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100001111000 +011101100111 +011001100101 +010101010101 +010101010101 +011001100110 +011001100110 +011001100110 +011001100111 +011101110111 +011101111000 +011001111000 +011001110111 +011001100110 +011001010101 +010101010100 +011101110111 +101010101011 +101111001101 +101010111100 +100110101010 +100110101011 +101010111100 +101111001101 +110011011110 +110011001110 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101010111101 +101010111100 +100010011011 +100010001010 +011110001010 +100010001010 +011110001001 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011001100111 +010001000100 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011001100101 +011101110110 +011001100101 +010001000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110010 +000100110010 +000100110010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001100100010 +001100100010 +001000010001 +001000010001 +001000010001 +001000010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100100010 +001000100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010100 +011001100100 +011001100100 +011001010011 +010101010011 +010101000010 +010101000010 +010101000010 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001100101 +011101110110 +011101110111 +011001100101 +010101010100 +010101010100 +010101010011 +010101010011 +011001010100 +011101100110 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100010001001 +100010001001 +011110001001 +011101111000 +011001111000 +011101111000 +011101110111 +011101110111 +011101111000 +100010001001 +100010001001 +011101111000 +011001110111 +011001100111 +011001100110 +011001010110 +011101110111 +100110011010 +101010111011 +101010101011 +100110101011 +101010111100 +101111001101 +110011011110 +110111011110 +110111011110 +110111011110 +110111101110 +110111101110 +110011011110 +101111001101 +101110111100 +101010111100 +101010111100 +100110101011 +011110001001 +011001110111 +010101100111 +011001100111 +011001100111 +011001110111 +011101111000 +011110001000 +100010001001 +011110001000 +011001100111 +010001000100 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001100110011 +001100110011 +001100110011 +010001000100 +011001100101 +011101110110 +011001100101 +010001000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010101 +010001010101 +010001010101 +010001010100 +010001010101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110010 +000100110010 +000100110010 +000100110010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010001 +000000010001 +000000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001100100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +011001010100 +011001100100 +011001100100 +011001010011 +010101010011 +010101000010 +010001000010 +010101000010 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011101110110 +011101110111 +011101100110 +011001100101 +011001100101 +011001100101 +011001010101 +011001100101 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100110001000 +100110001000 +100110001001 +100010001000 +100010001000 +100010001000 +100010001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110011001 +100110011001 +100110011001 +100110011010 +101010101010 +101010101011 +101010101011 +101010101011 +100110101011 +100110011011 +100010011010 +100010011010 +100010011010 +100110011011 +100110101011 +100110011011 +100010011010 +011110001001 +011101111000 +011101111000 +011101111000 +011101111000 +100010011001 +100110101011 +100110101011 +101010101100 +101111001101 +110011011101 +110011011110 +110111011110 +110111011110 +110111101110 +110111101110 +110111011110 +101111001101 +100110101011 +100010011010 +100010011010 +100010011001 +100010001001 +011001110111 +010101010101 +010001010100 +010001010101 +010001010101 +010101100110 +011101110111 +100010001001 +100010001001 +011110001000 +011001100111 +010001000100 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010001000100 +011001100101 +011101100110 +011001100101 +010001000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100110010 +001001000100 +010001010110 +010001010110 +001100110011 +001100110011 +001101000100 +010001010100 +010001010100 +001101010100 +001101000100 +001101000100 +001101000100 +001001000100 +001001000100 +001001000100 +001001000100 +001001000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +011001010011 +011001010100 +011001100100 +011001010011 +010101010011 +010001000010 +010001000010 +010101000010 +010101000011 +010101010011 +010101010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011001100110 +011101110110 +011101100110 +011001100110 +011001100110 +011101100110 +011101100110 +011001100110 +011101110110 +011101110111 +100001111000 +100001111000 +100001111000 +100001111000 +100001111000 +100001111000 +100010001000 +100010001000 +100010001000 +100010001000 +100001111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100110011001 +100110011010 +100110011010 +100110011010 +101010101011 +101010111011 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +100110111100 +100110101100 +100110101100 +100110111100 +100110111100 +100110101100 +100010011011 +100010011010 +100010011010 +011110001010 +011110001001 +100010011010 +100010011010 +100110101011 +100110101011 +101010111011 +101110111100 +101111001100 +101111001100 +101111001100 +101111001100 +101111001101 +101010111100 +100110101010 +011110001000 +011110001000 +100010001000 +100010001001 +011110001000 +011101110111 +010101100110 +010101010101 +010101010101 +010101010101 +011001100110 +011110001000 +011110001001 +011110001000 +011101111000 +011001100110 +010001000100 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100110010 +010001000100 +011001100101 +011001100110 +010101100101 +010001000100 +001000110011 +000100100011 +001000110011 +000100100010 +000100100010 +001101000100 +010101100110 +010001010110 +001000100010 +000100010001 +001000100010 +001000110010 +001101000011 +010001100110 +010101100111 +010001100110 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001100110100 +001100110011 +001000110011 +001000110011 +001101000100 +001001000100 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001100100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010101000100 +010001000011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +011001100101 +011001100101 +011001100100 +011001010011 +011001010011 +011001010011 +010101010011 +011001010011 +011001100100 +011001100101 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100110 +011001100110 +011001100110 +011101110110 +011101110110 +011101110111 +011101111000 +100010001001 +100010011001 +100010011010 +100110011010 +100110101011 +101010111100 +101010111011 +100110101011 +100110101011 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111101 +101010111101 +100110111100 +100110101100 +100110101100 +100110101100 +100110101011 +100010011010 +011110001001 +100010001001 +100010011010 +100110011010 +100010011010 +100010011001 +011110001000 +011001110111 +011001110111 +011001110111 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010001000100 +010001000101 +010101100110 +011001110111 +011001110111 +011001100111 +010101100110 +010001010101 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +010001010100 +011001100101 +011101100110 +010101100101 +010001000100 +001000110011 +001000110011 +001000110011 +001000110011 +000100110010 +001101000100 +010101100111 +010001010110 +001000100010 +000100010000 +000100010000 +000100010001 +001100110011 +011001110111 +011110001000 +010101100111 +001101000100 +001000110011 +001100110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001101000101 +001101000101 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010010 +000000010001 +000100100010 +001000110011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +010101010100 +011001100110 +011001100110 +010101000100 +001100110010 +001100110010 +001100110001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101000011 +010101000010 +010101000011 +010101010011 +010101010100 +011001100101 +011001100101 +011001100100 +011001010011 +011001010011 +011001010011 +011001010011 +011101100100 +011101110101 +011101110110 +011101100110 +011101100101 +011101110110 +100001110110 +011101110110 +011101110110 +011101100101 +011001010101 +010101010100 +010101010100 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011101100110 +011101110111 +011101100110 +011001010100 +010101010100 +010101000100 +010001000100 +010001000011 +010001000100 +010101010100 +010101010101 +011001100110 +011101110111 +011110001000 +100010011001 +100010011010 +100110101011 +101010111100 +101010111011 +100110111011 +101010111100 +101010111100 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101110111101 +101010111100 +100110101100 +100110101100 +101010111100 +101010111101 +101010111100 +100110101011 +100010001001 +100010001000 +100010001000 +100010011001 +100010001001 +011110001000 +011001110110 +010101010101 +010101010101 +010101010101 +010101010101 +010101100110 +011001110111 +011110001000 +100010001001 +100010011001 +100010001001 +011001110111 +010101100110 +010001010101 +010001000100 +001101000100 +001101000100 +010001010101 +010001010110 +010001010101 +010001010101 +010001010101 +001101000100 +001100110011 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010101000100 +011001100101 +011101100110 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +010001010101 +010101100111 +010101100110 +001000100011 +000100010001 +000100010001 +000100010001 +001100110011 +011001111000 +011110001001 +010101100111 +001000100010 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100010 +001101000101 +010001010110 +001000110100 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010010 +000000100010 +001000110011 +001000110011 +001000100011 +001000110011 +001101000100 +001101000100 +001000110100 +001100110100 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010101000100 +010101000100 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100110 +011101111000 +011101111000 +011001100110 +010000110011 +001100110010 +001100100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +011001100101 +011001100110 +011001100101 +011001010100 +011001010011 +011001010011 +011001010011 +011001010100 +011101100100 +011101100101 +011101100101 +011001100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +010101010101 +010101010100 +010101010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010101 +011101100110 +011101110110 +011101100110 +011001010100 +010101000011 +010000110011 +010000110011 +010001000011 +010001000100 +010101010101 +011001100110 +011101110111 +011110001000 +011110001001 +100010011010 +100110101011 +101010111100 +101110111100 +101111001100 +101111001100 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101110111100 +101010111100 +101010111100 +100110101011 +011110001001 +011110001001 +100010011010 +100110101011 +100110101011 +100110011010 +100010001001 +100010001000 +100010001000 +100110011001 +100010001000 +011101111000 +011101110111 +011001100110 +010101010101 +010101010101 +010101010101 +011001100110 +011101110111 +011110001000 +011110001000 +011110001000 +011101111000 +011001100111 +010101100110 +010101010101 +010001010101 +010001000101 +010001010101 +010001010110 +010101010110 +010101010110 +010101100110 +010101100111 +010101010110 +010001000100 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +001000010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011001100101 +011101100110 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +000100100010 +001000110011 +010101100110 +011001111000 +011001111000 +001101000101 +001000100010 +000100010000 +000100010000 +001100110011 +011001111000 +011110001010 +010101100111 +000100100001 +000100010000 +000100010000 +000100010000 +000100000000 +000000000000 +000000000000 +000100000000 +000100010000 +000100000000 +000000000000 +000100100010 +001101000101 +010001010110 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010010 +000000010010 +000100100010 +001000110011 +001000110011 +000100100011 +001000110100 +001101000101 +001100110100 +000100100010 +001000110011 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000010001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010000110011 +010101010101 +011001100110 +011001100110 +010101010100 +010101000011 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +011001100110 +011101111000 +011101111000 +011001100110 +010101000100 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +011001010100 +011001010100 +011001100101 +011101110110 +011101110110 +011001100101 +010101010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001100101 +011101100101 +011001100101 +011001100110 +011001110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011101111000 +011101110111 +011101110111 +011101110110 +011101100110 +011101100101 +011001100101 +011001100101 +011001100101 +011001100110 +011101110111 +011101110111 +011001010101 +010101010100 +010101010101 +010101010101 +011001100110 +011001100111 +011101111000 +011110001000 +011110001001 +011110001001 +100010001001 +100010011001 +100110101010 +101010111100 +110011001100 +110011001101 +110011001101 +110011001101 +101111001101 +101111001100 +101110111100 +101010111011 +100110101011 +100110011010 +100010011001 +011001110111 +010101010101 +010101010101 +011001100110 +011001100110 +011001110111 +011110001000 +100010001000 +100010001000 +100010011001 +100110011001 +100010011001 +011101110111 +011001100110 +011001100101 +010101010100 +010001000100 +010001000100 +010101100110 +011001100111 +011001110111 +010101100111 +010101100110 +010101100110 +011001100110 +011001100110 +010101100110 +010101100110 +010101010110 +010101010110 +010101100111 +011001110111 +011101111000 +011110001000 +011110001000 +011001110111 +010001010100 +001100110010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010101000100 +011001100101 +011101100110 +010101100101 +001101000011 +001000110011 +001000110011 +000100100010 +000100100010 +001000110011 +010001100110 +011110001000 +011110001001 +011001111000 +010101100110 +010001000100 +001000100001 +001101000100 +011001111001 +011110001010 +010101010110 +000100100001 +000100010000 +000100010001 +000100010000 +000100000000 +000000000000 +000000000000 +000100000000 +000100000000 +000100010000 +000100010000 +001000100010 +001101010101 +010001010110 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010010 +000100100010 +001000110100 +001000110011 +000100110011 +001001000100 +001101000101 +001000100011 +000000000000 +000000000000 +001000100010 +001000100010 +001000010001 +001000010001 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +001000010001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010001000011 +011001100111 +011110001001 +011101111000 +011001100101 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001100110 +011001100110 +011101110111 +011101110110 +011101100110 +011001010101 +010101010100 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +011001010100 +011001100100 +011101100110 +011101110111 +011101110111 +011001100101 +011001100100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +011001100101 +011001100110 +011001110111 +011101111000 +011110001001 +100010011010 +100010011010 +100010011011 +100010011011 +100010011010 +100010011010 +100010011010 +100010001001 +011110001000 +011101110111 +011001110110 +011001110110 +011001110110 +011001110111 +011101111000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101111000 +011110001001 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +100010011001 +101010111011 +110011001100 +110011001101 +101111001100 +101110111100 +101010111100 +101010101011 +100110101010 +100010011010 +100010011001 +011110001000 +011101111000 +011001100110 +010101010100 +010101010100 +010101010100 +010001010100 +010101100101 +011101110111 +100010001000 +100010001000 +100010011001 +100110011001 +100010001000 +011101110111 +011001100110 +010101010101 +010001000100 +001100110011 +001101000100 +010001010101 +010001010101 +010001010101 +001101000101 +010001000101 +010101100110 +011001110111 +011101110111 +011001110111 +011001100110 +010101100110 +010101100110 +011001110111 +011110001001 +100010011001 +100010011001 +100010011001 +011101111000 +010001010101 +001000110010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010010 +001000100010 +000100010001 +001000100010 +001000100010 +001100100010 +010101010100 +011001100101 +011101100110 +010101100101 +001101000011 +001000110011 +001000110011 +000100100010 +000100100010 +001000110011 +010001010101 +011001110111 +011110001001 +100010011010 +100010001010 +011110001000 +010101100110 +010101100110 +011110001001 +011110001010 +010001010110 +000100100010 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000000010000 +001000100010 +010001010101 +001101010101 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010010 +000100100010 +001000110100 +001000110011 +001000110011 +001101000100 +001101000101 +001000100011 +000000000000 +000000000000 +001100100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010001000011 +010101010101 +011101111000 +100010011001 +100010001001 +011101110110 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100110 +011101110111 +100010000111 +011101110111 +011001100110 +010101000100 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +011001100100 +011001100101 +011101100101 +011001100110 +011001100101 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011001100110 +011001110111 +011101110111 +011110001000 +011110001001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +011110001000 +011110000111 +011110000111 +011110000111 +011110001000 +011110001000 +100010001001 +100010011001 +100010001001 +011110001000 +100010001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101110111 +011101111000 +011110001000 +100110011001 +101110101011 +101110111011 +101010111011 +100110101011 +100110101011 +100110011010 +100010011010 +100010011001 +011110001001 +011110001000 +011101111000 +011001100110 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +011101111000 +100010001001 +100010001001 +100010001000 +100010001000 +011101110111 +011101110110 +011001100110 +010101010101 +010001000101 +001101000100 +010001000100 +010001010101 +010001010110 +010001010101 +010101010110 +010101100111 +011101111000 +011110001000 +011110001000 +011101110110 +011001100110 +010101100110 +010101100101 +011001110111 +100010001001 +100110011010 +100010011010 +100010011001 +011101111000 +010001010100 +001000110010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001100110010 +010101010100 +011001100101 +011101110110 +010101010101 +001101000011 +000100110011 +000100100010 +000100100010 +000100100010 +000100110010 +001001000100 +010001010101 +011001110111 +011110001001 +100010011010 +100110011010 +100110011010 +100010011010 +100110101011 +100010011010 +010101110111 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001000110100 +001000110011 +001100110100 +001100110100 +001100110011 +001100110011 +010001000101 +010001010110 +010001010110 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100010 +001000110100 +001000110100 +001000110011 +001101000101 +010001000101 +001000100010 +000000000000 +000000000000 +001100100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +010001000011 +011001010101 +011001110110 +011110001000 +100010011010 +100010001001 +011101110111 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010101010101 +011001100110 +011101110111 +100010001000 +100010001000 +011101110111 +010101010101 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000010 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +010101010100 +010101010100 +010101010011 +010101010100 +011001010100 +011001100100 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100100 +011001010100 +011001010100 +011001100100 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011101111000 +011101110111 +011001110111 +011101110111 +011101110111 +011110001000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011101110111 +011001100110 +010101100101 +010101010100 +010001000100 +010101010100 +011001100110 +011001110111 +011101111000 +011101110111 +011001110110 +011001100110 +011001100110 +011001100110 +011001110110 +011001100110 +010101010101 +010001000101 +010101010110 +010101100111 +011001110111 +011001110111 +011101111000 +011110001000 +100010001001 +100010001001 +011110001000 +011101110111 +011001100110 +011001100110 +011001100110 +011101110111 +100010011001 +100010011010 +100010011001 +100010001001 +011001110111 +010001000100 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001100110010 +010101010100 +011001100101 +011101100110 +010101010101 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010101100110 +011001111000 +100010011001 +100110011010 +100110101011 +100110101011 +100010011010 +011001111000 +010101101000 +010101101000 +010101101000 +010101100111 +010101100111 +010101100111 +010001100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100011 +001101000101 +001101000101 +001101000101 +001101000110 +010001000101 +000100100010 +000000000000 +000000000000 +001100100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110010 +001100110010 +010000110010 +010000110011 +001100110010 +001100110010 +001100100010 +001100100010 +010000110011 +010101010100 +011101100110 +011101110111 +100010001001 +100010011010 +100010001001 +011101110111 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011001110111 +011001100111 +011001110111 +011001100111 +011001100110 +011001110111 +011110001000 +100010001001 +100010011001 +011110001000 +011001100110 +010000110011 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010101000010 +010101000011 +010101010011 +011001010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001100101 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010101 +011001100101 +011001110111 +011101111000 +011101110111 +011001100110 +010101010101 +010101010101 +010101010101 +010101100101 +011101110111 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011001100111 +011001100110 +011001100110 +010101010101 +010001000100 +001100110011 +010001000011 +010001000100 +010101010101 +010101010101 +010101010100 +010001000011 +001100110011 +010101010100 +011001100110 +011101110111 +011001100110 +010101010101 +010101010101 +010101100110 +011001110111 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +011101110111 +011001100110 +011001100110 +010101010101 +010101100101 +011001110111 +011101111000 +011110001000 +011101111000 +011001110111 +010101010101 +001100110011 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +000100010010 +001000010010 +001000010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +011001100101 +011101100110 +010101010101 +001100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001000110011 +001101000100 +010001010101 +010001100101 +010101100110 +010101110110 +011110001000 +011110001001 +011110001001 +011001111000 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001100111 +010101100111 +010001010110 +001101000101 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +000100110011 +001101000101 +001101010110 +001101010110 +010001010110 +010001010110 +001101000100 +000100010001 +000000000000 +001000010001 +000100010001 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000010001 +001000010001 +001000100001 +001000100001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +011001010101 +011101110110 +011110001000 +100010011001 +100010011010 +100010001001 +011101110111 +011101110110 +011101100110 +011001100110 +011001100101 +011001100110 +011001100110 +011001110111 +011101110111 +100010001000 +100010011001 +100010011001 +100010011001 +100010001000 +011101110111 +011001110110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +100010011001 +100110011001 +100110011010 +100010011001 +011001110110 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110010 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010100 +011001100101 +011101110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010100 +011001010101 +010101010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101010100 +011001100110 +011101110111 +011101110111 +011001100101 +010101010100 +010101010100 +010101010100 +010101010100 +011001110110 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011001100110 +010001000100 +010001000100 +010101010101 +010101010101 +010001000011 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +011001100101 +011101110111 +011101110111 +011001100110 +010101010101 +010101010101 +010101100110 +011001110111 +011110001001 +100010011001 +100010011010 +100010011010 +100010011001 +011110001000 +011101110111 +011001100110 +010101010100 +010001000100 +010001000100 +010101010101 +010101100110 +010101010110 +010101010101 +010101010101 +010001000100 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +000100010010 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100110 +011101100110 +010101010101 +001100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010001100101 +010001100101 +010001010101 +001101010101 +001101000100 +001001000100 +001001000100 +001001000100 +001001000100 +001101000100 +001101000100 +001101000100 +001001000100 +001001000100 +001000110100 +001001000100 +001001000100 +001001000100 +001000110100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000100010 +000000010001 +000000010001 +000000010010 +000100110011 +001101000101 +001101000101 +001101000101 +010001010110 +010101100111 +010101010111 +010001000101 +001100110100 +000100010001 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000010000 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100101 +011101110110 +011110001000 +100010001001 +100010011010 +011110001000 +011101110111 +011101110110 +011101110110 +011001100110 +011001100110 +011001100110 +011101110111 +011110001000 +100010001001 +100110011001 +100110011010 +100110101010 +100110011010 +100010011001 +011101110111 +010101100101 +011001110111 +100010001001 +100010011001 +100010011001 +100010011001 +100110011001 +100110101010 +100110101010 +100110011001 +011101110111 +010000110011 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +011001010100 +011101100110 +100001110111 +100010000111 +100001110110 +011101100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100110 +011101110110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +010101010100 +010101000100 +010101010100 +011001100110 +011101110111 +011101110111 +011001100101 +010101010100 +010101010100 +010101010100 +010101010100 +011001110110 +100010001000 +100010011001 +100010001001 +100010001000 +011110001000 +011101110111 +011001110110 +010101010100 +001100110011 +010001000100 +010101010101 +010101010101 +010001000100 +001100110010 +001100110010 +010000110011 +010001000011 +010101010100 +011001100110 +011001100110 +011001110111 +011101111000 +011101111000 +011101110111 +011101100110 +011001100101 +011001100101 +011001100110 +011110001000 +100010011001 +100010011010 +100010011010 +100010011010 +100010001001 +011101110111 +011001100110 +010101010101 +010001000100 +001100110010 +001100110011 +001101000100 +010001000100 +010101010101 +010101100110 +010101100110 +010001000100 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010101 +011001100110 +011001100101 +010101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110010 +000100110011 +000100110011 +000100110010 +000100110010 +000100110010 +000100110011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000100010 +000000010001 +000000010001 +000000010010 +000100110011 +001000110100 +001000110100 +000100100011 +001101000100 +010001010110 +010101100111 +010101100111 +010101100111 +000100010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +000100010000 +000100010000 +001000010000 +000100010000 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001100100010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100101 +011101110111 +100010001000 +100010001001 +100010011001 +011110001000 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011101110111 +100010001001 +100010011001 +100110011001 +100110011001 +100110101010 +101010101010 +100110101010 +100110011001 +011101110111 +010001010100 +011001100110 +100010011001 +100110011010 +100110011001 +100110011010 +100110101010 +100110101010 +100110101010 +100110011001 +011101110111 +010000110011 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011101110110 +100010000111 +100010000111 +011101110110 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +011001100101 +011101100110 +011101100101 +011001010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +010101010100 +011001010100 +011001100110 +011101110111 +011101110111 +011001100110 +010101010100 +010101000100 +010101000100 +010101010100 +011001100110 +011101110111 +011101111000 +011101110111 +011001100110 +011001100110 +010101010101 +010101010100 +010101010100 +010101010101 +011001100110 +011001100110 +011001100101 +010101010100 +010001000011 +001100110011 +010101010100 +011001100110 +011101110111 +011110001000 +100010001001 +100010011001 +100010011001 +011110001000 +011101110111 +011001100110 +011001100101 +011001100101 +011001100110 +011101111000 +011110001001 +011110001001 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +010101100101 +010001010100 +001100110011 +001100110011 +010001010101 +011001100111 +011101110111 +011110001000 +011101111000 +011001100110 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010010 +001000100010 +001100110011 +011001100101 +011101110110 +011001100101 +010101010100 +001000110011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100011 +001000110011 +001101010100 +010001010101 +010001100101 +010001100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +001000110011 +001000110100 +001000110011 +000100100010 +000100100010 +001000110100 +001101010101 +010101100111 +010101100111 +000100010001 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100101 +100001110111 +100010001000 +100010001001 +100010011001 +011110001000 +011101111000 +011101110111 +011101110111 +011101110111 +011001110111 +100010001000 +100110011001 +100110011010 +100110011001 +100110101010 +101010101010 +101010101010 +101010101010 +100110011010 +011101110111 +010001010100 +011001100110 +100110011001 +100110101010 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +100110011001 +011101110111 +010000110011 +001100100010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010001000010 +010101010100 +011101110110 +100010001000 +100010000111 +011101110110 +010101000011 +010000110001 +001100110001 +010000110001 +010000110001 +001100110001 +001100110010 +001100110010 +001100110001 +001100110001 +001100110001 +010000110010 +010000110010 +010000110010 +011001010100 +011101100101 +011001100101 +010101000011 +010000110010 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001100110 +011001100110 +011001100110 +011001100110 +010101010100 +010001000011 +010000110011 +010001000011 +010101010100 +010101010101 +010101010100 +010001000100 +010000110011 +010000110011 +010001000011 +010101010100 +011001100110 +011101110111 +011101110111 +011001110110 +011001100110 +010101010101 +010101010100 +010001000100 +011001100110 +011110001000 +100010011001 +100010011010 +100110101010 +100110101010 +100010011001 +011110001000 +011001110111 +011001100101 +011001010101 +010101010100 +010101010101 +011001100110 +011001110111 +011001100110 +011001100110 +011101100110 +011101110110 +011101110110 +011101110110 +011001100110 +010101100101 +010001000100 +010001010100 +011001100111 +011110001000 +100010011001 +100010011001 +100010001001 +011001110111 +010001000011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011001100110 +011101110110 +011001100101 +010101010100 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001101010100 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010010 +001000110011 +001000110100 +001000110011 +000100100010 +000100100010 +000100110011 +000100110011 +001000110100 +001101000101 +001000010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +010000110011 +010001000011 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100101 +100001110111 +100010001000 +100010011001 +100010011001 +011110001001 +011110001000 +100010001000 +100010001000 +011101110111 +011101110111 +100010001000 +100110011010 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101010 +100110101010 +011101111000 +010001010100 +011001100110 +100010011001 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101010 +100110011010 +011101110111 +010000110011 +001100110010 +010101010100 +010101010100 +010101000100 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010001000010 +010101010100 +011101110110 +100010001000 +100010000111 +011101100110 +010101010100 +010001000010 +010001000010 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110001 +001100110001 +001100110001 +001100110001 +010101010100 +011101100101 +011001010100 +010000110010 +001100110001 +001100100001 +001100110001 +001100110001 +001100110001 +001100100001 +001100110001 +001100110001 +010000110001 +010000110010 +010000110001 +010000110010 +010000110010 +010001000010 +010101000011 +010101010100 +011001010100 +011001100101 +010101010101 +010101010100 +010101010101 +011001100110 +010101010101 +010001000011 +001100110010 +001100110010 +010000110011 +010000110011 +010000110010 +001100110010 +010000110011 +010101000011 +010101010101 +011101110110 +011110001000 +011110001000 +011110001000 +011101110111 +011001100110 +011001100110 +010101010101 +010101010101 +011001110111 +100010001001 +100110011010 +100110101010 +100110101010 +100110101010 +100010011001 +011101111000 +011001100110 +011001100101 +010101010100 +001100110010 +001100110010 +010001000011 +010001000100 +010001000100 +010001000100 +011001100101 +011101110110 +011101110110 +011101110110 +011001110110 +011001100110 +010101100101 +010101100101 +011001110111 +100010011001 +100110101010 +100110101010 +100010011001 +011001110111 +010001000011 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011001100110 +011101110110 +011001100101 +010001010100 +001000110011 +001000110011 +000100100010 +001000110011 +001000110011 +001000110011 +001001000100 +001101010100 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100110010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +001000110011 +001000110100 +001000110011 +000100100010 +001000100011 +001000110011 +000100110011 +000100110011 +000100110011 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +010001000101 +010101100111 +010101010110 +010001000100 +001100110010 +001100110010 +001100110010 +010000110011 +011001100101 +100001110111 +100010001000 +100010001001 +011110001001 +011110001000 +011110001000 +100010001000 +100010001000 +011101110111 +011101110111 +100010001000 +100110101010 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101010 +100110101010 +011101111000 +010001000100 +010101100110 +100010011001 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101011 +100110011010 +011101110111 +010001000011 +010101010100 +011101110111 +011101110111 +011001100110 +010101000100 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100001 +001100100010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000011 +010001000011 +010000110010 +010101010011 +011101110110 +100010000111 +100010000111 +011101110110 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +011001100101 +011101100110 +011001100101 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100100001 +001100100001 +010000110001 +010000110001 +010000110010 +010101000011 +011001010100 +011001100101 +010101010101 +010101010100 +011001010101 +011001100110 +010101010101 +010001000011 +001100110010 +010000110010 +010001000011 +010101000011 +010101010100 +010101010101 +011001100110 +011101110110 +011101110111 +100010001000 +100010001001 +100010001000 +011110001000 +011101110111 +011001100110 +011001100110 +011001100101 +011001100110 +011101110111 +100010011001 +100110011010 +100010011010 +100010011001 +100010011001 +011110001000 +011001110111 +011001100110 +010101010101 +010001000011 +001100100010 +001000100001 +001100110011 +010001000101 +010101010101 +011001100110 +011101110111 +100010000111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100101 +011001100110 +011110001000 +100010011001 +100010011010 +100010011001 +011110001000 +011001100110 +001100110011 +001100100010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011001100110 +011101110110 +011001100101 +010001010100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101010100 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100110010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000100010 +001000110011 +001001000100 +001000110011 +000100100010 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000100001 +001000010001 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +001000100001 +001100110011 +001000110010 +001000100001 +001000100001 +001000110010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001000100001 +001100110011 +010101100111 +011001111001 +011001111000 +010001010101 +001100110010 +010000110010 +010000110010 +010001000011 +011001100101 +100001110111 +100010001000 +100010001001 +011110001001 +011101111000 +011101111000 +100010001000 +100010001000 +011110000111 +011101110111 +100010001000 +100110101010 +101010101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101010 +100110101010 +011101111000 +010001010100 +010101100110 +100010011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +101010101011 +100110101010 +100010001000 +011001100110 +011101110111 +100010001001 +100010011001 +100001111000 +010101010101 +010000110011 +010000110011 +010001000011 +010001000011 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010101010011 +011101100110 +011110000111 +011101110111 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100101 +011101100110 +011101110111 +011101110110 +011001100101 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010101000011 +010101010100 +011001100101 +011001100110 +011001100101 +011001100101 +011001100101 +011001100110 +011001010101 +010001000011 +010000110010 +010000110011 +010101010100 +011001100110 +011101110111 +011101111000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +011110001000 +011101110111 +011001110111 +011001100110 +011001100101 +011001100101 +011001100110 +011101110111 +011110001000 +100010001000 +011101111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010001000011 +001100110010 +001100110011 +010101010101 +011001110111 +011110001000 +011110001000 +100010011001 +100010011001 +100010001000 +011101110111 +011001100110 +011001100101 +010101010101 +011001100101 +011001110111 +011101111000 +011101111000 +011001111000 +011001100111 +010001010100 +001100110010 +001100100001 +001100110001 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000110010 +001000110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001100110100 +010101010101 +011101110110 +011101110110 +011001100101 +010001000100 +001000110011 +000100100010 +001000110011 +001000110011 +001000110011 +001100110100 +001101000100 +001101010100 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000100010 +001000110011 +001000110100 +001000110011 +001000100011 +001100110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000100001 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010000 +000100100001 +001101000100 +010101100110 +010101010110 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110011 +010101100111 +011001111001 +011001111001 +010101010110 +010001000011 +010101000011 +010101000100 +010101010100 +011101100110 +100001110111 +100010001000 +011110001000 +011110001000 +011101111000 +011101111000 +100010001000 +100010001000 +100010001000 +011110000111 +100010011000 +100110101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101011 +100110101010 +011110001000 +010101100110 +011001110111 +100010011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101011 +101010101011 +101010101011 +100110101010 +100110011001 +100010011001 +100110011010 +100110011010 +100010001000 +011101110110 +011001100110 +011101110110 +011101110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +011101110110 +011101100101 +011001010100 +010101000011 +010001000011 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101010011 +010101000011 +010001000010 +010101000011 +011001100101 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010001000011 +010101000011 +010101000011 +010101010100 +011001010101 +011001100101 +011001100110 +011101110111 +011101110111 +011101110111 +011001110110 +011001100101 +010101000100 +010001000011 +010001000011 +011001100101 +011101110111 +100010001001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011001 +100010001001 +011110000111 +011101110111 +011001100110 +011001100101 +010101010100 +010101000100 +010101010100 +011001100101 +011001100110 +010101100101 +010001000100 +001100110011 +010000110011 +010101010101 +011001100110 +011101100110 +011001100110 +010101010101 +010001000100 +010101010100 +011101110111 +100010001001 +100010011001 +100010011010 +100110011010 +100010011001 +011110001000 +011101110111 +011001100101 +010101010100 +010001000011 +010001000011 +010001010100 +010001010101 +010001000100 +010001000100 +010001000100 +010001000011 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000110011 +001101000100 +010001000101 +010001010101 +010101100110 +011101110111 +011101110110 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100110011 +001000110011 +001000110011 +001101000100 +001101010100 +010001010101 +010001010101 +010001100101 +010101100101 +010001010101 +001001000011 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000100010 +001000110011 +001000110100 +001000100011 +000100100010 +001100110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +001100110011 +011001110111 +011110001001 +011110001001 +010101100101 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +010001010101 +011001111000 +011001111000 +011001100110 +011001100101 +011001100110 +011001100110 +011101100110 +011101110110 +100010000111 +100010001000 +011110001000 +011110001000 +011001111000 +011101111000 +100010001000 +100010001000 +011110001000 +011110000111 +100010011001 +100110101010 +101010101011 +100110101010 +100110101010 +101010101010 +101010101010 +101010101011 +100110101010 +100010011001 +011001111000 +011110001000 +100010011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +100110101011 +101010101011 +101010101011 +101010101011 +100110011010 +100010011010 +100010011010 +100010011010 +100110011001 +100110011001 +100110011001 +101010011001 +101010011001 +101010011001 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +100110011001 +100110011000 +100010001000 +100010000111 +011101110110 +011001100101 +011001010101 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010001000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010000110010 +001100110010 +010000110010 +010001000010 +010101000011 +010101010011 +010101000011 +010001000011 +010101000011 +011001100101 +011101110111 +011110000111 +011110000111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011101100110 +011101100110 +011101100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001010100 +010101010100 +010101010100 +011001010100 +011001010101 +011001100101 +011001100110 +011101110110 +011101110111 +011110001000 +011110000111 +011101110110 +011001100101 +010101010100 +010101000100 +010001000100 +011001100110 +011110001000 +100010011001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011001 +100010001000 +011101110110 +011101100110 +011001100110 +010101010101 +010001000011 +001100110010 +010000110011 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +010101010100 +011001100110 +011101110110 +011101110110 +011001100110 +011001100101 +011001100101 +011001100110 +011110001000 +100010011010 +100110101010 +100110101010 +100010011010 +100010011001 +011101110111 +011001100110 +010101010101 +010000110011 +001000100001 +001000100001 +001000100010 +001100100010 +001100110011 +010001010101 +010101100110 +010001000100 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110100 +010001010101 +010101010110 +010101100110 +011001110111 +011101110111 +011101110110 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +001101010101 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001001000011 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000100010 +001000110011 +001000110011 +000100100010 +000100100010 +001101000100 +001101000100 +001000110100 +001000110011 +001000110011 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010000 +001000100001 +010001010101 +011110001001 +100010011011 +100010011010 +011001110111 +010001000011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +010001000100 +011001100111 +011101110111 +011101111000 +100010001000 +100010001000 +011101110111 +011101110111 +100010000111 +100010000111 +100010000111 +011110001000 +011001111000 +011001111000 +011001111000 +011110001000 +100010001001 +011110001001 +011110001000 +100010011001 +100110101010 +101010101010 +100110101010 +100110101010 +100110101011 +100110101011 +100110101011 +100110101010 +100010011010 +100010011010 +100010011010 +100010011010 +100110101010 +100110101010 +100010011010 +100010011010 +100010011010 +100010011010 +100110011010 +100110101010 +100110011010 +100010011010 +011110001010 +100010011010 +100010011010 +100110011010 +101010101010 +100110011001 +100110011001 +100010011001 +100010011000 +100010001000 +011110000111 +011110000111 +100010011000 +101010101001 +101110101010 +101110101010 +101010101010 +101010101010 +100110011001 +100110001000 +100010001000 +011101110111 +011101100110 +011001100101 +010101010101 +010101010101 +010101010101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001010101 +010001000011 +010000110010 +010000110010 +010001000010 +010101000011 +010101010011 +010101000011 +010101000011 +010101010011 +011001100101 +011101110111 +100010000111 +100010000111 +100010000111 +100001110111 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001100110 +011001100110 +011101110110 +011101110110 +011001100110 +011001100101 +011001100101 +011001100110 +011001100110 +011101110110 +011001100110 +011101110110 +011101110111 +011110001000 +011110001000 +011101110110 +011001100110 +011001100101 +010101010101 +010101010101 +011001110110 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010001000 +100010000111 +011101110110 +011101100110 +011001100110 +010101100101 +010001000011 +001100110010 +010000110011 +010001000100 +010101010101 +010101010101 +011001100110 +011001110111 +011101111000 +011101111000 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011101110110 +100010001000 +100010011010 +100010011010 +100010011001 +100010011001 +100010001000 +011101110111 +011001100110 +010101010101 +010001000011 +001100100010 +001100110010 +010001000011 +010101010101 +011001100110 +011101111000 +011101111000 +010101100110 +001100110011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100010 +001100110100 +010101010101 +011001010101 +011001010110 +011001100110 +011101110110 +011101110110 +011001100101 +010001000100 +001000110011 +000100110011 +001000110011 +000100100010 +000100100010 +001000110011 +001101000100 +001101010101 +010001010101 +010001100101 +010001100101 +010001100101 +010001010100 +001001000011 +000100110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010001 +000100100010 +001000110011 +001000110011 +000100100010 +001000100011 +001101000101 +010001010101 +001101000101 +001000110100 +001000110011 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100010 +001000100010 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110010 +001000100010 +001100110010 +010101010101 +011101111000 +011110001001 +011110001001 +011101110111 +010001000100 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +010001000100 +011001100111 +011110001000 +100010001001 +100010011001 +100010011001 +100010001001 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +011001111000 +011001111000 +011110001000 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +100010011011 +100010011011 +100010011011 +100010101011 +100110101011 +100110101011 +100010011011 +100010011010 +100010011001 +100010011001 +100010001001 +011110001001 +100010011010 +100010011010 +100010001001 +011110001000 +011110001000 +011001111000 +011001111000 +011110001000 +011110001000 +011110000111 +011101110110 +011001100110 +010101100101 +010101010100 +010001010100 +001101010011 +001101000011 +010101100101 +011110000111 +100110011001 +101110101010 +101110111011 +101110111011 +101110111011 +101010101010 +100110011001 +100010001000 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011101110111 +011101110111 +011110001000 +100010001000 +011101110111 +010101010100 +010000110010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010001000010 +010101010011 +011001100110 +011101110111 +100010000111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +100001110111 +100010000111 +011101110111 +011101110111 +011101110111 +011101110111 +011110000111 +100010000111 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101110110 +011101110111 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101100110 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011101110111 +011110001000 +011110001000 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011101110111 +100010001000 +100010011001 +100010001001 +011110001000 +011101111000 +011001110111 +011101110110 +100001110110 +100001110110 +011101110110 +011001110110 +011001100110 +010101010100 +010001000011 +010001000011 +010101100101 +011001110111 +011110001000 +100010001001 +100010011001 +100010011010 +100010011001 +011110001000 +011101110110 +011001100101 +011001100101 +011001100101 +011001100110 +011101110111 +011110001000 +100010001000 +100010001000 +100010000111 +100001110111 +011101110110 +011001100110 +011001100110 +010101010101 +010001000100 +010001010100 +011001100111 +011110001000 +100010011001 +100010011001 +100010001001 +011001100110 +001100110011 +001000100001 +001000100010 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100100001 +001100110011 +010101000100 +011001000100 +011001010100 +011101100101 +011101110110 +011101110110 +011001100101 +001101000011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001101000100 +001101010101 +010001010101 +010001100101 +010001100101 +010001100101 +001101010100 +001000110011 +000100110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010001 +000100100010 +001000110011 +001000110011 +000100100010 +000100100011 +001101000101 +010001010101 +001101000101 +001101000100 +001000110100 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100010 +001101000100 +001101000101 +001000110011 +000100100001 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +001100110011 +010001000100 +010001000100 +010001000100 +010001000101 +010101010101 +010101010101 +010101010101 +010101010100 +010001000100 +010001000100 +010101010101 +010101100110 +011001100111 +011001100111 +010101100110 +010001000100 +010001000100 +001100110011 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +010001000100 +011001100111 +011110001000 +011110001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +011101111000 +011110001001 +100010011011 +100110101011 +100110101011 +100110101011 +100010011011 +100010011010 +100110101010 +100110101010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +011110011010 +100010011011 +100010011011 +100010011010 +011110001010 +100010011010 +100010011010 +100010011010 +100010011010 +011110001010 +011110011010 +100010011010 +011110001001 +011001110111 +011001100110 +011001100101 +011001100101 +011001110110 +010101100110 +010001010100 +001101000011 +001101000010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +010101010100 +100001110111 +101010101001 +101110111011 +101110111011 +101010101011 +100110011001 +100010001000 +100010001000 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +011101110111 +010101010100 +010001000011 +010001000011 +010001000010 +010001000010 +010101000011 +010101000011 +010001000011 +010101010100 +011101100110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100010000111 +100001110111 +011101110111 +011101110110 +011101110111 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +011001100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011101110111 +100010001000 +011101111000 +011101110111 +011001100110 +011001100101 +010101010101 +010101010101 +011001100110 +011001110111 +011001110111 +011001100110 +010101100101 +010001000100 +010001000011 +010101010100 +011101100110 +100001110110 +011101110110 +011101110111 +011001110110 +011001100101 +010101010100 +010101010101 +011001110111 +100010001001 +100010011010 +100110011010 +100110101010 +100110101010 +100010011001 +011110001000 +011001110110 +010101010101 +010101000100 +010001000011 +010001000100 +010101010101 +011001100110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100110 +011001100110 +011001100101 +011001100110 +011110001000 +100010011001 +100110011010 +100010011010 +100010001001 +011001100110 +001100110011 +001000100010 +001000100010 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001100110011 +010100110011 +010100110010 +010100110011 +011001010100 +011101100101 +011101100101 +011001010101 +001101000011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001101000100 +001101010101 +010001010101 +010001100101 +010001100101 +010001100101 +001101010100 +001000110011 +000100110010 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000100100010 +001000110011 +001000110011 +000100100010 +000100100010 +001000110100 +001101000100 +001101000100 +001101000100 +001101000100 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100010 +001101000100 +001101000100 +001000100010 +000000010000 +000100010000 +000000010000 +000100010001 +001000110011 +010001010101 +010001010110 +001101000101 +001000110011 +001000100010 +001000100010 +001000110010 +001100110010 +001100110011 +001100110011 +010000110011 +010001000011 +010001000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +011001100110 +011001100110 +011001010110 +010101010101 +010101010101 +010101010101 +010101010101 +010001010101 +010001010101 +010001010101 +010001000101 +010001000101 +010001000100 +001100110011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +010001000100 +010101100110 +011001111000 +011101111000 +011101111000 +011101111000 +011101111000 +011110001000 +100010001000 +100010001000 +100010001000 +011101110111 +011101111000 +100010011010 +101010111100 +101010111100 +100110111100 +100110101100 +100110101100 +100110101011 +100110101011 +100110101011 +100110101011 +100010011010 +100010011010 +100010011011 +100010011011 +100010011011 +011110001010 +011110001001 +011001111000 +011001111000 +100010011010 +100110101100 +101010111100 +100110101011 +100010011010 +011110001010 +100010011010 +011110001001 +011001100101 +011001010100 +011001100011 +011101100100 +011001110110 +011001110111 +010101100110 +001101000100 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110011 +001101000011 +010001000011 +011001100101 +100110011000 +101010101010 +101010101011 +100110011001 +100010001000 +011101111000 +011101110111 +011101111000 +011110001000 +100010001000 +100010001001 +100010011001 +100010011001 +100110011001 +100010011001 +100110011010 +100110011001 +011110000111 +010101010100 +010001000011 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010001000011 +010101010100 +011101100110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +011101110111 +011001110111 +011110001000 +100010011001 +100110011010 +100010001000 +011001110111 +010101100110 +011001100110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001100110 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +010101100101 +010001000011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +001100110010 +001100110010 +001100100010 +001100110011 +011001010100 +100001110110 +100001110110 +011101110110 +011001110110 +011001110110 +011001100110 +011001100101 +011001100110 +011110001000 +100010011001 +100110101010 +100110101010 +100110101010 +100110011010 +100010011001 +011110000111 +011001100110 +010101010100 +001100110010 +001000100001 +001100100010 +001100110010 +010001000011 +010101010100 +011101100110 +100001110111 +100001110111 +011101110111 +011101110110 +011001110110 +011001100110 +011001100110 +011001110110 +011110001000 +100010011010 +100010011010 +100010001001 +011101111000 +010101010101 +001100110010 +001000100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100100001 +001100110011 +010000110011 +010100110010 +010100110010 +011001010100 +011001100101 +011001100101 +010101010101 +001101000011 +000100110010 +000100100011 +000100110011 +000100100010 +000100110011 +001000110011 +001101000100 +001101010101 +010001010101 +010001100101 +010001100101 +010001100101 +001101010100 +001000110011 +000100110010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000100100010 +001000110011 +001100110011 +001000100010 +000100100010 +000100100011 +001000110011 +001000110011 +001000110100 +001000110100 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001101000100 +010101100111 +010101101000 +010001010101 +001000110010 +000100100001 +001000100001 +001000100010 +001100110100 +010001010101 +010001010110 +010001000101 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010101000100 +010101000100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001000100 +001101000100 +001101000100 +010001000100 +010001000100 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110010 +001100110011 +001100110010 +001100110010 +001101000100 +010001010101 +010101100110 +010101100110 +010101100111 +011001100111 +011001100111 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011101110111 +100010011010 +101010111100 +101010111101 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010101100 +100110101011 +100110101011 +100110101011 +100110101100 +100110101011 +100010011010 +011001111001 +010101100110 +011001111000 +100110101011 +101010111101 +101111001101 +101010111100 +100010011010 +011110001010 +011110011010 +011110001001 +011001100110 +011001100100 +011001100100 +011101110100 +011110000111 +011110001000 +011001111000 +010001100110 +001101000011 +001100110010 +001100110010 +001100110010 +010001000011 +010101010101 +011001100110 +010101100110 +010101100101 +011101110111 +100010011001 +100010011001 +100010001000 +011110001000 +100010001001 +100010001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100110011001 +100110011001 +100110011001 +100110011010 +100110011001 +011110000111 +010101010100 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010001000011 +010101010100 +011001100110 +100001110111 +100001110111 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100110000111 +100010001000 +100010001000 +100010001000 +100010011001 +100110101010 +100110101011 +100110011010 +011110001000 +011001110111 +011101110111 +100001110111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +010101010101 +001100110010 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001100110011 +010001000100 +010001010101 +010101100110 +011101110111 +100001110111 +100001110111 +011101110110 +011001110110 +011001110110 +011001100110 +011001100110 +011001100110 +011110001000 +100010011001 +100110011010 +100010011010 +100010011001 +100010001001 +011110001000 +011001110111 +011001100110 +010001010100 +001100110010 +001000100001 +001000100001 +001101000011 +010101010100 +011001100110 +011101110111 +100010001000 +100010001000 +011110000111 +011101110110 +011001100110 +011001100101 +011001100101 +011001100110 +011101111000 +100010001001 +011110001001 +011001110111 +010101010101 +001100110011 +001000100010 +001000100001 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100100001 +001100110011 +010101000011 +010100110010 +010100110010 +011001010100 +011001100101 +011001100101 +010101010101 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010001100101 +010001100101 +001101010100 +001000110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010001 +000000010001 +000100100010 +001000110011 +001100110011 +001100110010 +000100100010 +000100100011 +000100100011 +001000110011 +001000110011 +001000110011 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100010 +001101000100 +010101100111 +011110001010 +011110001010 +011001111000 +010101010110 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010101010110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000101 +010101000101 +010101010101 +010101010101 +010001010101 +010001000100 +010001000101 +010001010101 +010001000101 +010001000100 +010001000101 +010101010110 +010001010110 +001101000101 +001100110100 +001101000100 +010001000101 +010001010101 +001100110011 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110011 +001101000100 +001100110011 +001000100001 +001000100010 +001000110010 +001100110010 +001100110011 +001100110011 +001101000011 +010001010100 +011001100110 +011001100110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001010101 +010101010101 +010101010101 +010101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +100010011001 +100110101011 +101010111100 +101010111100 +101010111101 +101010111101 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +100110101011 +100010011010 +011001111000 +011010001001 +100010101011 +101010111100 +101010111100 +100110101100 +100010011011 +100010011010 +100010011011 +011110001001 +010101100111 +010101100101 +011001100101 +011001110101 +011001110110 +011010001000 +011001111000 +010101100111 +010001010101 +001101000011 +001100110011 +001101000011 +010001010100 +011001100110 +011101110111 +011001110111 +010101100110 +011101110111 +100010001000 +100110101000 +101010101000 +101110111000 +101110111001 +101110111011 +101010111010 +100110101001 +100010011001 +100010011001 +100010011001 +100110011001 +100110011010 +100110011010 +100110011010 +100110011001 +011110000111 +010101010100 +001100110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000011 +010001000010 +010101010011 +011001100110 +100001110111 +100001110111 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100110000111 +100110011001 +100110011001 +100110011001 +100110011010 +101010101010 +101010101011 +100110101011 +100110011010 +100010011001 +100010011001 +100110001000 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001100110 +010001000100 +001100110011 +001100110011 +001101000011 +010001000100 +010101010101 +010101100110 +011001110111 +011110001000 +011110001001 +100010001001 +100010001000 +100010000111 +011101110111 +011001110111 +011001110110 +011001100110 +011001100101 +011001100110 +011101111000 +011110001001 +011110001001 +011101111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010001000011 +001100110010 +010001000011 +010101100110 +011101111000 +100010001000 +100010011001 +100010011010 +100010011001 +011110001000 +011101110110 +011001100101 +010101010100 +010101010100 +010101010101 +011001100111 +011101111000 +011001110111 +010101010110 +010001000100 +001100110010 +001000100001 +001000100001 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100100001 +001100110011 +010101000100 +010101000100 +010101000011 +011001010100 +011001100101 +011001100101 +010101010100 +001101000011 +000100100010 +000100100010 +001000110011 +000100100010 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101100101 +010001100101 +001101000100 +001000110011 +000100110010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010001 +001000100010 +001100110010 +001100110010 +000100100011 +000100100011 +000100100011 +000100110011 +001000110011 +001000110011 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000000010000 +000100010000 +000100010001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000110010 +001101000100 +010101100110 +011001111000 +011110001010 +011110011010 +011110001001 +011001110111 +010101010110 +010101010101 +010101010101 +011001010110 +011001100110 +011001100111 +011001100111 +011001100111 +011001100110 +011001010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010110 +010101010101 +010101010101 +010001000101 +010001000100 +010001000100 +010001010101 +010101100110 +010101010110 +010001000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010101100111 +010101100111 +010001010101 +010001000101 +010001010101 +010101100110 +010101100110 +010001000100 +001000100001 +000100010000 +000100010000 +001000010001 +001000100001 +001000100010 +001000110011 +010101010101 +011001111000 +011110001001 +011001111000 +010001010101 +010001010101 +010101100110 +011001100110 +011001100111 +011001100111 +011001100111 +011001110111 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011110001001 +100010011010 +100010011010 +100110101011 +100110101100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +100110111100 +100110101011 +100010011010 +100010011010 +100010011011 +100110101100 +100110101100 +100110101011 +100010011011 +100110011011 +100010011011 +011110001010 +011001111000 +010101100110 +010101100101 +010101100101 +011001100110 +011001110111 +011001110111 +011001110111 +010101100110 +010101010101 +010001000100 +001101000100 +010001010101 +010101100111 +011101110111 +100010000110 +101010010101 +101110100110 +101010100101 +101010100101 +100110100100 +100110010100 +100110100100 +101110110111 +101111001001 +101111001001 +110011001011 +101110111011 +101110111011 +100110101010 +100010011010 +100110011010 +100110011010 +100110011001 +011101110111 +010101010100 +001100110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010000110010 +010101000011 +011001100110 +011101110111 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100110000111 +100110011001 +100110011001 +100110011001 +100110011010 +101010101010 +101010101011 +101010101011 +101010101011 +101010101011 +100110101010 +100110011001 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011001110111 +011001100111 +010101100110 +010101100110 +010101100110 +010101100110 +011001110111 +011110001000 +011110001001 +100010011001 +100010011010 +100010011010 +100010011001 +100010001000 +100010000111 +011101110111 +011101110111 +011001100110 +010101010101 +010101000100 +010101010101 +011001100110 +011001110111 +011001100111 +010001010101 +001101000100 +010001000100 +010101010101 +011001100110 +011001100110 +011001100101 +011001100101 +010101010100 +011001100101 +011101111000 +100010011001 +100110011010 +100110011010 +100110011010 +100010011001 +011110001000 +011001110111 +010101010101 +010001000100 +010000110011 +010001000011 +010101010101 +011001100111 +011001110111 +011001100111 +010101100110 +010001000100 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100100001 +001100110011 +010101000100 +010101000100 +011001010100 +011001010101 +011001100101 +011101100101 +010101010100 +001100110011 +000100100010 +000100100010 +001000110011 +000100110011 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010101 +001101000100 +001000110011 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100001 +001000100010 +001000100010 +000100100011 +000100100011 +000100100011 +001000110011 +001000110011 +000100110011 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +001100110011 +010001010101 +011001100111 +011001111000 +011110001001 +011110001001 +011101111000 +011001100111 +010101010101 +010101010101 +010101010101 +011001010110 +011001100110 +011001100111 +011001100111 +011101110111 +011101110111 +011001100111 +010101010110 +010001000100 +010001000100 +010101010101 +010101010110 +010101010101 +010001000101 +010001000101 +001101000100 +010001010101 +010101100110 +011001110111 +011001100111 +010101100110 +010101100110 +010101100111 +010101100110 +010101100110 +010101100111 +011001110111 +011001110111 +010101100111 +010101100110 +011001110111 +011110001000 +011110001000 +010101010101 +001000100010 +000100010001 +001000100001 +001000110010 +001100110011 +001100110011 +001101010100 +011001110111 +100010011010 +100010011011 +011110001010 +011101111000 +011101111000 +100010001001 +100110011001 +100110011010 +100110011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011010 +100110011001 +100010001001 +100010001001 +011110001001 +011110001001 +100010011010 +100010011010 +100010011010 +100110101011 +100110101011 +100110101011 +100110101100 +100110111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +100110101100 +100110101100 +100110101011 +100010011011 +100110011011 +100110011011 +100010011011 +100010011010 +100010011010 +100010001001 +011010001000 +010101110111 +010101100110 +010101100110 +011001110111 +011001110111 +011001110111 +011101111000 +011110001000 +011001111000 +010101100111 +010001010101 +010001000101 +010001000100 +100001110100 +110010100101 +101110100100 +100110000011 +100001110010 +011001110010 +010101100010 +010101100010 +010101100011 +010101100010 +010101110011 +010101110011 +011010000100 +011110010111 +100110111011 +101010111100 +101011001101 +101111001100 +101010101011 +100110101010 +100110011001 +011101110111 +010101010100 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010000110010 +010001000011 +011001100101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110101 +100001110101 +011101110101 +011101110101 +100001110110 +100010000111 +100110011001 +100110011001 +100110011001 +100110011001 +101010101010 +101010101011 +101010101011 +101010101011 +101010101011 +101010101011 +100110011001 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +011001100110 +011001100111 +011001110111 +011001111000 +011001111000 +011001111000 +011110001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100001110111 +011101110111 +011101110111 +010101100110 +010001000011 +001100110010 +010001000100 +010001010101 +010101100110 +010001010101 +010001010101 +010001010100 +010101010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +100010001000 +100110011010 +100110011010 +100010011010 +100010011001 +100010001000 +011101110111 +011001110111 +010101100110 +010101010100 +010001000011 +010001000100 +010101100110 +011101111000 +011110001001 +011110001001 +011101111000 +010101010101 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001100110010 +010000110011 +010101000100 +010101000100 +011001010101 +011001100101 +011001100101 +010101010100 +001100110011 +000100100010 +000100100010 +001000100010 +000100110011 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +001000110011 +001000110011 +000100110011 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010001 +001100110011 +010001010101 +010101100110 +010101100111 +010101111000 +010101101000 +010101100111 +010001000101 +001100110011 +010001000100 +010101010101 +010101010101 +010101010101 +010101010110 +010101100111 +011001110111 +011101111000 +011101110111 +010101100110 +001101000100 +001100110011 +010001010101 +010001010101 +010001010101 +010001000101 +010001010101 +010101010110 +010101100110 +011001100111 +011001100111 +010101100111 +010101100111 +011001110111 +011001111000 +011001110111 +011001110111 +011001110111 +011001111000 +011101111000 +011001111000 +011101111000 +011110001001 +100010011001 +100010001001 +010101100110 +001000110010 +001000100001 +001100110011 +010001000100 +010001010101 +010001010101 +010001100110 +011001110111 +011110001001 +011110001001 +011001111000 +011101111000 +100010001000 +100110011001 +100110011010 +100110011010 +100110011010 +100110011010 +100110011001 +100010001000 +100010001001 +100010001001 +100110011001 +101010101010 +101110101011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011011 +100110101011 +100110101100 +100110101100 +100110101011 +100010011011 +100110101011 +100010011011 +100010011010 +100010001010 +011110001010 +011110001001 +011001111000 +011001111000 +011001110111 +011001100111 +010101100110 +011001110111 +011101110111 +011110001000 +011110001000 +011110001001 +100010001001 +100010011001 +011110001000 +010101100111 +010001010101 +010001010100 +011101110100 +100110000011 +011101100001 +010101000001 +010001000001 +010001000001 +001101000010 +010001010010 +010001010010 +010001010010 +010001100010 +010001100010 +010001100010 +010001100010 +010001110100 +010110001000 +010110011010 +010110101011 +011010101100 +100010111100 +100110111100 +101010101010 +011101110111 +010101010100 +001100110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010000110010 +010001000011 +011001100101 +011101110110 +011101110110 +011101100110 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110101 +011101100101 +011101110110 +100010000111 +100010001000 +100110011001 +100110011001 +100110011001 +100110011010 +100110101010 +100110101010 +100110101010 +100110101011 +100110101010 +100110011001 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100101 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001111000 +011110001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100010000111 +100001110111 +011101110111 +011101110111 +010101100110 +010001000100 +001100100010 +010000110100 +010001010101 +010101100110 +010101100110 +010101100111 +011001110111 +011101111000 +011101111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011110001000 +100010011001 +011110001001 +011101111000 +011001110111 +011101110111 +011101110110 +011001110111 +011001100110 +010101100101 +010101010101 +010101100101 +011001110111 +011110001001 +100010011010 +100010011010 +011110001001 +010101100110 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001100100010 +010000110010 +010000100010 +010100110011 +011001010100 +011001100101 +011001100101 +010101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010101 +001101000100 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100110011 +001000110011 +001000110011 +000100110011 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +001100110010 +010001000100 +010001010110 +010101111000 +011001111001 +010101111000 +010001010111 +001101000101 +001000110011 +001101000100 +010001010101 +010001000100 +001101000100 +010001010101 +010101100110 +011001100111 +011101111000 +011101111000 +010101100110 +001101000100 +001101000100 +010001010101 +010001010101 +010001010101 +010001010101 +010101100110 +011001110111 +011001111000 +011001110111 +010001100110 +010001010110 +010101100111 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +011001110111 +001100110010 +001000100010 +010001000100 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +011001100111 +011001110111 +011101110111 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100110011010 +101010101010 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +100110101010 +100110011010 +100110011010 +100110011010 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +011110001001 +011110001001 +011110001001 +011101111001 +011001111000 +011001111000 +011001111000 +010101100111 +010101100110 +010101100111 +010101100111 +010101100110 +010101100110 +011101111000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011001100111 +010101010101 +011001100100 +011001010010 +001100110000 +001100110001 +001100100001 +001100110001 +001101000001 +001101000001 +001101000001 +001101000001 +001101000010 +001101000010 +001101000001 +010001010010 +010001010010 +010001100010 +010001110100 +010010000110 +010010011001 +010010011011 +010010011011 +011010101100 +100010111101 +100110101010 +011001100101 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +011001100110 +011101110111 +011101110111 +011101110110 +011101100110 +011101100101 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011001 +100010001000 +100001110111 +011101100110 +011101100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011110011001 +100010011010 +100010011010 +100010011001 +011110001001 +011110001000 +011101110111 +100001110111 +100001110111 +011101110111 +011101110111 +011001100110 +010001010100 +001100110011 +010001000100 +010101010110 +011001110111 +011110001000 +011110001001 +100010001001 +100010011001 +100010001001 +011101111000 +011001100110 +010101100101 +010101010101 +010101010101 +011001100110 +011001110111 +011001110111 +010101100110 +010001000100 +010001000100 +011001010101 +011101100110 +011001110111 +011001110110 +011001110110 +011001100110 +011001110111 +011110001000 +100010011010 +100010011010 +100010011010 +011110001001 +010101010101 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001100100010 +010000110010 +010000100010 +010000110010 +011001010100 +011001100101 +011001100101 +010101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001000110100 +001101010101 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001101000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +001000110011 +001000110011 +000100010000 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001100110011 +010001000100 +010001010110 +011001111000 +011010001001 +011001111001 +010101100111 +001101000101 +001100110100 +010001000100 +010001010101 +010001000101 +001101000100 +010001010101 +010101100110 +011001110111 +011101111000 +011101111000 +011001100111 +010001010101 +010001010101 +010101100110 +010101100110 +010101100110 +010101100110 +011001110111 +011001111000 +011110001000 +011001111000 +010001010101 +001101000101 +011001110111 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +011001110111 +001100110011 +001000110010 +010001010101 +010101100110 +011001100111 +010101100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001100111 +010101100110 +010101100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101100110 +010101100101 +010101100101 +010101100101 +010101100110 +011001110110 +011101110111 +100010001000 +100110011001 +100110011001 +100110011001 +100110011001 +101010101010 +100110011001 +100110011010 +101010101010 +101010101010 +101010101010 +100110101010 +100110101010 +100110101010 +100110011010 +100110011010 +100110011010 +100110101010 +101010101010 +101010101011 +100110101010 +100110011010 +100010011001 +100010001001 +011110001001 +011110001000 +011001111000 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +010101100111 +011001110111 +011110001000 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +011110001001 +011001110111 +011101100110 +101010011000 +011101110111 +011101110111 +011101110111 +011001100101 +001100110010 +001100110001 +001100110001 +010001010011 +010001000100 +010000110011 +010001000011 +010001000011 +001000100001 +001100110001 +010001000010 +010001010010 +010001010010 +010001100100 +010001110111 +010010001000 +001110001001 +010010011010 +011010101100 +011110101011 +010001010101 +001100110001 +001100110001 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +011001100110 +011101110111 +100001110111 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010001000 +011110001000 +011101110111 +100010001000 +100010001001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110110 +011101100110 +011101100110 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011001110110 +011001100110 +011001100101 +010101100101 +011001110111 +011110001000 +011110001001 +011110001000 +011001110111 +010101100110 +010101100101 +011001100101 +011101110110 +100001110110 +011101110111 +011101110111 +011001110110 +010101010101 +010001000100 +010001000100 +011001100111 +011110001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010001001 +011001110111 +010101100110 +010001010100 +001101000011 +001100110011 +010001000100 +010001010100 +010001000100 +001100110010 +001100110010 +010001010100 +011001100110 +011101110110 +011101110111 +011001110111 +011001110111 +011001110111 +011001110111 +011110001001 +100010011010 +100010011010 +011110001001 +011001111000 +010001000100 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001100100010 +010000110011 +010000110010 +010100110011 +011001010100 +011001100101 +011001100101 +010101010100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001101000100 +001101000101 +001101000100 +001101000100 +010001010100 +010001100101 +010001010101 +001101000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +001000110011 +001000110011 +000100010000 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001100110011 +010001000101 +010101100110 +011001111000 +011010001001 +011001111001 +010001100111 +001101000110 +001101000100 +010001010101 +010001010110 +010001010101 +010001010101 +010101100110 +011001110111 +011101111000 +011110001001 +011110001000 +011001111000 +010101100111 +010101100111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +011110001001 +011110001001 +011110001001 +010001010110 +001101000101 +011001110111 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +011001110111 +001100110011 +001000100010 +010001010101 +011001100111 +011001110111 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +010101100110 +010101010101 +010001000100 +010001000100 +010001000100 +010001010100 +010101010101 +010101100110 +011001100110 +011101110111 +011101110111 +011110000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100110011001 +100110101010 +101010101010 +100110011010 +100010011001 +100010001001 +100010001001 +011110001000 +011001110111 +011001100111 +010101100110 +011001110111 +011001111000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011001110111 +011001110111 +100010001001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010001001 +011001110111 +101010101001 +110010111011 +101010101010 +100110011001 +011001100110 +100010001000 +100010001000 +010101010100 +011001100101 +011101110111 +011101110111 +011001100110 +011001010110 +010001000011 +010101010100 +010101000100 +010001000010 +010101010100 +011101110111 +010001000011 +001101000001 +010001100011 +010001110110 +010010001001 +010010001010 +010010101100 +011010101100 +010101100110 +001100110001 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +011001100110 +011101110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +011101110111 +011101110111 +011101111000 +100010011001 +100110011001 +100010001000 +011101110111 +011001110111 +011101111000 +011110001000 +100001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100110 +011001100110 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +010101010101 +010101010100 +010101010100 +010101100110 +010101100110 +010101100110 +010001010100 +001101000011 +001000110010 +001100110010 +010101010100 +011101110110 +100001110110 +011101110111 +011001110111 +011001110110 +011001100110 +010101010101 +010101010101 +011001110111 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +011110001000 +011001110111 +010101010101 +010001000011 +001100110010 +001000100010 +001100110010 +010001000011 +010001000100 +010101010101 +010101100110 +011101110111 +011110001000 +011101110111 +011001110110 +011001100110 +011001100110 +011001100110 +011001110111 +011101111000 +011110001000 +011001111000 +011001100111 +010001010101 +001100110011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001100110010 +010000110011 +010000110011 +010101000100 +011001010100 +011001100101 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001101000101 +001101000101 +001000110011 +000100100010 +001100110011 +010001010100 +010001010100 +001001000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +000100110011 +001000110011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001100110011 +010001010101 +010101100110 +011001111000 +011001111001 +010101111000 +010001010111 +001101000101 +001101000100 +010001010101 +010101100110 +010001010110 +010001010110 +010101100111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011001111000 +011001111000 +011001111000 +011101111000 +011001111000 +011101111000 +011110001000 +011110001001 +100010011010 +011110001001 +010101100111 +001101000101 +011001100111 +011110001001 +100010001001 +011110001001 +100010001001 +011110001001 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011001110111 +001100110011 +001000100010 +010001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100111 +010101100110 +010101100110 +010101010101 +010101010110 +010101100110 +010101100110 +011001100110 +011001100110 +011001100110 +011001110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011101111000 +011110001000 +100010001001 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +011110001000 +011110001001 +011110001001 +011001110111 +011001110111 +100010011001 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100010001000 +100110011001 +010101010101 +100010001000 +100110011001 +010101010101 +010001000100 +100010001001 +011101110111 +011001100110 +011101110111 +011101110111 +011001100110 +011001100110 +010101000100 +011001100110 +010000110011 +001100100010 +011101100110 +011101111000 +100010001000 +010001000010 +010001010001 +001101010011 +001101110111 +010010001001 +010010001010 +010010011011 +011010101100 +011001110111 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +011001100101 +011101110111 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010001000 +011101110111 +011101110111 +011110001000 +100110011001 +100110011010 +100010001000 +011001110111 +011001100110 +011101110111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101110110 +011001100110 +011001110111 +011101110111 +011101110111 +011101110111 +011001110111 +010101010101 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001000110010 +001000100001 +001000110010 +001101000011 +010001010101 +011001100110 +100001110110 +100001110110 +011101110110 +011001110111 +011001110110 +011001100110 +011001100110 +010101100110 +011001111000 +100010011001 +100010011001 +100010001001 +011110001001 +011110001000 +011101110111 +011001100110 +010101010101 +010101010100 +010001000011 +001100110010 +010001000100 +010101100110 +011001110111 +011101111000 +100010001001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010101010101 +010001010101 +010101100110 +010101100110 +010101100110 +010001010101 +010001010101 +010001000100 +001100110011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001100110010 +010000110011 +010101000011 +010101000100 +011001100101 +011001100101 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001101000101 +001101000101 +001000100011 +000000010000 +000100100010 +001100110011 +001101000100 +001101000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010001 +000000010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100110011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001100110010 +010001010101 +010101100110 +010101111000 +011001111001 +010101111000 +001101010110 +001101000101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +011001110111 +011110001001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010011010 +100010001010 +010101101000 +001101000101 +010101100111 +011110001001 +100010011001 +100010001001 +100010011001 +100010011001 +011110001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011001110111 +001101000100 +001101000011 +010101100110 +011001110111 +011001110111 +010101100110 +010101100110 +010101100110 +011001100111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011101111000 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +011110001001 +100010001001 +011110001001 +011001111000 +011001111000 +100010011001 +100110011010 +100010011010 +100010011010 +100010011010 +100110011010 +100010011001 +100010011001 +100110011001 +010001000101 +001100110100 +010001000101 +011110001000 +100010001000 +011001100110 +100110001001 +100010001000 +011001100110 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010001000011 +001100100010 +011001010101 +011001100110 +100110011001 +100010000111 +010001000010 +001101010001 +001101100011 +001101110111 +001110001001 +001110001010 +010010011011 +011010101011 +011001110111 +001100110010 +010000110010 +001100110010 +010001000011 +011001100101 +011101110111 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110101 +100001110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100110011010 +101010101010 +100110011001 +011110001000 +011101110111 +011110001000 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +010101010101 +001100110010 +001000100001 +001000100010 +001000100010 +001000110010 +001100110011 +010001000100 +010101010101 +011001110111 +011001111000 +011110001000 +100010000111 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011001100101 +010101100110 +011001110111 +011101111000 +011101111000 +011001110111 +011001100110 +010101100101 +011001100101 +011001100101 +011001100101 +011001100101 +010101010101 +010101010100 +010101100110 +011001111000 +100010001001 +100010011010 +100110011010 +100010011010 +100010011001 +011101111000 +011001100111 +010101010101 +010001000100 +001100110011 +010001000100 +010001010101 +010001010101 +010101010110 +011001100111 +010101100110 +010001000100 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001100110010 +010000110011 +010101000100 +011001100101 +011101100101 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010110 +010001010110 +000100100011 +000000000000 +000000010001 +001000100010 +001101000100 +010001010110 +010101100110 +010001010101 +001001000100 +001100110100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000000000 +000100000000 +000100010000 +001000110010 +010001010101 +010101100110 +011001111000 +011001111001 +010101111000 +010001010111 +001101010101 +010001010101 +010101100110 +010101100110 +010101100111 +010101100110 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +100010011001 +011110001001 +010101100111 +001101000101 +010101100111 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011001110111 +011001111000 +100010001001 +100010011010 +100010011001 +011101111000 +010101100111 +010001010110 +010101010101 +010101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100111 +011101111000 +100010001001 +100010011001 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011001111000 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100110011010 +100110011010 +010101010110 +001100110100 +001100110101 +001101000101 +010001000101 +011101111000 +100110011001 +100110011001 +100010001000 +011101110111 +011101110111 +011001100110 +011001100110 +011101110111 +011101110111 +100010001000 +011001100110 +010101010101 +010101010101 +011101110111 +100110011001 +100110011001 +100010000111 +010101010010 +001101000001 +001101100100 +001101110110 +001110001000 +001110001010 +010010011011 +011010101011 +010101100110 +001100110010 +001100110010 +010001000011 +011001100101 +011101110111 +011101110110 +011101110110 +011101100101 +011101100101 +011101110101 +011101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100010000111 +100110011000 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +100110101010 +100110011001 +100010011001 +100010011001 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +010101100101 +010001000100 +001100110010 +001100110011 +010001000100 +010101010101 +011001100111 +011001111000 +011110001000 +100010011001 +100010011010 +100010011001 +100010001000 +011101110111 +011001110110 +011001100110 +010101010101 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010001000100 +001100110010 +001100110010 +001100110010 +010001000011 +010101010100 +010101100101 +011001100101 +011001100110 +011001100110 +011001110111 +011110001001 +100010011010 +100110011010 +100010011010 +100010011010 +100010001001 +011101110111 +011001100111 +010101100101 +010001000100 +010001000100 +010001010101 +010101100111 +011001111000 +011110001000 +011110001001 +011001111000 +010001010101 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001000100001 +001100100001 +001100100010 +010101000011 +011001010100 +011001100101 +011001100101 +010001000011 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010110 +010001010111 +001000110100 +000000010001 +000000010000 +000100100010 +001101000101 +011001110111 +011001111000 +010101100110 +001000110011 +001000100011 +001000100011 +001000100011 +001000110011 +001101000100 +001101000100 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +001000110100 +001000110100 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000100010000 +001000100010 +010001010101 +010101100110 +011001111000 +011010001001 +010101111001 +010001010111 +010001010110 +010001010110 +010101100110 +010101100111 +010101100111 +010101100110 +011001111000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010001001 +100010011001 +011110001001 +011110001001 +011110001001 +011110001001 +100010011001 +100010011001 +011110001001 +010101100111 +001101000101 +010101100110 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100110011010 +100110101011 +101010111011 +101010111100 +101010101011 +100110011010 +011110001001 +011001101000 +010001010110 +010001010101 +010001010101 +010001010101 +010101010101 +010101010101 +010101010110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011101110111 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +011101111000 +011101110111 +011101110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001110111 +011101110111 +011101110111 +011001110111 +011001110111 +011001100111 +011001110111 +011110001000 +100010011001 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011001111000 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100110011010 +100110011010 +100110011010 +011001100110 +001100110100 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +100010001000 +100110101010 +011101110111 +011101110111 +011101110111 +011001100110 +011101110111 +011001100110 +100010001000 +100110011001 +100110011001 +100001111000 +011101110111 +011001100110 +010101000100 +010001000100 +010001000100 +010101010100 +010101010011 +010001010010 +010001100011 +001101110110 +001101110111 +010010001001 +010010011011 +011010011011 +010001000100 +001100110010 +010001000011 +011001010101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001110101 +100001110110 +100010000111 +100110011000 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +101010101010 +100110101010 +100110101010 +100110011001 +100110001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +010101010101 +010001000100 +010001000100 +010101100110 +011101111000 +011110001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +011101110110 +011001100101 +010101010101 +010101010101 +010001000011 +010000110010 +010000110010 +001100110010 +001000100001 +001000100001 +001100110010 +001101000011 +010001000011 +010001010100 +010101010100 +010101100101 +011001100101 +011001100110 +011001100110 +011101110111 +011110001001 +100010011010 +100010011001 +011110001001 +011110001000 +011101111000 +011101110111 +011001110111 +011001100110 +010101100110 +010101100101 +011001100110 +011110001000 +011110011001 +100010011010 +100010011010 +011110001000 +010001010101 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +001000100001 +001100100010 +001100100010 +010001000011 +011001010100 +011001100101 +011001100101 +010001000011 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010110 +010101101000 +010101100111 +001100110100 +000100010001 +000100100010 +010001000101 +011001111000 +011110001001 +010101010110 +000100100001 +000000000000 +000000000000 +000000000000 +000100010010 +001000110100 +001000110100 +000000010001 +000000000000 +000000000000 +000000000000 +000100100010 +001000110100 +001101000100 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +001000100010 +010001010101 +010101100111 +011001111000 +011010001001 +010101111001 +010001010111 +010001010110 +010101100110 +010101100110 +011001110111 +011001100111 +010101100111 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +100010011001 +100010011001 +100010011001 +011110001001 +010101100111 +001101000101 +010101100110 +011110001001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +100010001001 +100110011010 +101010101011 +101010111100 +101010111100 +101010111100 +101010111100 +101010101100 +100110011011 +011110001001 +011001110111 +010101100110 +010101100110 +010101100110 +011001100110 +011101110111 +011101110111 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100110011001 +101010101010 +101010101010 +101010101010 +101010101010 +100110101010 +100110011001 +100010001001 +011110001000 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011101110111 +011101110111 +011001110111 +011001110111 +011001110111 +011110001000 +100010011001 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011101111000 +011101111000 +100010011001 +100110011010 +100010011010 +100010011010 +100010011001 +100010011001 +011110001000 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +001101000100 +100010001000 +100110011001 +011001100110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +100110011001 +100110011001 +011101110111 +010001000011 +010101000001 +011101010010 +011101100010 +010101100010 +010001100101 +001101110110 +010010001000 +011010011011 +011110011010 +001100110010 +010000110011 +011001010101 +011101110110 +011101110110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +100010000111 +100010001000 +100110011001 +100110011001 +100110011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +100110011010 +100110001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101110111 +011001100110 +010101100101 +010101010101 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001000 +011101100110 +011001100101 +010101010101 +010101010101 +010001000011 +001100110010 +001100110010 +001100110010 +001100110011 +010001010100 +010101100110 +011001110111 +011001100111 +011001100110 +011001100101 +011001100101 +010101100101 +011001100101 +011001100110 +011001110111 +011101111000 +011110001000 +011001110111 +010101100110 +010101010110 +011001100110 +011101110110 +011001110110 +011001110111 +011001110111 +011001110111 +011001111000 +011110001001 +100010011010 +100010011010 +100010011001 +011001111000 +010001000100 +001000100001 +001000010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100100010 +001100110011 +001100110011 +010000110011 +010101000011 +011001010101 +011001100101 +011001100101 +001101000011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010101 +011001111000 +011001111000 +011001110111 +010001010101 +001000110011 +010001000101 +011001111000 +011110001001 +010001010110 +000100010001 +000000000000 +000000000000 +000000000000 +000100010001 +001100110100 +001101000101 +001000110100 +001000110011 +001000110100 +001000110011 +001000110100 +001101000101 +001101000101 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010001 +000100100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000110010 +010001010101 +010101100110 +011001111000 +011001111001 +010101111000 +001101010110 +001101010101 +010101100110 +011001110111 +011001110111 +011001110111 +010101100111 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +010101100111 +001101000101 +010101100110 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011010 +100110101010 +100110101010 +100110101011 +101010101011 +101010101011 +101010101011 +100110101011 +100110011010 +100010001001 +100010001001 +100010001001 +100010001000 +100110001000 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +100110011010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011010 +100110011001 +100010011001 +100010001000 +011101111000 +011001110111 +011001110111 +011001100111 +011001110111 +011001110111 +011001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100110011010 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011110001000 +100010011001 +100010011010 +100010011001 +011110001001 +011101111000 +011101111000 +010101100110 +001101000101 +010001010110 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +100110011001 +011001100110 +010001000100 +010101010101 +010101010101 +011101110111 +100010001000 +100110011001 +100110011001 +100010001000 +100010001000 +011101111000 +011001100110 +011001100110 +011101110111 +011001100100 +011001000010 +100001010010 +100101100010 +100101100010 +011001010010 +010001100010 +010001110100 +010010001000 +011010101100 +010101110111 +010000110010 +011001100101 +011101110111 +011101110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100010000111 +100010001000 +100010011001 +100110011001 +100110011010 +100110011010 +100110011010 +100110011001 +100110011001 +100110011001 +100110011001 +100010001000 +100001110110 +011101100101 +011101100101 +011101100110 +011101100101 +100001110101 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011101111000 +100010011001 +100010011010 +100010011010 +100010011001 +011110001001 +011110001000 +011110001000 +100001110111 +011101110110 +011001100110 +011001100110 +010101100101 +010001010100 +010001000011 +010001000011 +010101010101 +011001100111 +011101111000 +011110001001 +011110001001 +011110001000 +011001110111 +011001110111 +011001100110 +010101010101 +010101010100 +010101010100 +010101010101 +010101010110 +010001010101 +001101000100 +001101000100 +010101010101 +011001110110 +011101110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101111000 +011110001001 +100010011010 +011110001001 +011001111000 +010101010110 +001100110010 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000100100001 +001100110011 +001100110011 +010000110011 +010101000100 +011001010101 +011001100101 +010101010101 +001101000011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010101100110 +011110001000 +011110001001 +011101111000 +010101100110 +010101010110 +011110001001 +011110001001 +010001010110 +000000010001 +000000000000 +000000000000 +000000000000 +000100100010 +010001000110 +010101101000 +010001010111 +010001010110 +001101010110 +001101010110 +010001010110 +010001010110 +001101000101 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000000010000 +000000010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001101000011 +010001010101 +010101100110 +011001111000 +011001111000 +010101101000 +001101010110 +001101000101 +010101100110 +011001110111 +011001110111 +011001110111 +011001100111 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +011110001000 +010101100111 +010001010101 +010101100110 +011110001000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +100010011001 +100110011001 +100010011001 +100010011001 +100110011001 +100110011010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101110111011 +101010101010 +100110011001 +100010001001 +100010001000 +011101110111 +011101110110 +011001100110 +011001100101 +011001100110 +011101110110 +011101110111 +100010001000 +100110011001 +100110011001 +100010011001 +100010001001 +011110001000 +011101111000 +011101111000 +011001111000 +011101111000 +100010001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +100010001000 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011110001000 +100010011001 +100010011010 +100010011001 +011110001000 +011001100111 +011001100111 +010101010110 +010001000101 +010001000101 +001101000101 +010001000101 +010001000101 +010001010101 +001101000101 +010001000101 +010001000101 +011001100111 +100110011001 +010001000100 +001100110011 +010001000011 +010001000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +011101110111 +011101110110 +010001000011 +010000110001 +011101010001 +100001010001 +100101100001 +101001100010 +100101100010 +011101010010 +010101010010 +010001110101 +010110011010 +011110101011 +010101010101 +011001100101 +011101110111 +100001110111 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011001110110 +011001100110 +011101111000 +011110001001 +100010001001 +011110001001 +011001111000 +011001110110 +010101100110 +011001100110 +011101110110 +011101110110 +011001110110 +011001100110 +011001100110 +010101100101 +010101010101 +010101010101 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +011110001001 +011101111000 +011001110111 +010101100110 +010001010100 +010000110011 +010001000011 +001101000100 +001101000100 +001101000100 +010001010101 +010101100110 +011001110111 +011101111000 +011101110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001110111 +011001111000 +011001111000 +011001100111 +010001010101 +001100110011 +000100010001 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000100010001 +001000100010 +001100110010 +010000110011 +010101000100 +011001100101 +011001100101 +010101010100 +001101000011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001001000100 +010001010101 +010101100110 +011110001000 +100010001001 +011110001000 +011101111000 +011110001001 +011110001001 +010001010110 +000000010001 +000000000000 +000000000000 +000000000000 +000100100011 +010001010110 +011001111000 +010101100111 +010001010110 +001101000101 +001101000101 +001101000110 +001101000110 +001001000100 +000100100010 +000000010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000000010001 +000100100010 +001000100010 +000100100010 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +001000100010 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010101100110 +011001111000 +011001111000 +010101101000 +001101010110 +001101010101 +010101100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001001 +100010001001 +100010001001 +100110001000 +100110011001 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101110111011 +101010101010 +101010101010 +100010001000 +011101110111 +011001100110 +010101100110 +010101010101 +010001000100 +010000110011 +010000110011 +010001000011 +010001000100 +010101010101 +011001100110 +011101110111 +011110001000 +100010001000 +100010001001 +100010001001 +100010011001 +100110011001 +100110011010 +100110011010 +100110101010 +100110011010 +100010011010 +100010001001 +011110001001 +011110001000 +011101111000 +011001110111 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011110001000 +100010011001 +100010011001 +100010001001 +011101111000 +011001110111 +011001100110 +001101000101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +010001000101 +001101000101 +001101000100 +010001000100 +010101010110 +100010001000 +100010001000 +100001110111 +010101010101 +010001000011 +010001010100 +011101110110 +100010001000 +100110011001 +100110011001 +100010001000 +100001111000 +100010001000 +100001110110 +011001000010 +011101010001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +011101100010 +010101100101 +010010001001 +010110011011 +011010011001 +011001100101 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +011101110111 +011001110111 +011110001000 +100010001001 +100010011001 +100010001000 +011101111000 +011101110111 +011101111000 +100001110111 +011101110110 +011101100110 +011101100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011001111000 +011001110111 +010101100110 +010001010100 +001100110010 +001100110010 +010101010100 +011101110110 +011101110110 +011101110110 +011001100110 +011001100110 +011001100110 +010101100110 +010101100110 +011110001000 +100010011010 +100010011010 +100010011010 +011110011001 +011110001000 +011001111000 +011001110111 +010101100110 +010101010101 +010001000100 +001101000011 +010001000100 +010101010110 +011001110111 +011101111000 +011110001001 +100010011001 +011110001001 +011101110111 +011001100110 +010101010101 +010001000100 +010001000100 +010101010101 +010101010101 +010001010101 +001101000100 +001101000011 +001100110010 +001000100001 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010001 +001000010001 +001000100001 +001100100010 +010101000011 +011001010101 +011001100101 +010101010100 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +001101010100 +010001010101 +010101100110 +011110001000 +100010001001 +100010001001 +100010011010 +011110001001 +010101100110 +001000110011 +001000110011 +001000110011 +001000110011 +001101000101 +010101100111 +011001111000 +010001100111 +001101000100 +001000110011 +001000110011 +001000110011 +001000110100 +001000110100 +000100100010 +000000010001 +000000010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000000000 +000100010001 +000100100010 +000100100010 +000000000000 +000000010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001000110011 +010101010101 +011001100110 +011001100110 +010101100110 +010101100110 +010101100110 +010101010110 +010101010110 +010001010101 +010101100110 +011001100111 +011001111000 +011001111001 +010101111000 +010001010111 +001101010110 +010101100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011001111000 +011001111000 +011110001000 +011110001001 +011110001001 +011110001000 +011101111000 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100111 +011001110111 +011001110111 +011101110111 +100010001001 +100110011010 +100110011010 +100110011001 +100110011001 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +100110011001 +011110001000 +011001100111 +011001100111 +011001110111 +011001111000 +011001100111 +010101010101 +010001000100 +010000110100 +001100110011 +001100110011 +010000110011 +010001000100 +010101010101 +011001100110 +011101110111 +100010001000 +100010001001 +101010101010 +101110111011 +101110111011 +110011001011 +110011001100 +110011001100 +101111001011 +100110101001 +011110001000 +011001110111 +011001111000 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +011110001000 +011110001000 +100010001001 +100010001001 +011110001000 +011101111000 +011101111000 +010101010110 +001101000100 +001101000101 +010001000101 +001101000100 +010001000101 +010001000101 +010001000101 +001101000100 +001100110100 +001100110011 +010001000011 +011001010101 +100010001000 +100110011001 +101010101010 +100110011001 +011101110111 +010101010101 +010001000100 +010001000011 +001100110011 +001100110010 +001100110011 +001100110010 +001100110001 +010000110001 +010101000001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100001 +100001100010 +010101110101 +010010001001 +010010001010 +011110101011 +011001110111 +011101110111 +011101110110 +011101110110 +011101100101 +011101110101 +011101110101 +011101110101 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +011101110111 +011001100101 +010101010100 +011001110111 +100010001000 +100010011001 +100010001000 +011001100110 +010101010100 +011001100110 +011101110111 +100001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001110110 +011101110111 +011101110110 +011001100110 +011001100110 +010101100101 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +001101000011 +001000100001 +001000100001 +001000100001 +001100110011 +011001100101 +011101110110 +011101110110 +011101110110 +011001100110 +011001100110 +011001100110 +010101100110 +011001100111 +011110001000 +100010011001 +100010011001 +011110001001 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101100110 +010101010101 +010001010100 +010101100110 +011001111000 +011110001001 +100010011010 +100010011010 +100010011010 +011110001000 +011001110111 +010101100101 +010001010100 +001100110010 +001000100001 +001100100010 +001100110011 +001101000100 +010001010101 +010101100110 +010001000101 +001000100010 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010001 +001000100010 +001100100010 +001100100010 +010001000011 +011001010101 +011001100101 +010101010100 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101110110 +011101111000 +011110001001 +011110001001 +011001111000 +010101010110 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010101100111 +010101101000 +010001010110 +001000110100 +000100100011 +001000100011 +001000100011 +001000110011 +001000110011 +000100100010 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +000100100010 +000000010001 +000000000000 +000000000000 +000000010001 +000100010001 +000000000000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000000010000 +000000010000 +000000000000 +001000110011 +010101010110 +011001100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +011001100111 +011001111000 +011001111000 +010101111000 +010001010111 +001101000101 +010001010110 +010101100110 +011001110111 +011001110111 +011001100111 +011001111000 +100010001001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011001110111 +010101010101 +010001000100 +010001010101 +010101010110 +010001000100 +001100110011 +010001000100 +010001010101 +010101010110 +010101100111 +011110001001 +100110011011 +100110101011 +100010011001 +100010001000 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +101010101010 +100110011001 +011110001000 +011001110111 +011001110111 +011110001001 +011110001001 +011110001001 +011001111000 +011001100111 +010101010110 +010101010110 +010001000100 +010000110011 +001100110011 +001100110011 +010001000100 +010101010101 +100110011000 +110111001100 +111011011100 +110111011011 +110011001010 +101110111001 +101110111001 +110011001001 +110111001010 +110111011011 +111011011100 +110011001011 +100110101010 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +011110001001 +011001111000 +011001111000 +011001110111 +011001110111 +011001100111 +011001110111 +010001000100 +001100110100 +001101000100 +010001000100 +001101000100 +010001000100 +001100110100 +010001000100 +001100110011 +001000100010 +001100110010 +001000010000 +001100100010 +011101100110 +100110011001 +101010101010 +101010101010 +101010101011 +101010101011 +101010101010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011010 +011101110111 +001000100001 +001100100001 +011101010010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +011001100100 +010001110111 +010010011010 +010010001010 +011110011001 +011101110110 +011101110110 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110111 +011101110111 +011001100110 +011001100101 +011101110111 +100010011001 +100110011001 +100010001000 +010101010101 +010001000011 +011001100101 +011101110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011001110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +010101010100 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110011 +010001010101 +011001100110 +011101110111 +011110000111 +011101110111 +011001110110 +011001100110 +011001100110 +011001100110 +010101100110 +010101100110 +011001110111 +011001111000 +011001110111 +010101100110 +010001000100 +010001000100 +010101010101 +011001110111 +011001110111 +011001100110 +011001100110 +010101100101 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +011110001000 +011001110111 +010101100110 +010101010101 +001100110011 +001100100010 +001100110011 +010001010101 +010101100110 +011001111000 +011001111000 +010101100111 +001100110011 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000000010000 +000000010001 +001000100010 +001100110011 +001100110010 +001100100010 +010001000011 +011001010100 +011001100101 +010101010100 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010001010101 +010001010101 +010001100101 +010101100110 +010101100110 +010101100110 +010001010110 +001101000101 +001101000101 +001101010101 +001101010101 +001101010101 +010001010101 +010001010110 +010001010110 +001101000101 +001000110011 +001000100010 +001000100010 +001000100011 +001000110011 +000100100010 +000100010001 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000000010001 +000000000000 +000000000000 +000000010001 +000100010001 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000000010000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001000110011 +010001010110 +010101100111 +010101100110 +010101100110 +010101100111 +011001100111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001110111 +011001111000 +010101111000 +010001010111 +001101000101 +010001010110 +010101100110 +011001110111 +011001110111 +011001100111 +011001110111 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011001110111 +010101010101 +001100110010 +001100110011 +010101100111 +011101111001 +011001100111 +001100110011 +001100110011 +001100110100 +001101000101 +010001010110 +011001111000 +100010011010 +100010011010 +011110001000 +011001100110 +011001100110 +011101110111 +011101110111 +100010001000 +100010001000 +100110011001 +100110011001 +100110011001 +100110011001 +101010011010 +101010011010 +100110011001 +100010001001 +011101111000 +011101111000 +011110001001 +100010011010 +100010011010 +100010011010 +011110001001 +011101111000 +011001100111 +010101010110 +010001010101 +010001000100 +010001000100 +010101010101 +101010101000 +110011001010 +101010101000 +100010010110 +011110000101 +011001110100 +011110000101 +011001110100 +011101110101 +011110000101 +100010000101 +100110010110 +101110111000 +111011011011 +110011001011 +101010101010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011010 +100110011010 +100010011010 +011110001001 +011001110111 +010101100110 +010001010101 +010101010110 +010101100110 +011001100110 +010001000100 +001100110011 +010001000100 +010000110100 +001100110011 +001100110011 +001100110011 +001100100010 +001000010001 +001000010001 +001000010001 +000100010000 +001000100010 +010001000100 +011001100110 +100110011010 +101010101010 +101010101010 +101010101010 +101010101010 +100110101010 +101010101010 +100110011010 +100110011010 +100110101010 +101010101010 +011001100101 +000100010000 +010100110001 +100001100010 +100101100010 +100101100010 +100101100010 +101001100001 +100001100010 +011101110100 +011001110100 +010110001001 +011010011011 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110101 +100001100101 +100001110110 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100110011001 +100010001001 +011101110111 +011001100110 +011101110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +010101010101 +001100100010 +001000010000 +001000100001 +001100100001 +001100110011 +010001000100 +010101100110 +011001110111 +011110001000 +011110001001 +100010001001 +011110001000 +011101110111 +011001110110 +010101100110 +010101010101 +010101010100 +010101010100 +010101010101 +010101010101 +010001010101 +001101000011 +001100110010 +001100110010 +010001000100 +010101100101 +011001100111 +011001100111 +011001110111 +011001100110 +011001100110 +011101110111 +011110001001 +100010011001 +100010011001 +011110001001 +011110001000 +011101111000 +011001110111 +011001100110 +010101100110 +010001010101 +010001000100 +010101010101 +011001110111 +011110001001 +011110001001 +011110001001 +010101100111 +001000110011 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010010 +000100010010 +000000010001 +000000010001 +001000100010 +001100110011 +010000110011 +010000110011 +010101000011 +011001010101 +011001100101 +010101010100 +001100110011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010101 +001101000100 +001000110011 +000100110010 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +000100100010 +001000110011 +001000110011 +000100100010 +000100100011 +000100100011 +000100100010 +000000000000 +000000000000 +000000010001 +000100010001 +000100010000 +000100100000 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001000100010 +010001010110 +010101100111 +010101100110 +010101100110 +010101100111 +011001100111 +011001110111 +011001110111 +011001100111 +011001100111 +010101100111 +011001110111 +011001111001 +010101111000 +010001010111 +001101000101 +010001010110 +010101100111 +011001110111 +011001110111 +010101100111 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +011001111000 +011001111000 +011001111000 +010101100111 +010001010110 +001100110100 +001000100010 +010001000100 +011110001001 +100010011011 +100010001001 +010101010110 +001100110100 +001100110100 +010001000101 +010101010110 +011001100111 +011001111000 +011101111000 +011001100110 +010001000100 +010101000100 +010101100110 +011001100111 +011101111000 +100010001000 +100010011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110001001 +100110001000 +100001111000 +011101111000 +100001111000 +100010001001 +100010011001 +100010011010 +100010011010 +100010001001 +011110001000 +011001111000 +011001100111 +010101100110 +010101010101 +011101110111 +101010101000 +100110010110 +011101110100 +011001110011 +010101110011 +010101100011 +010101100011 +010101100010 +010001100010 +010101100011 +010101110011 +010101100010 +010101100010 +011001100011 +100010000101 +110011001001 +101110111000 +101110111010 +100110101010 +100010001000 +011101110111 +011001110111 +011001100111 +011001110111 +011001110111 +011101111000 +100010001001 +100010011001 +100010011010 +100010011010 +100010011001 +011110001001 +011001110111 +010101100110 +001101000100 +010001010110 +010101100110 +011001100110 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001000100001 +001000010000 +001000100001 +001000010001 +001000100001 +001100110010 +001000100010 +001000100010 +010101010101 +100110011001 +100110011010 +100110011010 +101010101010 +101010101010 +101010101010 +100110101010 +100110011001 +100110011010 +101010101010 +100110011001 +001100110010 +001000010000 +010100110001 +100001100001 +100101100010 +100101100010 +101001100010 +101001100010 +100101110010 +100001110010 +010110000110 +010110011010 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +100001110111 +100010001000 +100110011001 +100110011001 +100110011001 +100110011010 +100110011010 +100110011001 +100010001001 +100010001001 +100010001001 +100010001000 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011001100111 +010101100110 +010001000011 +001100110010 +001100110010 +010001000100 +011001100111 +011001111000 +011110001001 +011110011001 +100010011010 +100010011010 +100010001001 +011110001000 +011101110110 +011001100110 +010101100110 +010001010100 +001100110011 +001100110010 +010001000011 +001101000011 +001000110010 +001100110010 +010001000100 +010101100101 +011001110111 +011001110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011110001000 +011110001000 +011001111000 +010101100111 +011001100110 +011001100110 +011001110110 +011001100111 +011001100111 +010101100110 +010101100110 +011001100111 +011110001001 +011110001001 +011110001001 +011001111000 +010101100110 +001000100010 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000100010000 +000100010001 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +001000100010 +001100110011 +001100110011 +010000110011 +010101000100 +011001010101 +011001100101 +010101010100 +001100110011 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001101000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +000100110011 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001000110100 +000100100010 +000000010001 +000000010001 +000100010010 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100000 +000100010000 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100000 +000100010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000000010001 +000000010001 +001000110011 +010001010110 +010101100111 +010101100111 +010101100111 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001110111 +011001111001 +010101111001 +010001010111 +001101000101 +010001010110 +010101100111 +011001110111 +011001110111 +010101100111 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +011110001000 +011110001000 +011001111000 +011001110111 +011001110111 +010101100111 +010101100110 +010101100110 +010001010110 +010001010110 +001101000100 +001000110011 +001000110011 +010001010110 +011110001010 +100010011011 +100010001010 +011001100111 +010001000100 +001100110100 +010001000101 +010101010110 +010101010110 +010101010110 +011001010110 +011001010110 +011001100110 +011101110111 +011110001000 +100010001001 +100010011001 +100110011010 +100110101010 +100110101010 +100110011010 +100110011010 +100110011001 +100110001001 +100001111000 +100001110111 +011101100111 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +011001111000 +011101110111 +100110010111 +011101110100 +011001110011 +010101100011 +010001010010 +010001010010 +010001010001 +010001010001 +010001010010 +010001010010 +010001010010 +010001010001 +010001010001 +010001010010 +010101010010 +010101100010 +011001110011 +101110100101 +101010100110 +110011001010 +101111001011 +011001110111 +010001010101 +010001010101 +010001010101 +010001010101 +010101100110 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001001 +011001111000 +010101100110 +001101000101 +010101010110 +010101100110 +011001100110 +010001000011 +001100110010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000010001 +001100100001 +001000100001 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +011101110111 +100110101010 +100110011001 +100110011001 +101010101010 +100110011010 +100110101010 +100110011010 +101010101010 +100110101010 +100110011001 +010001000011 +001000010001 +001000010000 +011001000001 +100101100010 +101001100010 +101001100010 +101001110010 +101001110010 +100001110010 +011010000110 +010110011010 +011110011001 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110111 +100010001000 +100010011001 +100010011001 +100110011001 +100110011010 +100110011010 +100110011001 +100010011001 +100110011001 +100110011001 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001110111 +011001100111 +010101100110 +010001000100 +010001000100 +011001100111 +011110001001 +100010011010 +100010011001 +100010011010 +100010011010 +100010011001 +100010001001 +011101110111 +011101110110 +011001100110 +010101100110 +010001010100 +001100110011 +001100110010 +001100110011 +010001000100 +010001010101 +010101100110 +011001111000 +011110001000 +100010001001 +011110001000 +011001110110 +010101010101 +010101010100 +010101010100 +010101010100 +010101100101 +010101100110 +010101100110 +010001010101 +010001000100 +010101010101 +011001100110 +011001110111 +010101110111 +011001100111 +011001100110 +010101100110 +011001110111 +011110001000 +011110001001 +011001111000 +010101100111 +010001000101 +001000100010 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000100010000 +001000100001 +001000100010 +001100110010 +010101000100 +011001010101 +011001100101 +010001010100 +001000110011 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001001000011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001101000011 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000000010001 +000000010001 +000100100001 +000100100001 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100010 +000100100011 +000100100010 +000100100010 +001000110011 +001101000100 +001101000101 +001100110100 +001000110011 +000100100010 +000100010010 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100010 +001000110011 +001000110011 +001000100010 +000100100010 +001000100010 +001000110011 +001101000100 +001101000101 +010001010110 +010001100111 +010101100111 +010101100111 +010101100111 +010101100111 +011001100111 +011001110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001110111 +011001111000 +010101111000 +010001010111 +001101000101 +001101000100 +010001010101 +010101100110 +010101100110 +010101100110 +010101100111 +011110001000 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001000 +011101111000 +011001110111 +011001110111 +010101100111 +010101100110 +010101100110 +010001010110 +010001010110 +010001010101 +010001000101 +010001000101 +001101000101 +001101000100 +001100110100 +001101000101 +010101010111 +011001111001 +011001111001 +011001111000 +010101010110 +001100110011 +001100110011 +010000110100 +010001000100 +010001000101 +010101000101 +011001010110 +011101110111 +100010001001 +100010011001 +100110011010 +100110101010 +100110101011 +100110101011 +100110101011 +100110101010 +100110101010 +100110011010 +100110011001 +100010001001 +100001111000 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011101111000 +011110001000 +011110001000 +011110000111 +011001100011 +010101100010 +010101010010 +010001010010 +001101000001 +001101000001 +001101000001 +001101000001 +001101000001 +001100110001 +001100110001 +001101000001 +001101000001 +010001000001 +010001010010 +010001010010 +010001000010 +011101100011 +100010000011 +011001110101 +011110011000 +100010101001 +011001100110 +010001010101 +010001010101 +010001000101 +010001010101 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010101100110 +010101100111 +011001100111 +010001000011 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001100100010 +001100100010 +001100100010 +001000100010 +001100100010 +001000100001 +010001000100 +100110011001 +100110101010 +100110011001 +100110011010 +100110011001 +101010101010 +101010101010 +101010101010 +101010101010 +100110011001 +001100110010 +001000010001 +001000010001 +010000110001 +100001100010 +100101100010 +101001100010 +101001100010 +101101110010 +100101110010 +011001110110 +010110001001 +011110101011 +011110000111 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101110111 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011001100111 +011001110111 +011001110111 +011001110111 +010101100110 +010101100110 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001000 +011101110111 +011101110110 +011001100110 +010101100110 +010101100101 +010101010100 +010001000100 +010001010101 +011001100111 +011010001000 +011110001001 +100010011010 +100010011010 +100010011010 +011110001000 +011001100110 +010101010100 +010001000011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001101000100 +010001010101 +011001100111 +011001110111 +011001110111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +011001100111 +010101100111 +010001010110 +010001000101 +001000110011 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001100110010 +001100110011 +001000100010 +001000100010 +001100110011 +010000110011 +010101000100 +010101010101 +010101010101 +010001000100 +001000110010 +000100100010 +000100100011 +001000110011 +001000110011 +001000110011 +001001000011 +001101010100 +010001010101 +010001100101 +010001100101 +010001100101 +010001010100 +001101000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000100001 +000100100010 +000100100010 +000100100001 +000100010010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010010 +000100010010 +000000010001 +000000010001 +000100100010 +000100100010 +001000110011 +001101000100 +001101000100 +001101000100 +001000110011 +000100100010 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000110001 +001100110010 +010001010100 +010101100101 +010101100110 +011001110111 +011001111000 +011001111000 +010101100111 +010101100110 +010101100110 +010101100111 +011001100111 +011001111000 +011001111000 +011001111000 +010101111000 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +011001100111 +010101100111 +010101100110 +010101100111 +010101100111 +010001100111 +001101000101 +000100100011 +000100100010 +001000110011 +001000110100 +001100110100 +001101000100 +010001010101 +011001100111 +011110001000 +011110001000 +011110001000 +011001111000 +011001110111 +010101100111 +010101100110 +010001010110 +010001010110 +010001010101 +001101010101 +001101000101 +001101000110 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +010001010110 +011001111001 +011110001001 +011001111000 +010001000101 +001100110011 +001100100010 +001100100010 +001100100011 +010000110011 +010000110100 +010001000101 +011001010110 +011101111000 +100010001001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010001001 +011110001000 +011101111000 +011101111000 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011110001000 +011110001001 +100010001001 +100010001000 +011001100101 +010101010011 +010001000010 +010001000001 +001101000001 +001100110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001100110001 +001100110001 +001100110001 +010001000001 +010001000011 +011101110111 +100010001000 +100001110101 +010001010100 +010001100101 +011110000111 +101110111010 +011101110110 +010001010101 +010001010101 +010101100110 +011001111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010101100110 +010101100110 +011001100111 +010001000100 +001100100010 +001100110010 +001100100010 +001000100001 +001100100010 +001100100010 +001100100001 +000100010000 +000100010000 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +011101110111 +100110011001 +100110011001 +100110101010 +100110011001 +100110011010 +100110011010 +100110011001 +100110011010 +100010001000 +001000100010 +001000010001 +001000010000 +001100100000 +011101010010 +100101100010 +101001100010 +101001110010 +101101110010 +101001110010 +011110000101 +010110011001 +011010101100 +100010011001 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110111 +011110001000 +011110001000 +100010001001 +100010001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100001111000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101111000 +011101110111 +011101110111 +011001100110 +011001100110 +011001110111 +011001110111 +011001100111 +011001100110 +011001111000 +011110011001 +100010011001 +100010001001 +011110001001 +011110001000 +011101111000 +011101110111 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011101111000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +011101111000 +010101100110 +010001010100 +001100110010 +001000100001 +001000100001 +001000100001 +001100110011 +010001000100 +010101100110 +011001110111 +011110001000 +011101111000 +011001110111 +010101100110 +010001010101 +010001000101 +010001000101 +010001010101 +010001010101 +001101000101 +001101000101 +001101000101 +001100110011 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010000 +000100000000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +001100100001 +010100110001 +011101010010 +100001110011 +100110000101 +100010000110 +100010011000 +100010011001 +100110101010 +100110101010 +100110101011 +100110101011 +100110111100 +100010101011 +100010011001 +011110001000 +011001110111 +010001010101 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001001000011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001001000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010001 +000100100001 +000100010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +001000110011 +001000110011 +001100110100 +001000110011 +000100100010 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +001000100001 +001000110010 +001101000011 +010001000100 +010001010101 +010101010101 +010101100110 +010101100110 +011001100110 +011101111000 +100010001001 +100010001001 +100010011010 +100010011010 +100010011010 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011010001001 +011001111000 +010101111000 +010101101000 +010101100111 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010001010110 +001101000100 +000100100011 +000100010010 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +001000100011 +001101000101 +010101100110 +010101100110 +010101100110 +010001010101 +010001000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101010110 +001101000101 +001101000101 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010001010111 +011001111001 +011110001010 +010101101000 +001101000101 +001100100011 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +010000110011 +010101000101 +011001100110 +011001110111 +011110001000 +011110001001 +100010001001 +011110001001 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +011001100111 +011001110111 +011001110111 +011101111000 +011101111000 +011110001000 +011101111000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +100110101010 +011101110111 +010001000011 +001100110001 +001100110001 +001000100001 +001000100001 +001000100000 +001000100000 +001000100001 +001000100001 +001000100001 +001100110010 +001100100001 +001100110001 +010001000010 +010001000100 +011001010110 +011001100110 +011101100101 +001100110001 +001101000001 +010101100100 +101110111001 +101110111010 +010101010101 +010001010101 +010101100110 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010101010110 +010101100110 +011001100110 +010101100101 +001100100010 +001000100010 +001100100010 +001000100001 +001100100001 +010100110011 +010000100010 +000100000000 +000100010000 +010000110010 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000010001 +010001000100 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100110011001 +010101010100 +001000010001 +001000010001 +001000100001 +001100100001 +011101000001 +100101010010 +100101100010 +101001100010 +101001110010 +101001110010 +100010000101 +010110011010 +010010011010 +011010001001 +011101110110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011001110111 +011101111000 +011101110111 +011101110111 +011001100110 +011001100110 +011001110110 +011001110111 +011001100111 +011001110111 +011001111000 +011110001000 +011110001000 +011001110111 +011001100110 +010101100110 +011001100110 +011101110110 +100001110110 +011101110110 +011001100110 +011001100110 +011001100110 +011101110110 +100001110110 +100010000111 +100110101000 +101010101001 +101010101010 +101010101001 +100110011001 +100010001000 +011001110111 +010101100101 +010101010100 +001101000100 +001000110010 +001000100010 +001101000011 +010101100101 +011001110111 +011010001000 +011110001001 +011110001001 +011110001000 +011001110111 +010101100110 +010001000101 +001100110100 +001101000100 +001101000100 +001101000101 +010001010101 +010101100111 +010101100111 +010001010101 +001000100010 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +001000010001 +011001000001 +100101110011 +101110010100 +110010100101 +110010100101 +101010010101 +100010000110 +011110010111 +011010011000 +010110001001 +010110001010 +010110011010 +010110011011 +010110011011 +010110101100 +010110101100 +011010101100 +011010101101 +011110111101 +011110111101 +011110101100 +011110011010 +011001111000 +001000110011 +001000110011 +001000110011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001001000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010001 +000100100010 +000100010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000100100010 +000100100010 +000000010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +001000110011 +001101000100 +010001010101 +010101100110 +011001110111 +011001111000 +011101111000 +011110001001 +011110001001 +100010001001 +100010001001 +100010001010 +100010011010 +100110011010 +100110101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110011011 +100110011010 +100010011010 +100010011010 +100010011010 +011110001010 +011110001001 +011110001001 +011010001001 +011001111001 +010101111000 +010101100111 +010001010110 +010001010110 +010001010110 +010101100110 +010101100111 +010101100111 +010001010110 +001101000101 +001000110100 +001000110100 +001000110100 +001000110100 +001000110100 +001001000101 +001101000101 +001101000101 +001101000101 +010001010110 +010001010110 +001101000101 +001101000100 +001100110100 +001100110100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000100 +001100110100 +001100100011 +001100100011 +001100100011 +001100100011 +001100100011 +001101000101 +010101101000 +011001111001 +010101101000 +010101100111 +010101010110 +010101010101 +010001000100 +010000110100 +010000110011 +010000110100 +010101000101 +010101010101 +010101010101 +010101010101 +010101100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +011101111000 +010101000101 +011001010101 +010101010100 +011001100101 +100010001000 +011101110111 +010101010101 +001100110011 +001100110011 +011001100110 +011101110111 +100010001000 +011101100110 +010101010100 +010101010100 +010101010100 +010101000100 +001100110011 +010001000010 +011101010001 +011001000001 +010101010010 +100010000111 +110011001011 +011001100110 +010001000101 +010101100110 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010001010110 +010101010110 +010101100110 +011001110111 +001100110011 +001000100010 +001100100010 +001100100001 +001100100001 +010100100010 +010000100010 +001000100001 +001100110010 +001100110010 +001000010001 +001000010000 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +011101110111 +100010001001 +100010001000 +100010001000 +100010001000 +100110011001 +100110011001 +010101010101 +001000010001 +001000100001 +001000010001 +001000100001 +001100100001 +011101010010 +100101010010 +100101100010 +101001100010 +101001110010 +101001110010 +100101110010 +011010000111 +010010001010 +010110001001 +011101110110 +011101100101 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110111 +011101110111 +011001110111 +010101100110 +011001111000 +011110001001 +100010001001 +011110001000 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100110 +011001110110 +011001110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +010101100110 +010101100110 +010101100110 +011001100110 +011001100111 +010101100110 +010101010101 +010001000100 +001101000011 +010001000011 +010101100101 +011101110110 +100001110111 +100010000111 +100110001000 +101010101001 +101110111001 +110111001001 +110111001000 +110010110110 +110010110101 +110110110101 +110110110110 +110110110101 +110110110101 +110010110101 +101010010110 +100001110101 +011001100101 +010001010101 +010001000100 +010001000100 +010101010101 +011001111000 +011110001001 +011110001001 +011110001001 +011110001000 +011001111000 +011001100111 +010101100110 +010001010101 +001101000100 +001101000100 +010001010101 +010101100111 +011001111000 +011001111000 +011001111000 +010101010110 +001000100010 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +010000100000 +100101100001 +110010010011 +110010010011 +101010000011 +101010000010 +101110010011 +100010000100 +010101110100 +001101110110 +001101110110 +010010000110 +010010001000 +001110001001 +001110001010 +001110001010 +001110001010 +001110001011 +001010001011 +001110011011 +001010001011 +001110001011 +001110011100 +010010011100 +010110011011 +011110101100 +011110011010 +010101111000 +010001010110 +001101010101 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001001000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000110011 +010101010110 +011001110111 +011110001001 +100010001001 +100010001001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110101011 +100110101011 +100110101010 +100110101010 +100110101011 +100110101011 +100110101011 +101010101011 +101010101011 +101010101011 +101010101011 +100110101011 +100110101011 +100110101011 +100010011010 +100010011010 +011110001001 +011110001001 +011110001001 +011110001001 +011001111000 +011001111000 +011001110111 +011001111000 +011001111000 +011101111000 +011101111000 +011101111000 +011001111000 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010001100111 +010001010110 +001101000101 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001000101 +010001000101 +001101000101 +001101000101 +001100110100 +001100110011 +001100110011 +001100110011 +001100100011 +001100100011 +001100110011 +001101000100 +010001010110 +010101100111 +011101111001 +100010001001 +011110001001 +011101111000 +011001100110 +011001010110 +011001010110 +011001100111 +011001100110 +010101010110 +010101010110 +010101100110 +010101100110 +010101100111 +011001100111 +011001110111 +011001111000 +011101111000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010011001 +011110001001 +011110001001 +100010001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +001100110011 +010001000011 +011001100110 +011001100110 +100010001000 +011001100110 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +100010001000 +010000110100 +011101110111 +011101110110 +010101010100 +011001010101 +010101010101 +010101010100 +011101010100 +101001110010 +101001100010 +011101010001 +011101100011 +110011001001 +100010000111 +010001000101 +010101100110 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001001 +011001111000 +010101100111 +010101100110 +010101100110 +010101100110 +011001100110 +011101110111 +010101100101 +001000100001 +001100100010 +001100100010 +010101010100 +010000110010 +001000010001 +001000100010 +001100110010 +001100100010 +001000100001 +001100110010 +001000010001 +001000100001 +001000010001 +001000010000 +001000010000 +011001100110 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +010101010101 +001100100010 +001000010001 +001000010001 +001000100001 +001000100001 +001100110001 +011101010010 +100101100001 +100101100010 +101001100010 +101001110010 +101001110010 +100101110010 +011110000110 +010010001001 +010010001001 +011001110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110110 +100001110110 +100001110111 +011101110111 +011001110111 +010101100110 +011101111000 +100010001001 +100010011001 +011110001000 +011001110111 +010101100110 +011001110111 +011101110111 +100010000111 +100001110111 +100001110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011001110111 +011001110111 +011101110111 +011101110111 +011001100110 +011001100110 +010101100110 +010101010101 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001000100001 +001000110010 +001101000100 +010101100101 +011001110111 +100110011000 +101110111010 +110111011100 +110011011101 +101011001010 +101010111000 +100110100101 +100010010100 +100010000011 +011110000010 +011001110010 +011001110010 +011101110010 +011101110010 +011101110010 +100010000011 +100110000011 +101010000100 +100110000100 +011101100100 +010101010101 +010101100111 +011110001000 +011110001001 +011110001001 +011001111000 +011001111000 +011001110111 +011001100111 +010101100110 +010001010110 +010001010101 +010001010101 +010101100111 +011001111000 +011110001001 +011110001001 +011001111001 +010001010110 +001000100010 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +001100100000 +100101100010 +110110110100 +111010110011 +101001110001 +011101100010 +011101110010 +100001110010 +100010000010 +010101100011 +001101100100 +001001110110 +001001110101 +001101110100 +001001110101 +001101111000 +001110001001 +001110001010 +001010001010 +001010001010 +001010001010 +001110011011 +001110011100 +001110011100 +001010011011 +001110001011 +010010011100 +010010101100 +010110101100 +011110111101 +011110111100 +011010001001 +010001010110 +010001010101 +010001100101 +010001100101 +001101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010010 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001000100010 +000100010000 +000000010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010001 +000100100001 +000100100010 +001101000100 +010101100111 +100010001001 +100110011011 +101010101011 +101010101011 +101010101011 +100110101011 +100110101011 +100110101011 +100110101010 +100110101011 +100110101011 +100110101011 +100110101010 +100110101011 +100110101011 +101010101011 +101010101011 +101010111100 +101010111100 +101010101011 +100110101011 +100110011010 +100110101011 +100110011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011010001001 +011001111000 +011001111000 +011001111000 +011010001001 +011010001001 +011010001001 +011010001001 +011001111000 +010101111000 +010101100111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010001000101 +010001000101 +010101000101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000101 +011001100111 +011101111000 +100010001001 +100010001001 +011101111000 +011001110111 +011001100111 +011001100111 +011001110111 +010101100111 +010101100110 +010101100111 +011001110111 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010011001 +011001100110 +010101010101 +011101110111 +011001100110 +010101010101 +011001010101 +101010101010 +011101110111 +011001100110 +011101111000 +100110011001 +100110011001 +010001000100 +011001100101 +011001100110 +011001010101 +010101010101 +010101010101 +011001100110 +011001100100 +101001100010 +101101110010 +100001010001 +100001100010 +101010010101 +101110101000 +010101010101 +010101100110 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +011110001001 +011101111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011110001000 +001100110011 +001100100010 +001100110011 +011001100110 +100010011001 +010101010101 +001000100001 +001000100001 +001100100010 +001100100001 +001100100001 +001000100001 +001000100001 +001000010000 +001000010000 +001100110010 +011001100110 +011101110111 +011001100110 +010001000011 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +010000110001 +011101010010 +100101100010 +100101100010 +100101100010 +101001100010 +101001110010 +100101110010 +011110000110 +010110011010 +010001100111 +011001100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011110001000 +011101111000 +011101111000 +011110001000 +100010011001 +100010011001 +011110001000 +011001111000 +011001110111 +011110001000 +011110001000 +100010000111 +100001110110 +100001110110 +100001110110 +011101110110 +011101100110 +011101100101 +011101100101 +011101100110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101111000 +011101110111 +011001100110 +010101100101 +010101100110 +010101010101 +001101000011 +001100110010 +001100110011 +001100110011 +001100110011 +001101000011 +010101010110 +011001110111 +100010001000 +101010111011 +110011001100 +101011001100 +011110101010 +011010101001 +011110010111 +011010010101 +011010000011 +010101110010 +010101100010 +010101100010 +010101100010 +010001010010 +010001010010 +010001010010 +010001010010 +001101000001 +010001000001 +010001000000 +011001010010 +011101100011 +011101110101 +011001110111 +011101111000 +011001111000 +010101100111 +010001010110 +010101100110 +011001100110 +011001100110 +010101100110 +010101100110 +010101010110 +010001010110 +011001110111 +011110001001 +011110001001 +011110001001 +011001111000 +001101000101 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100000 +000100100001 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +001100100000 +101001110010 +111111000100 +110110100011 +100101110010 +011001100010 +010101100010 +011001100010 +011001100010 +010001010010 +001101010010 +001001100011 +001001100100 +001001100100 +001001100011 +001001100100 +001001110101 +001001110110 +001001110111 +001001111000 +000101111001 +000101111001 +001010001010 +001010001010 +001010001011 +001010001011 +001010001010 +001010001011 +001010001011 +001001111010 +001110001011 +010110101100 +010110101100 +011010011011 +010101111000 +010001100101 +010001100101 +001101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +001000110011 +000100010000 +000100100001 +000100100001 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000110011 +010001010110 +011101111000 +100010011010 +100110011010 +100110101011 +101010101011 +101010101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110011010 +100110011010 +100110011010 +100110101011 +100110101011 +101010101011 +101010101011 +101010101011 +101010101011 +100110011010 +100010011010 +100010011010 +100110011010 +100110011010 +100110011010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011010 +100110011010 +100010011001 +100010011001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011001111000 +011010001000 +011010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011010001001 +011001111000 +011001111000 +011001110111 +010101110111 +010101100111 +010101100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +010001010101 +010101010110 +010101010110 +010101010101 +010001010101 +010001010101 +010101010101 +010001010101 +010101010110 +011001100111 +011001110111 +011001100111 +011001100111 +011001110111 +011001111000 +011001111000 +011001110111 +011001110111 +011001111000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +011110001001 +100110011000 +011001100101 +011001100101 +011001100110 +011101110111 +011101110111 +010001000100 +001100110100 +001100110100 +001101000101 +001100110011 +010101000100 +011101110110 +011101110110 +011001010101 +010101010101 +011001100101 +010001000100 +010101010101 +011001010011 +100101100010 +101101110010 +101001100010 +101001110010 +110010100100 +101110110111 +011001100110 +010101100110 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011101111000 +011101111000 +011101110111 +011001110111 +011001110111 +011101110111 +011110001000 +011101110111 +001100110010 +001000100001 +010101010101 +100010011010 +100010011010 +011110001000 +010101010101 +001100110011 +001100100001 +001000100001 +001000100001 +001100100001 +001000100001 +001000100000 +010000110011 +001100110010 +001000100001 +001000010001 +000100010000 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +001000100001 +010000110001 +011001000001 +100001100010 +100101100010 +100101100010 +101001100010 +101001110010 +101001110010 +100010010101 +010110001001 +010110001010 +011110001000 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010001001 +100010011001 +100010001001 +100001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110111 +011101111000 +011101110111 +011001100101 +010101010101 +010101100110 +010101010101 +010001000100 +001101000011 +010001000100 +010001010101 +010101100110 +011001110111 +011110001000 +100110101010 +110011001101 +100110111100 +011010011010 +010110011001 +010110010111 +010110000100 +010101100011 +010001010010 +010001010010 +010001010010 +001101000010 +010001010011 +001101000010 +001101000010 +001101000010 +001101000001 +001100110001 +001100110010 +010101010100 +010101100101 +011001100110 +011101110111 +100010000111 +100010000110 +011001100110 +010001010101 +001101000100 +001000110011 +010001000100 +010101100110 +011001100111 +010101100110 +010101100110 +010101100110 +010101100110 +011001111000 +011010001000 +011001111000 +011001110111 +010001010110 +001000110011 +000100010001 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100000 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010100110001 +110010010010 +111010100010 +110010010011 +100001100010 +011001010001 +010101010010 +010001010010 +010001000010 +001101000010 +001101000010 +001101000001 +001001000010 +001001010010 +001001010011 +001101010010 +001001010010 +001001010010 +001001100100 +001001100110 +001001101000 +001010001010 +001001111001 +000101111001 +000101101001 +000101101001 +001001111001 +001001111010 +001001111010 +001010001010 +001010001011 +001010001011 +001010001011 +001010001011 +001110011100 +010110101100 +010101111000 +010001010101 +010001000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +000100110011 +000100010001 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000101 +010101100110 +011101111000 +100010001001 +100110011010 +100110101011 +101010101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110101010 +100110011010 +100110011010 +100010011001 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011110001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011001111000 +011001111000 +010101110111 +010101100111 +010001100110 +010001010110 +010001010101 +010101100110 +011101110111 +100010001000 +100110011001 +101010101010 +100110101010 +100110101010 +100110101001 +100010001000 +011110000111 +011001110111 +010101100110 +011001100111 +010101100111 +011001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +011110001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +100010001001 +011110001001 +011110001001 +100110011000 +011001100101 +010101010101 +010001000100 +011101110111 +001100110011 +001100110011 +010001010110 +001101000110 +001101000101 +010001000100 +001100100001 +001100110010 +011101100110 +011001100101 +010000110011 +011001010101 +011001100101 +011101110111 +011001100101 +100001010010 +101101110010 +101101110010 +101101110001 +111111000100 +110111001000 +011101110111 +010101010110 +010101100110 +011101111000 +100010011001 +100010011010 +100010011010 +100010001001 +011110001001 +011101111000 +011001110111 +011001100111 +011001100111 +011001110111 +011101110111 +011110001000 +100010001000 +011001110111 +001000100010 +001100110010 +100010011001 +100010011001 +100010001001 +100010011001 +100010001001 +100010001000 +011110001000 +011110001000 +011101110111 +010000110010 +000100010000 +001000010001 +001000010000 +001000010000 +001000010000 +001000010000 +001000010001 +001000010001 +000100010000 +000100010001 +001000010001 +000100010000 +000100010000 +001000100000 +010000110001 +011101010001 +100101100010 +100101100010 +100101100010 +101001100010 +101001110010 +101001110001 +100110000100 +011010011001 +010010001001 +011001111000 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +100010001000 +100010001000 +100010001001 +100010011001 +100010011010 +100010011010 +100110011010 +100110011010 +100110101010 +100110011010 +100010011001 +011101110111 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011001100101 +010101010101 +010101100110 +010101100110 +010101100101 +010101010101 +010101010110 +011001110111 +011110001000 +100010011001 +101111001100 +100110111100 +011010101011 +010110001000 +010110000110 +011001110101 +011001110100 +011101110101 +011001110101 +011001100101 +010101010101 +011001100110 +010101010101 +010101010101 +011001100110 +010101010101 +010101010101 +011001110110 +010001000011 +011101110111 +100110101010 +100010001001 +100110011010 +101110111011 +101010111011 +101110101010 +011001010101 +001000100010 +001000110010 +001101000100 +010101100110 +011001100111 +010101100110 +010001010110 +010001010101 +010001010101 +010101100110 +010101100111 +010101100111 +010101010110 +010001000101 +001100110100 +001000100010 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +010000100001 +110110100010 +110110100011 +100101110010 +011001010001 +010101010001 +010001010010 +001101000001 +001101000001 +001101000001 +001101000001 +001101000001 +001000110001 +001001000001 +001101000001 +001001000001 +001001000001 +001101000010 +001001000010 +001001010011 +000101010101 +000101010110 +000101100110 +000101100111 +000101111000 +000101101001 +000101101000 +000101101000 +000101011000 +000101101000 +001001111001 +001010001010 +001010001011 +001010001011 +001010001011 +001010001011 +001110011100 +010110011100 +010101111000 +001101010100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010001 +001000100010 +001000110100 +001101000100 +001000110100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110100 +010001000101 +010101100110 +011110001000 +100010011001 +100110011010 +100110101011 +100110101011 +100110101011 +100110011010 +100010011010 +100010011001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011001 +100010001001 +100010001001 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011101111000 +011001111000 +011001110111 +100010011001 +101010111011 +110011001100 +101111001100 +101111001100 +101111001100 +101010111100 +101011001100 +101010111100 +101111001100 +110111011101 +111011101100 +110111011100 +110011001100 +101010101010 +100010011000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +100001110110 +011101100101 +010101010101 +010001000011 +010101000100 +001100100010 +010001000100 +010001010101 +001101000101 +001101000101 +010101010101 +010101000011 +001100100010 +001100100010 +010101010101 +010000110011 +010101010100 +011101100110 +011101110111 +010000110011 +010000100000 +101001100010 +101101110010 +101101110001 +111010100010 +111111010101 +100110010111 +010101010101 +010101100110 +011101111000 +100010011001 +100010011010 +100010011001 +100010001001 +011110001000 +011001100111 +010101010101 +010101010101 +010101100110 +011001100111 +011001110111 +011110001000 +011110001000 +100010001000 +011110000111 +010000110011 +011101110110 +100010011001 +011110001001 +011110001001 +100010001001 +100010011001 +100010011001 +100010011001 +011001100110 +001100110010 +001000010000 +001000010000 +001000010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +000100010000 +000100010000 +000100010001 +000100010000 +001000010001 +001000100001 +010000110001 +011101010001 +100101100010 +100101100010 +100101100010 +101001100010 +101001110010 +101001110010 +100110000100 +011110011001 +010110011011 +010101111001 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011110001000 +011110001000 +011110001000 +100010001001 +100010011001 +100010011010 +100110011010 +100110011010 +100110101010 +100110101010 +100010011001 +011101110111 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +010101010110 +010101100110 +011001100111 +011001110110 +011001100110 +011001110111 +011101110111 +100010101010 +101011001101 +100010111100 +010110001010 +010010000111 +010001110100 +010101100010 +011101110110 +101010101010 +100110011001 +100010001000 +100110011001 +011101110111 +011101110111 +011001100110 +011001100110 +010101010101 +011001100110 +011101110111 +100010001000 +011101110111 +100110101010 +010001010101 +011001100110 +101010101010 +100010001001 +001101000101 +100010001001 +100110011000 +001100110011 +010001010101 +010101100111 +011001111000 +011001111000 +010101100110 +010001010101 +001101000100 +001101000100 +010001000100 +010001000101 +001101000100 +001100110011 +001101000100 +001101000100 +001000110011 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +001000100000 +101110000010 +101110000010 +011101010001 +011001010010 +011001010010 +010001000001 +001100110001 +001100110001 +001100110001 +001101000001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001001000001 +001001000001 +001001000001 +001001000010 +001001010011 +000101010011 +000101010011 +000101010100 +000101010101 +000101100111 +000101101000 +000101101000 +000101101000 +000101101000 +000101101000 +000101111001 +001001111001 +001001111010 +001010001010 +001010001010 +001010001011 +001110001011 +010010011011 +010110001010 +010001100111 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010000 +000100100010 +001000110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001100110100 +001000110100 +001000110011 +001000110011 +001000110100 +001101000100 +010001010101 +011001100111 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010001001 +100010011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011010001000 +011001111000 +011001111000 +100110101010 +110011001100 +101111001011 +100010101001 +011010011001 +011010001000 +011010011000 +011010011001 +011010011001 +011010011010 +011010011010 +011010011010 +011110011001 +101010111011 +110011001011 +111011101101 +110111011100 +111011101101 +110111011100 +101110111011 +100010011001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +011101100100 +010101000100 +010101010101 +010001000011 +010101010101 +001100110011 +010001000100 +010001000101 +001101000110 +001101000101 +010001000100 +010101000100 +010000110011 +001100110010 +011001010101 +011001100110 +010001000100 +010001000100 +011001010101 +010101010100 +001000010000 +100101010010 +101101110010 +110001110010 +110010000001 +111111000100 +101110100111 +010101100110 +011001100110 +011110001000 +100010011001 +100010011001 +100010011001 +100010001001 +011101111000 +010101100110 +001100110011 +001101000100 +010101100110 +011001110111 +011001110111 +011110001000 +011110001000 +100010001000 +100010011001 +100010001000 +100010001000 +100010011001 +011110001001 +011110001001 +100010001001 +100010001001 +011110001001 +100010011001 +010001000011 +001000010001 +001000010000 +001000010000 +001000010000 +000100010000 +000100010000 +001000010001 +001000010001 +001000010001 +000100010000 +001000010001 +000100010001 +000100010000 +001000100000 +001100110001 +010101000001 +100001010001 +100101100010 +100101100001 +100101100010 +101001100010 +101001110010 +100101100010 +011101110011 +011110011000 +001101010110 +001101000101 +011001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011101110111 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +010101100110 +010101100110 +011001110111 +011001110111 +011001110110 +011001110110 +100110101010 +101011001100 +011010011010 +001110001001 +010001110110 +001101100011 +010001010010 +011001100100 +101010101010 +100010001000 +011101110111 +010101010101 +010101010101 +011101110111 +011101110111 +100001111000 +011001100110 +010101010101 +010101010110 +011101110111 +100010001000 +011110001000 +100010001001 +010101010101 +100010001000 +100110011001 +001100110100 +001000110100 +010001000110 +100010001001 +011001110111 +011001111000 +011110001001 +011110001001 +011001110111 +010101100110 +001101000101 +001100110100 +001000110011 +001100110011 +001100110011 +001101000100 +010001010101 +010101100111 +010101100111 +001101000100 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +000100010000 +000100100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +011001000010 +100101100010 +010101000001 +010001000001 +010001000001 +001100110001 +001000100000 +001000110001 +001100110001 +001100110001 +001000110001 +001000110001 +001000100001 +000100100000 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001001000001 +000100110001 +000100110001 +000101000010 +000101000010 +000101000011 +000101010100 +000101010100 +000101010101 +000101100110 +000101101000 +000101101000 +000101101000 +000101101001 +000101111001 +001001111010 +001001111010 +000101111010 +001010001010 +001010001011 +010010011100 +011110111101 +011010011010 +001101010110 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010001 +000100100010 +001000110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001000110100 +001000110100 +001000110100 +001000110100 +001000110100 +001101000100 +010001010101 +010101100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011101111000 +011110001000 +100010001001 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010001001 +100010011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011001111000 +011001110111 +010101100111 +011001110111 +101110111010 +101110111010 +100010100111 +011010000110 +010101110101 +010001110110 +010001110110 +001101110101 +001101110110 +010010000110 +010010000111 +001110001000 +010001111000 +010001111000 +011010011001 +100110101001 +101110111010 +101010101001 +110111011100 +111011011100 +111111101101 +111011011100 +101110111011 +100010011001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001000 +011001010011 +010001000011 +011101110111 +100001110111 +011101110111 +010001000100 +010101000101 +010101010110 +001101000110 +001101000101 +010001000011 +010101000011 +010101000100 +010000110011 +010101000100 +100010001000 +100110011001 +100110011001 +101010101010 +100010000111 +000100010000 +010000100000 +101001100010 +110001110001 +110001110001 +110110100011 +111011011000 +100110010111 +011001100111 +011101111000 +100010011001 +100010011001 +100010011001 +100010001001 +011101111000 +010101010110 +001000110011 +001101000100 +010101100111 +011001110111 +011001110111 +011101111000 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +100010001001 +100010001001 +100010011001 +100010001000 +001100110010 +001000100001 +001000010000 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010000 +000100010000 +000100010000 +001000100000 +001100110001 +010101000001 +011101010001 +100101010010 +100101100001 +100101100001 +100101100010 +100101100010 +100101110010 +100101100010 +010001010011 +011010011010 +010001101000 +000100100001 +011001100110 +011101110110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101111000 +011110001000 +011101111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011001110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011001110111 +100010011000 +100110101011 +010001111000 +001101111000 +001101100110 +001101010010 +010001010001 +011101110101 +101010101010 +101010101011 +011101110111 +100010001000 +001000100010 +010001010101 +011110001000 +011101110111 +011101110111 +011101110111 +011001100110 +011001010101 +011101100110 +011101110111 +100010001000 +100010001001 +100110011001 +100010011001 +010001000101 +001100110100 +001100110101 +001000110100 +010001010110 +100010011010 +011110001001 +011110001001 +011110001001 +011001110111 +010101100110 +010001010101 +001101000100 +001100110100 +001101000100 +010001010101 +010101100111 +011001111000 +011101111000 +011001111000 +010001000101 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000010000 +000100010000 +000000000000 +000100000000 +001000100000 +011001000001 +001100100000 +001000100000 +001100100000 +001000100001 +001000100001 +001000100001 +001000110001 +001100110001 +001000110001 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +000100100000 +000100100000 +000100100001 +000100100000 +000100100001 +000100100001 +000000010000 +000100100001 +001000110001 +001001000010 +000100110010 +000100110010 +000101000011 +000101000010 +000101010100 +000101010110 +000101010111 +000101010111 +000101101000 +000101101001 +000101111001 +001001111001 +000101111001 +000101111001 +001001111010 +001001111010 +001110001011 +010110101100 +011010101101 +010001111000 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +001000010001 +001000100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000110011 +001000110011 +000100010000 +001000100011 +001101000100 +001101000101 +001101010101 +001101010101 +001101000101 +001101010101 +010001010110 +010001010101 +001101000101 +001101000100 +001001000100 +001000110100 +001001000100 +001101000100 +001101000100 +001100110100 +001000110011 +001000110011 +001100110100 +001101000100 +001100110100 +001000110011 +001101000100 +010001010101 +010101100110 +011001100111 +011001110111 +011101111000 +011110001000 +100010001001 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011001110111 +011001110111 +010101100110 +011101110111 +101110111010 +100110100111 +011001110101 +010001100011 +001101100011 +001101100011 +001101100011 +001101100011 +001101100011 +001101100011 +001101100100 +001101110101 +001101110110 +001101110110 +010001110110 +010110000111 +100010011000 +101010111001 +011110000111 +011110011000 +100010011001 +101010101010 +110011001011 +111111101101 +110111101101 +101010111010 +100010011001 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +010001000011 +010001000011 +101110111011 +101010101010 +010101010101 +010001000100 +010101010101 +010001000101 +001101000101 +001101000101 +010001000100 +010101010100 +010001000011 +001100110010 +001100110010 +100010000111 +100110011001 +101010101010 +100110011001 +100010001000 +001000010001 +000100010000 +011001000001 +101101110010 +110001110010 +110110100010 +111111000101 +101110111000 +100010011000 +011101110111 +011110001001 +100010001001 +100010001001 +100010001001 +011110001000 +010101100111 +010001010101 +010001010110 +011001100111 +011001111000 +011001110111 +011101111000 +011110001000 +100010001000 +100010011001 +100010011010 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +011110000111 +001100100001 +001000100001 +001000010000 +001000100001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +001000010001 +001000010001 +000100010000 +001000010001 +001000100000 +010000110001 +011001000001 +100001010001 +100101100001 +100001010001 +100101010010 +100101100010 +100101100010 +100101100010 +100101100010 +010101010100 +001101111000 +010110001010 +001000110100 +010101010100 +011101110110 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101111000 +011001110111 +010101100110 +011001110111 +011110001000 +011110001000 +011110001000 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011001100110 +011101111000 +110011001100 +011010001000 +001101110110 +001101100100 +001101010010 +010001000010 +011101110101 +101110111011 +101110111011 +101010101010 +011101110111 +011001100110 +011001110110 +011001100110 +011101110110 +100001110111 +010101010101 +011001010101 +011001100110 +011001100110 +011101110111 +011101110111 +100010011001 +100110101010 +100110101010 +010001010101 +001100110100 +001101000101 +001100110100 +001100110100 +001000110100 +010101100111 +100010011010 +011010001000 +011001111000 +011001110111 +010101100110 +010001010110 +010001010101 +010001010101 +010001010110 +010101100111 +011010001000 +011110001001 +011110001001 +011001111000 +001101000100 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000010000 +000100000000 +000100000000 +000100010000 +010000110001 +011001010010 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +001000100000 +001000100000 +001000100000 +001000100001 +001100110010 +010101010101 +010001000100 +001100110011 +000100010001 +001100110011 +010001000100 +010001010101 +010101010101 +010001000100 +010001000100 +010001000101 +001000100010 +000100010001 +000100100001 +001000110001 +000100110001 +000100110010 +000101000010 +000101000011 +000101000100 +000101000101 +000101010101 +000101010110 +000101010111 +000101101000 +000101101000 +000101101001 +000101101001 +000101101000 +000101101001 +001001111010 +001010001011 +001110011011 +010110101100 +010001110111 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +001000010001 +001000100001 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000100010001 +001000110011 +001101000101 +010001010101 +001101010101 +001101010101 +001101010101 +010001010101 +010001010110 +010001010110 +001101010101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000100 +001000110100 +001000110011 +001000100011 +000100100010 +000100010010 +000100100010 +001000110011 +001000110011 +001100110100 +001101000100 +010001010101 +010101100110 +010101100110 +011001100111 +011001110111 +011101111000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001000 +011110001000 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +100110101000 +011010000101 +010001100011 +010001010010 +001101000010 +001001000010 +001001000001 +001001000010 +001001010010 +001001010011 +001101100011 +001101010010 +001101010010 +001101100011 +010001110011 +010001110100 +011001110100 +100010000101 +100010000101 +011001110110 +010001100110 +001101100101 +010101110110 +011110011000 +101110111010 +111011101101 +111111101101 +111011101101 +101111001011 +100110101010 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +010001000100 +011001100101 +101110111010 +100110011000 +010101010101 +010000110011 +010101000101 +010001000100 +001101000101 +001101000101 +010000110100 +010101000011 +010001000011 +001100100010 +001000100001 +010101010100 +100010001000 +100110011001 +100110011001 +100110011000 +001100110010 +000100010000 +001000010000 +011101000001 +110001110010 +110110010010 +110010000010 +100110010101 +100110011000 +100010001000 +011110001000 +100010001001 +100010001001 +100010001001 +011110001001 +011001111000 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011110001000 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +011110000111 +001100100001 +001000010000 +001000010000 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +001000010000 +001000010001 +001000100001 +001000100001 +001100110001 +010000110001 +011001000001 +100001010001 +100001010010 +100101100001 +100001010001 +100101100010 +100101100010 +101001100010 +100101100010 +100101100010 +011101110110 +010010001010 +010010001001 +001101100111 +010001010101 +011101110110 +011101100101 +011101100101 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +010101100110 +010001010101 +010101100110 +011101111000 +011110001000 +011101110111 +010101100101 +010101010101 +011001100110 +011101110111 +011101110111 +100001110111 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101111000 +011101110111 +011001100110 +011001100110 +010101100110 +010101010101 +011001100110 +100110111011 +100010011001 +010001100100 +010001010010 +010101010001 +011001010010 +011110000110 +101010101010 +101010101010 +011101110111 +011101110111 +100110011001 +011001100110 +011001100110 +011101110111 +100010001000 +011101110111 +011101100110 +011001100110 +011001100101 +010101010101 +010101010101 +011101111000 +100110011001 +101010101010 +010101010110 +001000110100 +001101000100 +001100110100 +001100110100 +001000110100 +001100110101 +001100110101 +011001111000 +011001110111 +011001100111 +010101100111 +010101100110 +010101010110 +010101100110 +010101100110 +010101100110 +011001111000 +011110001001 +011110001001 +011001111000 +010101100111 +001100110011 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000100000000 +001000010001 +100001110101 +100110000110 +011001100110 +011101110111 +011101110111 +010101010101 +001100110010 +001000100001 +001000100001 +001100110010 +010101010100 +010101010110 +011001100110 +011001100110 +010001000100 +001100110011 +011001100110 +011001100111 +011101111000 +100010001000 +011101110111 +011101110111 +011101111000 +100010001000 +011001100110 +001100110010 +000100100000 +001000110001 +001000110001 +000100110010 +000100110010 +000100110010 +000100110011 +000101000100 +000101000100 +000101000101 +000101010110 +000001010111 +000101011000 +000101101000 +000101101000 +000101101000 +000101101001 +000101111010 +001001111010 +010010011100 +011010101101 +010110001010 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +001000100001 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000000010001 +001000110011 +001101000101 +010001010110 +010001010101 +001101010101 +001101010101 +010001010110 +010001010110 +010001010110 +001101010101 +001101000101 +001101000101 +001101000101 +001101000101 +010001010101 +010001010110 +010001010101 +001101000101 +001101000100 +001101000100 +001000110100 +001000110011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110100 +001000110100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +011001100111 +011001110111 +011001110111 +011101110111 +011101111000 +011110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +011001100111 +010101100110 +010101100111 +011001110111 +011001110111 +011001111000 +011101111000 +011101111000 +011001111000 +011001111000 +011101111000 +100010000110 +011001110100 +010001010010 +001101010010 +001001000001 +001001000001 +001001000001 +001001000001 +001001000001 +001001000001 +001001000010 +001001000010 +001101000010 +001101000001 +001101000001 +001101010001 +001101000010 +010001010001 +010101010001 +010101010010 +010001010010 +010001010011 +010001000010 +001101000011 +010001100110 +100010011000 +110111001011 +110111001011 +111011011100 +111011011100 +110111101101 +110011011100 +100110101001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +010001000100 +100001110111 +101010101010 +100010001000 +001100110011 +001100110010 +010001000100 +010000110100 +010001000101 +010001000101 +001100110011 +010000110010 +001100110010 +001000010001 +001000100001 +010000110011 +100010001000 +100110011001 +100110011001 +100110011000 +010001000100 +000100010000 +000100010000 +001100100000 +101101100010 +110110000001 +101110000010 +100001100011 +101010010111 +101010101010 +100010001001 +100010001001 +100010011001 +100010011001 +011110001001 +011001111000 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011110001000 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011000 +100110000011 +001100110001 +001000100001 +001100100001 +001100100001 +001100100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000110001 +001100110001 +010000110001 +011001000001 +011101010001 +100001010010 +100001010010 +100001010010 +100001010010 +100101100001 +100101100010 +100101100010 +100001100010 +011101100010 +011001100100 +010110011010 +010010011010 +001101111000 +010101100110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +011110001000 +011001110111 +011001100110 +011001110111 +011110001000 +100010001001 +011101111000 +010101100101 +010001010100 +011001100110 +011101110111 +011101110111 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011110001000 +011101110111 +011001100110 +011001100101 +010101010101 +010101010100 +011110011010 +010001111000 +001101010100 +010101000001 +100101010010 +101001110010 +101001110011 +100001110100 +011101100101 +010101010101 +011101110111 +100010001000 +011001100110 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +010101010101 +010101010100 +010101010101 +011101110111 +101010101010 +011001100110 +001000110011 +001101000100 +001100110100 +001000110100 +001100110100 +001100110100 +001101000100 +001100110101 +010001010110 +011001110111 +010101010101 +010101100110 +010101100110 +010101010110 +010101100110 +010101100110 +010101100111 +011001111000 +011001111000 +011001111000 +010101100110 +001101000100 +000100100001 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +010000110010 +101110101001 +101010011001 +100010001000 +011101110111 +011001100110 +011101111000 +011101110111 +010101010101 +011101110111 +011101110111 +011001100110 +010101010101 +011001110111 +011001100110 +011001100110 +001100110100 +010001010101 +001100110011 +010101010101 +010101000100 +001100110011 +010001000100 +010101100110 +011001100111 +100010001001 +100001111000 +010000110011 +001000100001 +001000110001 +000100110001 +001000110001 +000100100001 +000100110001 +000100110010 +000101000011 +000101000100 +000101000101 +000101010110 +000101010111 +000101010111 +000101011000 +000101101000 +000101101000 +000101111001 +000101111001 +001001111010 +010010001011 +011010101100 +010110001001 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000000010000 +001000110010 +001101000101 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101010101 +001101000101 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +001000110100 +001000100011 +001000100011 +001000110100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000101 +010001000101 +010001010101 +010001010101 +010101010110 +010101100110 +011001100110 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +010101100111 +010101100110 +010101100110 +010101100111 +010101100111 +011001110111 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011001110100 +010001010010 +001101000010 +001101000001 +001000110001 +001000110001 +001000110001 +001001000001 +001001000001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001100110010 +011001010100 +011001010100 +010001000010 +010000110001 +011001010001 +100001010010 +011001000010 +010101010011 +101010010110 +110010111001 +100110011001 +100110011001 +100110101001 +100010011001 +101111001011 +110111101101 +101110111011 +100110011001 +100010001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011110001000 +011110001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +010101010100 +100010000111 +101010101010 +100010001000 +001100110011 +001000100010 +010001000100 +010001000100 +001100110100 +010001000100 +010000110011 +001100110010 +001000100001 +000100010000 +001000100001 +001100110010 +100001110111 +100110011001 +100110011001 +100010001000 +010001000011 +000100010000 +000100010000 +001000010000 +011101010001 +110001110010 +110010000010 +100101100010 +101010010111 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011001111000 +010101100111 +010101100111 +010101100111 +011001110111 +011001110111 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +101010100111 +110010010011 +100001100010 +011001010001 +010100110001 +010000110000 +001100110001 +001100110001 +001100110001 +010000110001 +010000110001 +010000110001 +010000110001 +010000110001 +011001000001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +100001100010 +011001010001 +010001000001 +001100110001 +001100110001 +010101100101 +011010001000 +010001100111 +011001100110 +100001110110 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +100010001000 +011110001001 +011110001000 +011110001000 +100010011001 +100010011001 +100010001000 +011001110111 +011001110111 +011101110111 +011101110111 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011110001000 +011101110111 +011001100101 +011001010101 +010101010101 +011110001000 +010010001001 +001101110110 +010001010010 +100101100001 +101101110010 +101101110010 +101101100010 +100001100010 +011001010100 +011001100110 +011001100110 +010101010101 +010101010101 +011001100110 +011001100110 +011101110111 +011101110111 +011001100110 +010101010100 +011001100110 +011101110110 +010101010100 +010001000100 +100010001000 +100110011010 +010001000101 +001101000101 +001101000100 +001100110100 +001100110100 +001000110100 +001100110100 +001100110100 +001100110100 +001100110101 +011001111000 +010101100110 +011001100110 +010101100110 +010001010101 +010001010101 +010001010101 +010101100110 +010101100111 +010101100111 +010001010101 +001101000100 +001000110011 +000100010001 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +101010011000 +100110001000 +011101110111 +011101110111 +010000110100 +001000100011 +011101110111 +011101110111 +011101110111 +011001100110 +011101110110 +001100110011 +010101010101 +010001010101 +010101010101 +010001000101 +010101010110 +010101010101 +010101010110 +001100110011 +000100010001 +000100100010 +011101110111 +010101010101 +011101111000 +100010001000 +100001110111 +011001100110 +010101010100 +001100110010 +001000100000 +001000100000 +001000100000 +001000110001 +001000110010 +001001000011 +001001000100 +000101000101 +000101000110 +000101010110 +000101010111 +000101010110 +000101010111 +000101101000 +000101111001 +001001111010 +001001111010 +001001111001 +010001111001 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010001 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +000100110010 +000100110011 +001000110011 +001000110011 +001000110011 +000000010000 +001000100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +001101000101 +001101000100 +001000110100 +001000110011 +001101000100 +001101000101 +001101000101 +001101010101 +001101010101 +010001010101 +010001010101 +010001010101 +001101010101 +010001010101 +010001010101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001000110100 +001000110100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010101100110 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011101110111 +010101010010 +001101000001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110000 +001000110001 +001000110001 +001000110001 +001000100001 +001000100010 +010001000100 +011001100111 +011101100111 +100010001000 +010101000100 +010000110000 +100101100010 +101001100010 +100001010001 +100101100001 +110010100100 +110010110110 +100010011000 +011110001001 +010101111000 +001101100111 +010001111000 +011010001001 +101111001011 +110111011101 +110011001100 +100110101001 +011001110110 +010101100101 +010001010101 +010001010101 +010101100110 +010101110110 +011001110111 +011001111000 +011001111000 +011110001000 +011110001000 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +010000110011 +011001100101 +101010101010 +100010011000 +010001000100 +001100100010 +010001000011 +010001000100 +001000100010 +001000100010 +010001000011 +001100110010 +000100010000 +001000010001 +001000100010 +001100110011 +100010001000 +100110011001 +100010001000 +011001100101 +001000010001 +000100010000 +000100010000 +000100010000 +010100110000 +101101100010 +101101110010 +100001100011 +010101010101 +010101100111 +011010001001 +011110011001 +100010011001 +100010011001 +011110001001 +011101111000 +011001110111 +010101100111 +010101100111 +011001100111 +011001110111 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +101010101000 +101010100110 +101110010101 +100101100001 +011101010001 +011001000001 +010101000001 +010100110001 +010100110001 +011001000001 +011001010001 +011001000001 +011001000001 +011101010001 +100001010010 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011001000001 +100001010010 +011101010010 +010101000001 +001000110011 +001001000100 +001001000100 +001101000011 +010101110110 +010001100110 +011001100110 +011101100101 +011001100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +100010001001 +100010011001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +011101110110 +011101100101 +011101100101 +011101110110 +011101110110 +011101110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110110 +011101110110 +011110000111 +011110001000 +011101110111 +011001100110 +011001010101 +011110011001 +011010011010 +001101110111 +010001100100 +100001100010 +101101110010 +101101110010 +101101110010 +101101110010 +100001010001 +011001010010 +100010000111 +100110011010 +100110011001 +100110001001 +100010001000 +011101100111 +010101010101 +011001100101 +011001100110 +011101110110 +010101010101 +010001000100 +011001100110 +100110011001 +101010101010 +011110001000 +010101010111 +001101000100 +001101000100 +001101000100 +001101000100 +001000110100 +001100110100 +001101000100 +001101000100 +001000110100 +010101100111 +011101111000 +010101100111 +010001010110 +001101000100 +001100110100 +001101000100 +010001010101 +010001010110 +001101000101 +001101000100 +010001010101 +010001000101 +001000100011 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +010101000100 +101010011001 +010101010101 +011101100111 +011101110111 +011001100110 +000100010001 +001100110011 +011101110111 +100010001000 +010101010101 +011001100110 +011101110111 +011001110111 +011001100110 +010101100110 +010001000101 +011001100110 +011001100110 +010001000100 +010000110011 +000100000000 +000000000000 +010101100101 +010001010101 +011101111000 +100010001000 +100001111000 +100010001000 +100010001000 +100001110111 +011001010101 +010101000100 +010000110010 +010000110001 +010000110001 +010000110001 +001100110001 +001100110010 +001000110010 +001101000010 +001001000011 +000101000100 +000101010101 +000101010111 +000101101000 +000101101001 +001001111010 +001010001011 +001001111010 +001101100111 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100010010 +000100010010 +000100010001 +000000010001 +000100010010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100110010 +000100110011 +001000110011 +001000110011 +001000110011 +000000010000 +001000100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +001101000101 +001101000100 +001000110100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110100 +001101000101 +010101100111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011001100110 +010001000010 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +000100100001 +000100100000 +001000110001 +001000100001 +001000100001 +001100110011 +001100110011 +010001000101 +010000110100 +010001000100 +011001010101 +001100100010 +010101000001 +100101100010 +101101110010 +101001100010 +101001100001 +110010010001 +111011000100 +100010000101 +010101110111 +010101111000 +001101010111 +001101010111 +001101101000 +010001101000 +010110001000 +101010111010 +110111011100 +101010111010 +011101110111 +100110000111 +100001110101 +011101100100 +010101100100 +010001010100 +010001010101 +010001010101 +010101100110 +011001111000 +011110001001 +100010001001 +011110001001 +011110001001 +011110001000 +001100110011 +001000100010 +100010001000 +100110011001 +010101010101 +001100110010 +010001000011 +010000110011 +001000100010 +001000100010 +010001000011 +001100110010 +000100010000 +001100100010 +001000100010 +001100110011 +100010001000 +100010001000 +011001100110 +001000100001 +000100010000 +000100010000 +000100010000 +000100010000 +010100110001 +101001100010 +101101110010 +011101100010 +001101010101 +001101100111 +010001111000 +011110001001 +100010011001 +100010011001 +100010001001 +011110001000 +011001111000 +011001110111 +011001110111 +011001100111 +011001110111 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100110011010 +100010011001 +101010010101 +101001110001 +100101100010 +100001010010 +011101010001 +011101010010 +011101010010 +011101010001 +011101010010 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +010101000001 +100001010010 +011101010010 +010101000010 +001001000011 +001001010110 +001001100111 +001001100111 +001101111000 +010001111000 +010001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101110111 +011110001000 +100010011001 +100010001001 +100010001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101110101 +011101110101 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011110000111 +011110001000 +011101110111 +011001100110 +011110000111 +011110111100 +001110001001 +001001100101 +010101100011 +101001110010 +101101110010 +110001110010 +101101110001 +101101100010 +101001100010 +100001110011 +100010000111 +100010001000 +011101110111 +011101111000 +011101110111 +010101010101 +010101010100 +010001000100 +001100110011 +010000110011 +011101110111 +101010111010 +101010101010 +100001110111 +011001010101 +010101010110 +010101010110 +001101000101 +001100110100 +001100110100 +001100110100 +001000110100 +001100110100 +001100110100 +001100110100 +001101000101 +010001010110 +011101111000 +010101100111 +010001010101 +001101000100 +001000110010 +001000110011 +001101000101 +010001010110 +010001010110 +010001100110 +010101110111 +010101100111 +001100110100 +000100010001 +000100000000 +000100000000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000000000000 +000100000000 +000100010000 +000100010001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100010 +100110000111 +011001100110 +001000100011 +001000100010 +010101010101 +011101110111 +011001100101 +001100110011 +011001100110 +011101110111 +010101010101 +010101010101 +011001100110 +100010001000 +010101010101 +011001100110 +011001100110 +011101110111 +010001000100 +010101010101 +001100110011 +010001000011 +010101010100 +010001000100 +010101010101 +100010001000 +011001100110 +001100100011 +001000010010 +001000100011 +010101010101 +100010001000 +100110000111 +100001100100 +100001010010 +011101010010 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +010101000001 +001101000010 +001001010100 +000001000110 +000001000110 +000001010111 +000101101000 +001001111010 +001001111010 +000101101000 +001001010111 +000100100011 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000010010 +000100010010 +000100100010 +000100100010 +000000010001 +000000010001 +000100010010 +000100010010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000000010000 +000100100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +001101010101 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001001000100 +001101000100 +010001010110 +011001110111 +011101111000 +011101111000 +011001111000 +011001111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011001110111 +001101000010 +001000100001 +001000110001 +001000100001 +000100100000 +000100100001 +000100100000 +001000100001 +000100100001 +000100100001 +001000100000 +001000100001 +001100110011 +010001000100 +001100100011 +001100110011 +001000010001 +001000010001 +010001000100 +010001000011 +010000110001 +100001010001 +101101110010 +101101110010 +101101110010 +110010010001 +111110110001 +110010100011 +011001110101 +010001100111 +001101101000 +001001010110 +001001010111 +001001101000 +001101100111 +101010010101 +110010110110 +011110000110 +101110100110 +110010010100 +101110000100 +010101010010 +010000110011 +011001010011 +010001000011 +001101000011 +010001010101 +011001110111 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +001100110011 +000100000000 +010000110011 +100010001000 +011110000111 +001100110011 +010001000011 +001100100010 +000100010000 +001000010001 +001100100010 +001100110010 +001000100001 +001000100001 +001000010001 +011001010101 +100010000111 +010001000100 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +010100110001 +100101010010 +101101110010 +100101110011 +010101100101 +001101100111 +010001110111 +100010011001 +100010011001 +100010001001 +100010001001 +011110001000 +011101111000 +011001110111 +011001100111 +011001100110 +011001110111 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011010 +100010011010 +101010101001 +110010100100 +101001110001 +100101100010 +100001100010 +100001100001 +100001010010 +100001010001 +011101000001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001100010 +011101010001 +100001010001 +011101010001 +010101000001 +001100110010 +001101010100 +001001010110 +001001100111 +001101100111 +010001111001 +010101111000 +011001110110 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +100010001001 +100010011001 +100010001001 +011110001001 +011110001001 +100010001001 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011110000111 +011110001000 +011110000111 +011001110111 +011110011000 +010110011010 +001101110111 +011001110100 +100101110010 +101110000010 +110001110010 +101101110010 +101101110010 +100001010010 +010000100000 +001100100001 +001100110011 +010001000100 +010101010101 +011001100110 +011001100110 +010101010101 +010101010101 +011101110111 +100110011001 +101010101010 +101010101010 +100110011001 +011001110111 +001100110011 +000100010000 +001000100010 +010001000100 +001101000101 +001101000100 +001101000100 +001100110100 +001100110100 +001000110011 +001000110100 +001100110100 +001101000101 +001101000101 +010101100111 +011001100111 +010001010101 +001101000100 +001100110100 +001100110100 +001101010101 +010101100111 +010101111000 +011001111000 +011010001000 +011001100111 +001101000100 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +010101010100 +100001110111 +001100110100 +001000110011 +001000100011 +001000100010 +001100110100 +011001100110 +011101110111 +010101010110 +011101110111 +011101111000 +011001100110 +010101010101 +010101010110 +010101010101 +011001100110 +011001100110 +010001000101 +011001100110 +011101110111 +011101110111 +010101010101 +010101010101 +011001010110 +011101111000 +010001000100 +001100110011 +010001000100 +011001100110 +011101110111 +011101111000 +011001100100 +011101010011 +100101100010 +100101100001 +100101010001 +100101010010 +100101010001 +100101010010 +100001010001 +011101000001 +011001000001 +010101000001 +001001000100 +000101010111 +000001010111 +000001010111 +000101101000 +000101011000 +000101101001 +001001111001 +001110001010 +010001111000 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100010010 +000100010010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100110011 +001000110011 +001000110011 +001000110011 +000100010000 +000100100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +001101000101 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +010001010101 +010001010101 +010001010101 +001101000101 +001101000101 +010001010110 +011001110111 +011110001000 +011110001000 +011001111000 +011001111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +010001000011 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100010000 +000100100000 +000100010000 +000100100000 +001100110010 +001100110011 +010101010101 +010000110100 +010000110011 +001100100010 +010000110011 +010000110011 +001100100011 +010001000011 +011001000010 +100001010010 +101001110010 +101101110010 +101110000010 +110010000001 +111010100001 +111011000011 +101010000011 +010101100100 +010101100110 +001101010110 +001001000110 +011001111000 +100110101001 +110111000111 +110111011001 +110011001010 +011101100100 +010101000010 +001100110010 +000100100010 +000100100010 +001000010001 +010101000010 +011001010100 +010101100110 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +001100110011 +000100010000 +001000010001 +001100110011 +010001010100 +010101010101 +010000110010 +001100100010 +000100010001 +000100010000 +001000010001 +001000100001 +001000100001 +001000010001 +010000110011 +010101010101 +001100110010 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010000110001 +100001010010 +101101100010 +101001110010 +011101100011 +010001100101 +010101100110 +101010111010 +101010111010 +100010011001 +100110011001 +100010001000 +011001110111 +011001100110 +010101100110 +010101100101 +011001100110 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100110101001 +110110110101 +101110010010 +101001110010 +100101100010 +100001100010 +100001100010 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100001010001 +011101010001 +011101010001 +100001010001 +100001100001 +100101100010 +100101100010 +100101100010 +100101100010 +011101010001 +010101000001 +001100110010 +001001000011 +001001000101 +001001010110 +001001010110 +001001010111 +010001101000 +011010001000 +011101110111 +011001100101 +011001110110 +011001110110 +011001100110 +011001100110 +011001110110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011001110110 +011101100101 +011101100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011110001000 +011110001000 +011001110111 +011110000111 +011110011001 +100010000101 +101001110010 +101110000010 +101101110010 +101101110010 +101101110010 +100101010010 +001100010000 +001100110010 +100010001000 +100110011001 +101010101010 +101010101010 +101010101011 +101010111011 +101010111011 +101010111011 +101010101010 +101010101010 +101010101010 +100010011001 +011001100110 +001100110011 +001100110011 +001000100010 +000100000000 +000100010001 +001101000100 +001100110100 +001101000100 +001100110100 +001000110011 +001000110011 +001000110011 +001000110011 +001100110100 +001100110100 +010101010110 +011001100111 +010001010101 +010001010101 +010001010101 +001101000101 +010001100110 +010101111000 +011001111001 +011010001001 +011010001001 +010101100111 +001101000100 +001000100010 +001000010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000000010000 +000100010000 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +011101110110 +010101000101 +001000100011 +001000110011 +001100110100 +001100110011 +001000100011 +001000110011 +011001100110 +011110001000 +011101110111 +011101110111 +011101110111 +010101010101 +010101010101 +010101010101 +010001000100 +001100110011 +001100110011 +010101010101 +010001000100 +010001000100 +011001010110 +010101010101 +010001000100 +010001000100 +010101010101 +100001110111 +100010001000 +100010001000 +011101110111 +011101110111 +100001100100 +100001100010 +100101100010 +100101100010 +100101010010 +100101010001 +100001010001 +100001010001 +100001000001 +011101000001 +010100110000 +001100110010 +001001000100 +000101010110 +000101010111 +000001010111 +000101101000 +000101101000 +000101101000 +001001111001 +001001111010 +010010001011 +010001111000 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100010010 +000100010010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100110011 +001000110011 +001000110011 +001000110011 +000100010000 +000100100010 +001101000100 +010001010101 +010001100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001010110 +010001010101 +001101010101 +001101000101 +010001010110 +011001110111 +011110001000 +011110001000 +011101111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +010101000100 +010000110011 +001100110011 +001000100001 +000100100001 +001000110011 +001101000100 +010001000100 +010101010110 +010001010101 +011001100110 +010001000100 +010101000101 +010101010101 +010001000100 +010001000100 +010101010101 +010001000100 +010101010101 +011001100110 +001100100001 +001100010000 +011101010001 +101001100010 +101101110010 +110010000010 +110010000001 +110010000010 +101110000010 +100001110010 +011001010010 +001001000100 +010001010111 +010101101000 +010101100111 +010101111000 +011001111000 +011110001001 +010101100111 +010001010110 +001001000101 +000100110100 +000100100011 +000100100011 +001100110011 +011001010100 +100110000111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +010101010101 +000100010000 +000100010001 +000100010000 +000100010000 +001000100001 +001100110011 +001000100001 +001000100001 +001000010001 +001000010000 +001000100000 +001000010001 +001000100001 +001000100010 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +100001010001 +101001100010 +101001110001 +010101010001 +011001100100 +100110011000 +011101110111 +100110101001 +100110011001 +100010011001 +100110101001 +100110101001 +011001100101 +010001000100 +010001010101 +011001100101 +011101110111 +011110000111 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +110010111000 +110110100010 +101101110010 +101001110010 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100001010001 +100101010010 +100101010010 +100001010010 +100001010010 +100001010001 +100001100001 +100101100010 +100101110010 +101001110010 +100101110010 +100101110010 +100101100010 +010101000001 +001000110011 +001001010101 +001001010101 +001001010110 +001001010110 +001001000110 +001001010110 +001101100111 +011010001000 +100010000111 +011101100101 +011001100110 +011001100110 +011001100110 +011101110110 +011101110111 +011101111000 +011001110111 +011001110111 +011001111000 +011110001000 +011110001000 +011110001000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110110 +011101110110 +011101110110 +011001110110 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011110001000 +011101111000 +011001110111 +100010000111 +101110111010 +101010000011 +101101110001 +110010000010 +101101110010 +101101110010 +101001100010 +010100110001 +001000100001 +100010001000 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101011 +100110101010 +100110011001 +100010001001 +001100110011 +001000010001 +001000100001 +001100110010 +001000010001 +000100000000 +001000100010 +010001000100 +001100110011 +001100110011 +001000100011 +001000110011 +010001000100 +001100110100 +001100110100 +001100110100 +010001000101 +010101100110 +010001010101 +010001010101 +010001010110 +010001010110 +010101100111 +011001111000 +011010001001 +011010001001 +011001111000 +010101100111 +010000110100 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100010000 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011101100110 +001100110100 +001000100011 +001000110011 +001101000100 +001100110100 +001100110100 +001101000100 +001100110100 +010101010110 +100010001000 +100010001000 +011001100110 +010001000100 +010101010101 +010000110100 +001100110011 +010101010101 +011101110111 +011101110111 +011101110111 +011001100110 +011001010110 +010101010101 +011001100110 +011101110111 +011001100110 +010101010101 +010000110100 +001100100011 +001000010010 +010000110011 +011001000010 +100001010001 +100101010010 +100101010010 +100101010001 +100101010010 +100101010001 +100101010001 +100001010001 +100001010001 +011101000001 +010000110001 +001001000010 +001001000100 +000101010110 +000001000110 +000101010111 +000101101000 +000101101000 +000101011000 +001101111010 +001101111010 +001101101000 +001000100010 +000000010000 +000100010001 +000100010010 +000100100010 +000100100010 +000100010001 +000100010010 +000100010010 +000100010010 +000100010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010000 +000100100001 +001101000100 +010001010110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001100110 +011001110111 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011001010101 +010101000101 +100010001001 +100110011001 +100010001001 +100110011010 +100010001001 +010101100110 +010001010101 +011101110111 +011101100110 +010101000100 +010000110011 +010101000100 +010001000100 +010000110011 +010001000011 +010101010100 +010101010100 +010101000100 +011001010101 +000100010000 +001000010000 +011101000001 +101001110010 +110001110001 +101101110010 +101101110010 +101110000010 +100101100010 +010001000001 +001001000101 +001001000101 +001001000101 +001001000110 +001001010110 +001101010111 +010001101000 +010001101000 +010001101000 +001101010111 +001001010110 +001001000110 +001001000101 +010101111000 +001101000101 +010001000011 +100001110101 +100010000111 +011110001000 +011110001000 +011110001000 +011110001000 +010101010101 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +001000010001 +001000010001 +001000010001 +001000010000 +001000010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010000100000 +100101010010 +101001100010 +100001100010 +010101000010 +100001110101 +011101110101 +010101100110 +010001010110 +001001000101 +001001000101 +001001010101 +010101110111 +100110101001 +100001110110 +010101010100 +010101100100 +011101110111 +011101111000 +011110000111 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100110101001 +110110110101 +101110000010 +101001110010 +100101110010 +100101100010 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100001010001 +100001010010 +100001010001 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101110010 +100101110010 +100101100010 +011001010010 +001101010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001000101 +001001010110 +001101111000 +011110001000 +100001110110 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011001110111 +011001110111 +010101100110 +011001110111 +011110001001 +100010011001 +011110001000 +011001110111 +010101100110 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001100110 +011001100110 +011001110110 +011001110110 +011001110111 +011001111000 +011101110111 +011001110110 +011101110111 +101110111000 +101110010010 +110010000010 +110010000010 +110010000010 +101101110010 +011000110001 +001000010000 +010001000011 +100110011001 +100110011010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101011 +100110011001 +100110011010 +011001100110 +001000100001 +001100100010 +001100100010 +010000110100 +001100110100 +001000100010 +000100000000 +001000100001 +001000100010 +001100110011 +001000100011 +001000100011 +001100110011 +010001000100 +001100110011 +001100110100 +010001000100 +010101010110 +010001010101 +010001010101 +010001010110 +010001100110 +010101100111 +011001111000 +011001111000 +010101111000 +010101101000 +010001010110 +001100110100 +001000100010 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +001100110010 +001100110011 +001000100001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010101000100 +010101000100 +001100110011 +001000100011 +001000110011 +001100110100 +001100110100 +001101000100 +001100110011 +001100110100 +001100110100 +001100110100 +011001100111 +100110011001 +011101110111 +010001000100 +010000110100 +010001000100 +010001000100 +010001000100 +010101000101 +010101010101 +010001000100 +010001000100 +010101010101 +010001000100 +001100110011 +010001000100 +011001100110 +011101110111 +011001100110 +010001000100 +001100110011 +010000110010 +011101010010 +100001000001 +100001010001 +100101010010 +100101010010 +100101010010 +100101010001 +100101010001 +100101010010 +100001010010 +100001010001 +010101000010 +001001000100 +001001010110 +000101010111 +000101010111 +000101010111 +000101010111 +000101101000 +001101111010 +010010001011 +001101111010 +000100100010 +000000000000 +000000000000 +000100010001 +000100100010 +000100100010 +000100010010 +000100100010 +000100010010 +000100010010 +000100010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010000 +000100100001 +001101000100 +010001010110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010101100110 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011001100110 +010101010101 +100110011001 +100010001000 +011101111000 +100010011001 +100110011010 +011101111000 +001100110011 +001100110011 +010101010101 +010101000100 +001100110011 +010000110011 +010101010100 +010101000100 +010101000100 +001100110011 +011001100110 +100010001000 +100010000111 +010001000100 +000100000000 +001000010000 +011101010001 +101001110010 +101101110010 +101101110010 +101101110010 +011101010001 +001000110010 +000100110100 +001000110100 +001000110101 +000100110101 +001001000110 +001001000111 +001001010111 +001101010111 +001101010111 +001101101000 +001101101000 +001101101000 +001101101000 +010001100111 +010001111001 +000101000110 +000100100010 +010101010100 +011101110111 +011110001000 +011110001000 +011110001000 +010101010101 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +001000010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +011101000001 +101001100010 +100101100010 +011101010001 +010101010011 +010101010100 +001101000011 +001001000100 +000100110100 +000100110100 +001001000101 +001001000101 +001001000101 +001101010111 +100110011001 +110111001000 +101110100101 +101010010101 +100010000111 +011110001000 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100110011001 +101110100111 +101110010010 +101001110010 +100101100010 +100101100010 +100001010001 +011101010001 +100001010001 +100001010001 +100101100010 +100001100010 +100001100010 +100001010001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100001100001 +100001100010 +010001010100 +001001010110 +001001000101 +001001010101 +001001010110 +001001010110 +001001010110 +001001000101 +001001010110 +001001100111 +010001100111 +100110011001 +100110000111 +011101100101 +011101100101 +011101100101 +011101110111 +011101110111 +011001110111 +011001100111 +011001111000 +100010011001 +100010011001 +011110001000 +010101100111 +010101100110 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001110110 +011001110110 +011001110111 +011001110111 +011001110111 +010101100110 +011001100110 +101110110111 +110010100010 +110010010010 +110010000010 +110001110010 +101101110010 +100001000001 +001100100000 +001100110010 +100010011001 +100110011010 +101010101010 +101010101010 +100110011010 +100110011010 +101010101010 +101010101010 +101010101010 +100110011010 +100110011010 +100110011001 +001100110011 +001000100001 +001100110010 +001100110011 +001100110011 +001000100010 +001000100010 +000100010000 +000000000000 +001000100001 +001100110010 +001100110011 +001100110011 +001100110100 +001100110100 +001100110011 +001100110011 +001100110011 +010001010101 +010001000101 +001101000101 +010001010101 +010001010101 +010001010110 +010101100111 +010101101000 +010101101000 +010101101000 +010001010110 +001100110011 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +010001000011 +010001000011 +010000110011 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +001100110011 +001000100011 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001100110011 +001100110011 +001000100010 +010101010110 +100110011010 +100010001000 +011001100110 +010101010101 +010101000101 +010001000100 +011001010110 +011101100111 +011101110111 +011001100110 +011001100110 +011101110111 +100010001000 +100110011001 +100110011001 +100010001000 +100010001000 +100010001000 +100010001000 +011101100101 +011101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100001010010 +010101000011 +001001000101 +000101010111 +000101010111 +000101010111 +000101000111 +000101010111 +000101010111 +001001101000 +010010011011 +001101010110 +000000000000 +000000000000 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +000100010000 +000100100001 +001101000100 +010001010110 +010101100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010001010110 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001100110 +010001100110 +010001100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010101100110 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011001110111 +011001100110 +010001000100 +001100110100 +001000100100 +001000110011 +010001000100 +011001100110 +011001010110 +010101010101 +011001010101 +010000110011 +001100110011 +010101000100 +010001000011 +010000110011 +010101000100 +011101110111 +100110011001 +100110011001 +100110011001 +100010001000 +001100100010 +000100000000 +001100100000 +100101100010 +101101110010 +101101110010 +101001110010 +010101000001 +001000100010 +000100110011 +000100110011 +000100110100 +000100110100 +001000110101 +001001000110 +001001010111 +001001000110 +001101011000 +001101101000 +001101100111 +001101010111 +001101101000 +010001101000 +001101101000 +001001010111 +000100110100 +010001000100 +011101100101 +100010001000 +011110001000 +011101111000 +011101110111 +001000100001 +000100000000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +001000010001 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010100110001 +100101010010 +100101100010 +100101010010 +011101010001 +010001010100 +001001000100 +001001000011 +001000110100 +000100110100 +001000110100 +001000110100 +001000110100 +001001000101 +001001010110 +001101100111 +100010011000 +110011001001 +100110000101 +100010000101 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100110011001 +110010010100 +101001110001 +100101110010 +100101100001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010010 +011101010001 +100001010001 +100001100001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100001 +100101100010 +100001100010 +011001010010 +001101010101 +001001010110 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +010001100111 +100110101010 +101010011000 +011101100101 +011001100101 +011101110111 +011110001000 +011110001000 +011110001000 +011110001001 +100010011001 +100010011010 +011110001001 +011001110111 +011001110111 +011001110111 +011110001000 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001110110 +011001110111 +011101110111 +011001110111 +010101100110 +010101100101 +101110100101 +111010100010 +110010000010 +101101110010 +101101110010 +101101110010 +011001000001 +000100010000 +001100110011 +100110011001 +100110011010 +100110011010 +101010101010 +101010101010 +101010101010 +100110101010 +101010101010 +100110011010 +100110011010 +100110011010 +011001110111 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010000 +000100010000 +000100010000 +000000000000 +000100010000 +001000100001 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001010101 +010001000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010111 +010101101000 +010101101000 +010101101000 +010101010110 +010000110100 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +010001000100 +010101000100 +001100110010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000110011 +001100110011 +001000100011 +001000100010 +001000100011 +001000100011 +000100100010 +011101110111 +100110011001 +100010001000 +100110001000 +100110011001 +011101110111 +010101010101 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +010000110011 +001000100010 +001000100010 +001000010001 +001100100001 +010100110001 +011101000010 +100001010001 +100001010001 +100001010001 +100101010010 +100101010010 +100101010010 +100101010010 +100101010010 +100101100010 +100101100010 +100001010001 +010101000010 +001101000100 +001001000110 +000101010111 +001001011000 +000101011000 +000101011000 +000101011000 +001001111001 +010010001010 +001001000100 +000100010010 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000000010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000100010000 +000100010001 +001101000100 +010001010110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001100110 +010001100110 +010101010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010110 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +010001000100 +001100110101 +001000110100 +001000100011 +000100100010 +000100010001 +000100010001 +001100110011 +010101010101 +011101110111 +011101100111 +011101100110 +011101110111 +011101111000 +100010001000 +100110001001 +100110011001 +100110011010 +101010011010 +100010001001 +001000100010 +000100000000 +001000010000 +011001000001 +101001100010 +101001100010 +100101100001 +010000110001 +000100110011 +000100110011 +000100110100 +000100110100 +000100110011 +000100110100 +000101000101 +001001000110 +001001010110 +001001010111 +001001101000 +001001101000 +001001101000 +001101101000 +001101101000 +001101101000 +001101101000 +001001000110 +001101010101 +010000110010 +100001110111 +011110001000 +011101111000 +011110001000 +011001010011 +000100000000 +000100010001 +000100000000 +000100000000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100000 +011101010001 +100101010010 +100101010001 +100001010010 +011001000001 +001001000011 +001001000100 +001001000100 +000100110011 +001000110100 +000100110100 +000100110100 +001000110100 +001001000101 +001001010110 +001101100111 +010001111000 +011110001001 +101010101010 +011001010100 +011101110110 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +101010010111 +101110000010 +100101110010 +100101100010 +100101100010 +100001010001 +100001010001 +011101010001 +100001010010 +100001010010 +100001100010 +100001010010 +100001010010 +011101010001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100001100010 +011101010001 +010101000010 +001001010101 +001001000101 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001100111 +001101100111 +001001010110 +001101010110 +100110101001 +101010101000 +100001110101 +011101110110 +011110001000 +011110001000 +011110001001 +100010011001 +100010011010 +100010011010 +100010011001 +011110001001 +011110001000 +011110001000 +100010001000 +011101110110 +011101110110 +011101110110 +011101110110 +011101110101 +011101100101 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101110110 +011101110110 +011001110111 +011101110111 +011001110111 +011001100110 +011101110101 +111011010100 +110110100001 +110010000010 +101101110010 +101101110010 +101001100010 +001100100000 +000100010000 +001100100010 +100010001000 +100110011010 +100110011001 +100110011010 +100110101010 +100110011010 +100110011001 +100110011010 +100110011001 +100110011001 +100110011010 +010101010101 +001000100001 +001000010001 +001000010001 +001000100001 +001000010001 +000100010000 +000100010000 +001000010001 +000100010000 +000000000000 +000100010000 +001100110010 +010000110011 +001100110010 +001100110011 +010001000100 +001100110011 +010001000100 +010001010101 +010001000100 +001101000100 +001000110100 +001000110100 +001101000101 +010001010111 +010101101000 +010101111000 +010101111000 +010101100111 +010001000100 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +010101000100 +011101110110 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +010001000101 +011001100110 +010101010101 +011101111000 +100010001000 +100110011001 +100110011010 +100010001001 +011001100110 +010101000101 +010101000101 +010101010101 +011001010110 +010101010101 +010001000100 +010001000100 +010101010101 +010101010101 +010001000100 +001100100011 +001000010001 +001000010000 +010000100001 +011001000001 +100001010001 +100101010001 +100101010001 +100101010010 +100101010010 +100101010001 +101001100010 +101001100010 +100101100010 +100001010001 +011001010001 +001101000011 +001001000110 +000101010111 +001001111001 +001001111010 +001001101001 +000101101001 +001001111010 +010010001010 +001101000101 +001000110100 +001000110011 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010010 +000100100010 +000000010010 +000000010001 +000000010001 +000000100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000000010000 +000100010001 +001101000100 +010001010110 +010101100110 +010001100110 +010101100110 +010101100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +010001000101 +010001010101 +010001010101 +010001010110 +010001100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001100110 +010001010110 +010001100110 +010101100110 +010001100110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010001010110 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +010101100110 +001000110011 +001000110100 +001000110011 +000100010010 +000100010001 +000100010000 +000100010001 +001000010001 +011001100110 +100110011001 +100110011001 +100110011001 +100010001000 +100110001001 +100110011001 +100110011001 +100110011010 +100110011010 +100010001000 +001000010001 +000100000000 +000100010000 +010000110000 +100101100010 +100101100010 +011101010001 +001000100001 +000100110011 +000100110011 +000100110100 +000100110100 +000100110011 +000100110100 +000100110101 +000101000101 +001001010110 +001001010111 +001001010111 +001001101000 +001001101000 +001001101000 +001001010111 +001001011000 +001001011000 +001001010111 +001101010111 +000100100011 +001100110010 +100001110111 +011110001000 +011001111000 +101010000101 +010000100000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +001000010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +001000010000 +010000110001 +100001010001 +100101010001 +100001010010 +100001010001 +011001000001 +010000110001 +000100110010 +001001000100 +000100110100 +000101000100 +000101000100 +000100110100 +001001000100 +001001000101 +000101000101 +001001000101 +001001100111 +001101101000 +010110001000 +011110011001 +001101000011 +100010000111 +100010011001 +100010011010 +100010011001 +100010011001 +100110011001 +101110010101 +101001110010 +100101100010 +100101110010 +100101100010 +100001010001 +100001010001 +100001010010 +100001100010 +100101100010 +100001100010 +100001010001 +100001010010 +100001010001 +100001010001 +100101100010 +100101100010 +100101110010 +101001110010 +100101110010 +100101100010 +100001100010 +100001010010 +011001010001 +010001000001 +001001000100 +001001010101 +001001010101 +001001010110 +001001010110 +001001010110 +001001000110 +001001010110 +001001010111 +001001100111 +001001100111 +001001010110 +001001000101 +001000110011 +011101110111 +101110101001 +100110000111 +011110001000 +011110001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110101 +011101110110 +011001110111 +011101110111 +011001110111 +011001100101 +101010100110 +111111010100 +110110010001 +110010000010 +101110000010 +101101110010 +100001010010 +001100100000 +001000010001 +000100010001 +010101000100 +100110011001 +100110011001 +100110101010 +100110101010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +001100110011 +001000010001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010001 +000100010000 +001000010001 +001100100010 +001000100001 +001000010000 +010000110010 +001100110010 +010001000011 +010001000100 +001100110011 +010001000100 +010001010101 +010001000100 +001101000100 +001101000100 +001101000100 +010001010110 +010101100111 +011001111000 +011001111000 +011001111000 +010101100110 +010001000100 +010000110010 +010000110010 +001100110010 +001100110001 +010001000010 +010001000010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100011 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +010000110010 +101010011000 +100001110101 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +001000010001 +001000100010 +001000100010 +001100110011 +001000100010 +000000000000 +010001000100 +100010011001 +100110011001 +100010001001 +100110011001 +100110011001 +100110101010 +100110011010 +100110011001 +100110011001 +100110011001 +100110001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001111000 +011101110111 +001100110011 +000000000000 +001000010000 +011101000001 +100101010010 +100101010010 +100101100010 +100101100010 +101001100010 +101001100010 +101001100010 +101001100010 +100101100010 +100001100001 +011001010001 +001101000011 +001001000101 +000101010111 +000101101000 +001001111001 +001001111010 +000101101001 +001101111001 +010001100111 +001100110100 +001000110011 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000000010001 +000000010001 +000100010010 +000100010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +001000110011 +001000110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000000010000 +000100010001 +001101000100 +010001100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000100 +001000110100 +001000110100 +001000110011 +001100110100 +001101000100 +001101000101 +001101010101 +010001010101 +010001010101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001100110 +011001100111 +011001111000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +011110001001 +011110001000 +011110001000 +011110001001 +100010001001 +010101100110 +001000100011 +001000110100 +001000110011 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +010001000100 +011001110111 +100110011010 +100110011010 +100110011001 +100110001001 +100110011001 +100110011001 +100110011001 +100110011001 +011101100111 +000100010000 +000100000000 +000100000000 +010000100000 +100001010001 +100101010010 +010100110001 +001000100010 +000100110011 +000100110011 +000100110100 +000100110100 +000100110100 +000100110100 +000100110100 +000101000101 +000101010110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010111 +001001010111 +001001011000 +001001011000 +001001010111 +001001010111 +001001000101 +000000000000 +010101010100 +011110001000 +011101111000 +100010000110 +100101100011 +010000100000 +000100000000 +000100010000 +000100000000 +000100000000 +000100010000 +001000010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +001000100000 +010000110000 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011001000001 +001000110010 +000100110100 +000101000100 +000101000100 +000101000100 +001001000100 +000101000100 +001001000101 +001001000101 +000100110100 +001001010110 +001101111000 +001101101000 +010110001001 +010001100111 +010001010101 +100010000111 +100110011001 +100010011010 +100010011001 +100110011001 +101110010011 +100101110010 +100001100001 +100101110010 +100101110010 +100001100010 +100001100001 +100101100010 +100101100010 +100101100010 +100101100010 +100001100001 +100001010001 +100001010001 +100101100001 +100101100010 +100101100010 +100101110010 +100101110010 +100101100010 +100101100010 +100001100010 +100001100010 +011001010001 +010001000010 +001001000101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +001001100111 +001001010110 +001001010110 +000100010001 +001101000100 +010101110110 +011101110110 +100010001000 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011001110111 +011101110111 +011001110111 +011001100110 +101110100111 +111111000011 +110110010001 +110010000010 +101101110010 +101001110010 +010100110001 +001000010000 +001000100001 +001000100001 +001000100001 +010101010101 +100010011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011001 +011110001000 +001000100010 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +001000100001 +000100010000 +000100010000 +000100010000 +000100010001 +001100110011 +001000100001 +001100110011 +001100110011 +001100110011 +010001010101 +010001000101 +010001000100 +010001000011 +001101000100 +010001000101 +010101010110 +010101111000 +011001111000 +011001111000 +010101100111 +010101010110 +010001000011 +010000110010 +001100110001 +001100110001 +001101000001 +001101000001 +001101000010 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100100010 +001100100010 +001000100010 +001000100001 +001100110001 +100101110110 +100001110101 +010000110010 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100010 +000000000000 +000100010001 +001000100010 +001000100011 +010101100110 +100010011001 +100010011001 +100010001001 +100110011001 +100110011001 +100110011001 +100010001001 +100110011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +011101110111 +011101100110 +001000010001 +000000000000 +001100100000 +011101010001 +100101010010 +100101100010 +100101100001 +100101100010 +101001100010 +101001100010 +101001100010 +100101100010 +100101100001 +100101100010 +011001010010 +001101000001 +000101000100 +000101000110 +000101101000 +001001111010 +000101101000 +001001111000 +001101100111 +001000110011 +000100110011 +000100100010 +000000010001 +000100100010 +000100010010 +000100010001 +000000010001 +000000010001 +000100010010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000000010001 +000100010010 +001000110011 +001000110100 +000100100011 +000000010001 +000000010001 +000100100010 +000100100010 +001000110011 +001000110011 +000100010001 +000100010001 +001101000100 +010101100110 +011001110111 +011001110111 +010101110111 +010101100111 +010101100111 +010101100110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000100 +001000110100 +000100110011 +000100100011 +000100100010 +000100100011 +000100100011 +001000110011 +001000110011 +001000110100 +001000110100 +001101000100 +010001010101 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +100010001001 +010101100110 +001000100011 +001000110011 +001000100010 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +001000100010 +001100110011 +011001100111 +100010001000 +100110011010 +100110001001 +100110001001 +100110001001 +100110011001 +100010001001 +010001000100 +000000000000 +000100010000 +000100000000 +010000100000 +100001010001 +100001010001 +010000110001 +001000110010 +000100110011 +000100110011 +000100110100 +000100110100 +000100110011 +000100110100 +000100110100 +000101000101 +000101000110 +000101000110 +001001000110 +001001010111 +000101010110 +001001101000 +001001101000 +001001010111 +001001101000 +001001010111 +001001100111 +001001101000 +000100100011 +001000100001 +011101110111 +011110001000 +011101111000 +100110000110 +100101100010 +010000110001 +001000010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100000 +001100100000 +001100010000 +001100100000 +001000010000 +000100000000 +000100000000 +000100010000 +001000010000 +001100110001 +010100110001 +011001000001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010010 +100001010010 +011101000001 +001100100001 +000100110011 +000100110100 +000100110100 +000100110100 +000101000100 +000101000100 +000101000101 +001001010101 +000101000100 +001001010101 +001101100111 +001101100111 +001101111000 +010001111000 +010001100111 +010101100110 +100110011000 +100110011010 +100010011010 +100110011000 +101010000011 +100001100001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100001100001 +100001100010 +100001100010 +100101100010 +100001100010 +100001100010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100001110010 +011101100010 +010101000010 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +001001010110 +001001100111 +001001100111 +001001100111 +001001010111 +001101100111 +000100100010 +001000100010 +010001111000 +010001100110 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010011001 +011110011001 +011110001001 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011001110111 +011001110111 +011001110111 +011001100110 +100010000101 +111111000100 +110110100001 +110010000010 +101101110010 +101001100010 +010000110000 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +001100110011 +011001110111 +100010011001 +100110011010 +100010011001 +100010011001 +100010011001 +100110011001 +011110001000 +001000100010 +000100010001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +001000010000 +000100010000 +000100010000 +000100010000 +001000100010 +010001000100 +010001000100 +001000100001 +001100100010 +001100110010 +001101000100 +010001000101 +001101000100 +001101000100 +010001000011 +010001000100 +010001010101 +010101010110 +010101100111 +011001111000 +010101100111 +010001100101 +010001010100 +010001000010 +001100110010 +001100110001 +001100110001 +001100110001 +001101000001 +001101000001 +010001000010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +010000110011 +001000010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000000000000 +000000000000 +000000000000 +000100010001 +000000000000 +000000000001 +000100010001 +010001010101 +100010001000 +100010001000 +100010001001 +100010001001 +100110001001 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +011101110111 +011101111000 +100010001000 +100001111000 +100001111000 +011101110111 +010000110011 +000000000000 +000000000000 +010000110001 +011101010001 +100001010001 +100101010001 +100101100010 +100101100001 +101001100001 +101001100010 +100101100010 +101001100010 +100101100010 +100001100010 +011001010010 +001101000011 +000101010110 +000101010111 +000101101000 +000101011000 +001001111001 +010010001010 +000100110100 +000100100010 +000000010001 +000000010001 +000100100001 +000100100010 +000000010001 +000000010001 +000000010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +000100100010 +000100100010 +000100010010 +000000010001 +000100100010 +001000110100 +001100110100 +000100100011 +000000000001 +000000010001 +000100100010 +000100100010 +001000110011 +001001000011 +000100010001 +000100010001 +001100110011 +010101010110 +011001100111 +011001110111 +010101110111 +010101100111 +010101100111 +010101100110 +010001100110 +010001010110 +010001010110 +010001010101 +010001010101 +001101000101 +001101000100 +001101000100 +001000110100 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010101 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001000 +011110001001 +010101010101 +001000100010 +001000100011 +000100100001 +000100010001 +000100010001 +000100010001 +000000000000 +000100010000 +001000010001 +000100010001 +001000100010 +001100110011 +011101110111 +100110001001 +100110001001 +100010001000 +100010001000 +011101110111 +001000010001 +000000000000 +000000000000 +000100000000 +010100110001 +100001010001 +011101000001 +010000110000 +001000100010 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110100 +000100110100 +000101000101 +000101000101 +000101000101 +001001010111 +000101000101 +000101010111 +000101010111 +000101000110 +001001100111 +001001101000 +001101111000 +001001101000 +001101010110 +010000110001 +010101010100 +011110001000 +011110001000 +011101110111 +100101110101 +100001100010 +010100110001 +001100100000 +001000010000 +001000010000 +010000110001 +010101000001 +010101000001 +011001000001 +011001000001 +011001000001 +010100110001 +001100100000 +001100100000 +010100110001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010010 +100101010010 +100101010001 +100001010001 +011001000001 +001100100001 +000100110011 +000100110100 +000101000101 +001001000100 +001001000101 +000101000101 +000101000101 +001001000101 +001001000101 +001001000101 +001001010110 +001001010110 +001101100111 +010001111000 +010001111000 +010001110111 +100010001001 +100110101010 +100010011010 +100110011000 +100101110010 +100101100001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010001 +100001010001 +100001100010 +100001100010 +100101110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100001100010 +011001000010 +001101010100 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010110 +001001100111 +001001100111 +001001100111 +001001100111 +001001100111 +001001000100 +000100100010 +010001111000 +001101111000 +001101010101 +100010001000 +011001110111 +011001111000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011101110111 +011001110110 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001110110 +011001110111 +011001110111 +011001110111 +011001110110 +100010000101 +111011000100 +110110010001 +110010000010 +101101110010 +101001110010 +011001000001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001100110011 +010101010101 +011001100110 +011110001000 +011110001000 +011110001000 +100010001001 +010001010101 +001000100001 +000100010001 +000100010001 +001000100001 +000100010000 +000100010000 +001000010000 +000100010000 +001000100001 +001100110011 +010001010101 +010001010101 +010001000100 +001000010001 +001100110010 +010000110011 +010001000100 +001101000100 +001101000011 +001101000011 +001101000011 +010001000011 +010001000100 +010001010101 +010101100110 +010101100110 +010001010101 +001101000011 +001100110010 +001100110010 +001100110010 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001101000010 +001100110010 +001000100010 +001000100001 +001000100001 +000100100001 +000100100001 +001000100010 +000100000000 +000000000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000000000000 +000000000000 +000000000001 +000000000000 +000000000000 +001101000100 +011110001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101111000 +100010001000 +100010001000 +100001110111 +100001110111 +011101100110 +001000010001 +000000000000 +000000000000 +010000100000 +011101000001 +100001010001 +100101010010 +100101100010 +101001100001 +101001100010 +101001100010 +101001100010 +100101100010 +100101100010 +011001010010 +001101000011 +000101000110 +000101010111 +000101101000 +000101101000 +001001111010 +010010011100 +001001010110 +000100100010 +000100010001 +000100100001 +000100100001 +000100100010 +000000010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +000100100010 +000100100010 +000100010001 +000000010001 +000100100010 +001000110100 +001100110100 +000100100010 +000000000001 +000000010001 +000100100010 +001000110011 +001101000100 +001101000100 +000100010001 +000100010001 +001000110011 +010001010101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010001010110 +010001010110 +010101010110 +010101100110 +010101100110 +010001010110 +010001010101 +010001010101 +001101000101 +001101000100 +001000110011 +000100100010 +000100100010 +000100100010 +001000110011 +010001010101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +011110001001 +011110001000 +011110001000 +011101111000 +011101111000 +010101010101 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001100100010 +011101110110 +011101110111 +011101110111 +011001100110 +001000100010 +000100000000 +000100000000 +000000000000 +001000010000 +011001000001 +011101000001 +011001000001 +010000110000 +001000100001 +000100110011 +000100100011 +000100110011 +000100100011 +000100110011 +000000100011 +000100110011 +000100110100 +000100110100 +000101000101 +000101000101 +001001010110 +000100110101 +001001010110 +000101000110 +000101000110 +000101100111 +001001101000 +001001101000 +001001101000 +001101101000 +010101010100 +010101000010 +011101111000 +011110001000 +011110001000 +011110001000 +011101110101 +100001100010 +011001000001 +010100110001 +011001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001010001 +100101100010 +100101010010 +100101010010 +100001010001 +100001010001 +011000110001 +010000100000 +001000110011 +000100110100 +000100110101 +000100110100 +001001000101 +000101000101 +000101000101 +000101000101 +001001000101 +000101000101 +001001010110 +001001100110 +001001100111 +001001100111 +001101100111 +001101101000 +010101111000 +100010011001 +100110011010 +100110000111 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010010 +100001010010 +100001100010 +100101110010 +100101110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100001100010 +011001000001 +001101000011 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010110 +001001100111 +001001100111 +001001100111 +001001100111 +000101010110 +001001000100 +000100100010 +001101111000 +001001111000 +001001000101 +011001100110 +011001100110 +010101100110 +011101111000 +011110001000 +011110001000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001100101 +011001100110 +011001100110 +011101100101 +011101100101 +011001100101 +011001100101 +011001100110 +011001110110 +011001110111 +011001110111 +011001110110 +100001110101 +111010110010 +110110010001 +101101110010 +101101110010 +101001100010 +011101010010 +001000100000 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100010 +010001000100 +010001000100 +001100110011 +010001000100 +001100110010 +001000010001 +000100010000 +000100010000 +000100010000 +001000010000 +001000010001 +001100110010 +001100110011 +010001000100 +001101000101 +001101010101 +010001000100 +001000010001 +001100110010 +010001000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001101000011 +001101000011 +010001010100 +010001000100 +001101000011 +001000110010 +001100110010 +001100110001 +001100110010 +001100110010 +001100110010 +001100110001 +001100110001 +001100110001 +001000110001 +001000110001 +001000110001 +001000110001 +001100110001 +001100110001 +001100110001 +001000110001 +001100110001 +001100110001 +001000110001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100010 +001000100010 +000100010000 +000000000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010101010101 +011110001000 +011110001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +011001010101 +000100010001 +000000000000 +000000000000 +000100010000 +011001000001 +100001010001 +100101010001 +100101100010 +101001100010 +101001100010 +101001100010 +101001100010 +101001100010 +100101100010 +100001100010 +010101010010 +001001010101 +000101010111 +000101101000 +000101011000 +000101101000 +001001111001 +001101111001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000100010010 +000100010010 +000000010010 +000000010010 +000000010010 +000100100010 +000100100010 +000100010001 +000000010001 +000100100010 +001000110100 +001100110100 +000100100010 +000000000001 +000000010001 +000100100010 +001101000100 +010001010101 +010001010101 +000100010001 +000000010001 +001000100010 +010001010101 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +001101000100 +001000110011 +001000100011 +001000110011 +001000110011 +010001010101 +010101100111 +010101110111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101110111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +011001111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011001110111 +010101100111 +010101100110 +010101010110 +001101000101 +000100010001 +000100010001 +000100000000 +000100010000 +000000000000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +010000110011 +011101110111 +010101010101 +000100010001 +000100000000 +000100000000 +000100000000 +000100000000 +010000110001 +011101000001 +011101000001 +011000110001 +010000110000 +001000100001 +001000110011 +000100100011 +000100100011 +000100110011 +000100110011 +000100110011 +000100110011 +000101000100 +000101000101 +000101000110 +000101000110 +000101000110 +000100110100 +000101000101 +000101010111 +000101000110 +000101010111 +000101011000 +001001010111 +001001101000 +001001101001 +010001100111 +011001000011 +011101110111 +011110001000 +011110001000 +011010001000 +010001100111 +011101010011 +100101100010 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +011001000001 +010100110000 +001000110010 +000100110100 +000100110101 +000100110100 +000101000101 +000101000101 +001001000101 +001001010101 +000101010110 +000101010110 +001001010110 +001001100111 +001001100111 +001101100111 +001001010110 +001101111000 +001101100111 +011110001000 +100010011010 +100110000110 +100001100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100001100010 +100101100010 +100101100010 +100001010010 +100001010001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100001100010 +100001100010 +100101100010 +100001100010 +100001100010 +011101010010 +011001000001 +010001000010 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010110 +001001010111 +001001100111 +001001100111 +001001100111 +001001100111 +001001000100 +000100100010 +001101111000 +001101101000 +001101010110 +001100110011 +011101110111 +011001100111 +011110001000 +011110001001 +011110001000 +011001110111 +010101100110 +011001110111 +011001110111 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001100110 +011001100110 +011001110110 +011001110110 +011001110110 +011001100101 +011001100110 +011001110110 +011001110110 +011001110111 +010101110111 +100010000101 +111111000010 +110110000001 +101101110010 +101101110010 +101001100010 +011001000001 +001000100000 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +001000010001 +000100010000 +000100010000 +001000100001 +010101000100 +010000110011 +001000100001 +010000110011 +001100110011 +001100110100 +001100110100 +001101000100 +010001000100 +001000010001 +001100110010 +001101000011 +001101000011 +001101000011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001101000011 +001101000011 +001101000011 +001101000011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011101110111 +100001110111 +010101010101 +000100000000 +000000000000 +000000000000 +001000010000 +011001000001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100001 +100101100001 +100101100010 +100101100010 +100101100010 +100001100010 +011101010010 +001101010100 +001001100111 +001001101000 +000101010111 +000101010111 +000001000110 +001101111001 +001000110100 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000100010010 +000100010010 +000000010010 +000000010001 +000000010010 +000100100010 +000100100010 +000100010010 +000000010001 +000100100010 +001101000100 +001101000101 +001000110100 +000000010001 +000000010001 +001000110011 +010101010110 +011001110111 +011001100110 +000000010001 +000000010000 +000100100010 +001101000101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010101 +001000110100 +001000110011 +001000110011 +001000110011 +001101000101 +010101100110 +010101110111 +011001110111 +011001110111 +010101110111 +010101110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101110111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +010101100110 +010001010110 +010101100111 +011001110111 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011001111000 +011001110111 +011001100111 +010101100111 +010001010110 +010001010101 +001101000101 +001101000101 +001101000100 +001101000100 +000100010001 +000100010001 +000000000000 +000100010000 +000000000000 +000100000000 +000100000000 +000100010000 +000000000000 +000100010001 +000100010000 +000100010001 +000100010000 +001100110011 +010000110011 +000100010001 +000000000000 +000100000000 +000100000000 +000100000000 +001100100000 +011001000001 +011101000001 +011001000001 +011001000001 +010100110000 +001100100000 +000100100010 +000100100011 +000100100011 +000100110011 +000100110011 +000100110100 +000100110100 +000101000100 +000101000101 +000101000101 +000101000110 +000101000110 +000100110100 +000100110101 +000101000110 +001001000110 +001001010111 +001001010111 +001001101000 +001001101000 +001001011000 +001101100111 +011101111000 +011110001000 +011101111000 +011110001000 +011101111000 +001101010110 +010001000010 +100101100010 +100101100010 +100101100010 +100001010001 +100001010001 +011101000001 +100001010001 +011101010001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010001 +100001010001 +100101010001 +100101100001 +100001010001 +011101000001 +010100110000 +001100100001 +000101000100 +001001000101 +000101000101 +000101000101 +000101000101 +001001000101 +001001000101 +000101010101 +000101000101 +001001010111 +001001100111 +001001010111 +001001100111 +001001010110 +001101100111 +001101111000 +010101111000 +100010011001 +100110000110 +100001100001 +100001010010 +100001100010 +100101100010 +100101100010 +100001100001 +100001010001 +100101100010 +100001010001 +100001100010 +100001010001 +100001100010 +100001100010 +100001100010 +100101100010 +100101100010 +100101110010 +100101100010 +100001100010 +100001100010 +011101010001 +100001010010 +100001100010 +011101010001 +011001010001 +010101000010 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +001001010111 +001001010111 +001001100111 +001001100111 +001001101000 +001001000101 +000100110011 +001101111000 +001101111001 +001101100111 +001000110011 +010101100110 +011110001000 +011110001001 +100010011001 +011110001000 +011001110111 +011001110111 +011001110111 +011101110111 +011101110110 +011101100110 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110110 +011001110111 +011001110111 +011001110111 +011001110111 +011001110110 +110110110011 +110010000001 +101101110010 +101101110010 +100001010001 +010000110000 +001000010000 +000100010000 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010000 +001000100001 +001100100010 +001100100010 +001000010001 +001100100010 +001100110011 +001000110010 +001100110011 +001100110011 +010000110011 +000100010001 +001100110010 +001101000011 +001101000100 +001101000100 +001100110011 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110011 +001101000100 +001101000101 +010001010101 +001101000100 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110100 +100010001000 +011101111000 +011110001000 +011101111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011101110111 +011101110111 +011101100110 +010101000100 +000000000000 +000000000000 +000000000000 +001000010000 +011001000001 +100001010001 +100001010001 +100101010010 +100101100010 +100101010001 +100101100010 +101001100010 +100101100010 +100101100010 +100001100010 +011101100010 +010001010100 +001001100111 +001001101000 +000101011000 +000101101000 +000101010111 +000101000110 +001001010110 +000000100010 +000100010010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +000100100011 +001000110011 +000100100010 +000000010001 +000100100010 +001101000100 +001101000110 +001101000101 +001000110100 +000100100010 +001000110011 +010101100110 +011101110111 +011001110111 +000000010001 +000000000000 +000100100010 +001101000100 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010001010110 +001101000100 +001000110100 +001000110011 +001000110011 +001101000100 +010001010110 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010001100110 +010001010110 +010001010110 +010101100110 +011001110111 +011101111000 +011110001000 +011110001000 +011001111000 +011001110111 +011001100111 +010101100111 +010101100110 +010001010110 +001101000101 +001101000101 +001100110100 +001000110100 +001100110100 +001100110100 +001101000100 +001101000101 +001000100010 +000100010000 +000100000000 +000100000000 +000000000000 +000100000000 +000100000000 +000100000000 +000000000000 +000100010001 +000100010001 +000100010000 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010000 +010100110001 +011000110000 +011000110001 +011001000001 +011000110001 +010000110000 +001100100000 +001000100001 +000100100011 +000100110011 +000000110011 +000100110011 +000100110100 +000100110100 +000100110100 +000000110101 +000101000101 +000101000101 +000101000110 +000100110101 +000101000101 +001001000110 +001001000110 +010001101000 +001001010111 +001001101000 +001001101000 +001001100111 +001001010111 +011110001001 +011110001000 +011101111000 +011110001000 +011001111000 +001001010110 +001000100001 +100101100010 +100101100001 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101100010 +100101100010 +100001010010 +011101000001 +011000110000 +010000110001 +001001000100 +000101000101 +000101000101 +000101000101 +001001000101 +000100110100 +000100110100 +000100110100 +001001000101 +000101010110 +000101000101 +000101000101 +000101010110 +001001000101 +000101000101 +001101111000 +010001100111 +011001110111 +100110000111 +100001100010 +011101000001 +011101000001 +100001100010 +100101100010 +100001100010 +100001100010 +100101110010 +100101100010 +100101110010 +100101100010 +100001100010 +100001100010 +100001100010 +100001100010 +100101100010 +100001100010 +100001010001 +011101010001 +011101010010 +011101010001 +100001100010 +100001100010 +011101010001 +011101010001 +010101000010 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001100111 +001001010111 +001001010111 +001001101000 +001001101000 +001001101000 +001001010110 +001001010110 +001001111000 +001101111001 +001101101000 +001001010101 +001101010101 +100010001001 +100010011001 +100010011001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101110110 +011001110110 +011001110111 +011001110111 +011001110111 +011001110110 +010101100110 +110010010100 +110010000010 +101101110010 +101001100001 +100101100010 +011101010010 +001100110001 +001000100000 +001000100001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +001000010001 +001000100001 +001000100001 +000100010001 +001100100001 +001100110010 +001100110010 +001100110010 +001100110010 +010101000010 +001100110010 +001101000011 +001101000100 +010001010101 +001101000100 +001101000011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110010 +001101000011 +001101000100 +010001010110 +010001010110 +001101000100 +001101000011 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110010 +001000110010 +001000110001 +001000100010 +000100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010000 +000000000000 +000100000000 +001000010001 +001000100001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +011001100110 +011110001000 +011001110111 +011001110111 +011101110111 +011110001000 +011101110111 +100010001000 +011101110111 +011101110110 +011101110111 +011101100110 +010101000100 +001000010001 +000000000000 +000000000000 +000000000000 +000100010000 +010100110001 +100001010001 +100001010001 +100001010001 +100101010010 +100101010010 +100101100010 +101001100010 +100101100010 +100101100010 +100101100010 +011101100010 +010101010100 +001001101000 +001001111001 +000101101000 +000101101000 +000101101000 +000101000110 +001001000110 +000000100011 +000100010010 +000100100010 +000000100010 +000000010001 +000000010001 +000000010001 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +001000110011 +001101000100 +001000110100 +000100100011 +000100100010 +001000110100 +001101000101 +010001010110 +010001010110 +001101000101 +001101000100 +011001100110 +011101110111 +011001100111 +000000010001 +000000000001 +000100010010 +001101000100 +010101100110 +010101100111 +010101100110 +010101100110 +010001010110 +010001100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +001101000101 +001101000100 +001101000100 +001101000100 +001000110100 +001101000101 +010101100110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001100111 +010101100111 +010101100110 +010001010110 +010001010101 +010101100110 +011001110111 +011110001000 +011110001000 +011101111000 +011001110111 +010101100110 +010001010110 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001100110100 +001100110100 +001101000100 +001101000101 +001000100010 +000100010000 +000100010000 +000100010001 +000000000000 +000100010000 +000100010000 +000000000000 +000100000000 +001000100001 +000100010000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +001100100000 +010100110000 +011000110001 +011001000001 +011000110001 +010100110000 +010000100000 +010000100000 +001100100001 +000100100010 +000000100011 +000000100011 +000100110011 +000100110100 +000100110100 +000100110100 +000000110100 +000101000110 +000101000101 +000101000110 +000101000101 +000101000110 +001001010111 +000101000110 +001001010111 +001001100111 +001001101000 +001001111000 +001001101000 +001001010111 +011010001000 +100110011001 +011101111000 +011110001000 +011001111000 +001001010110 +000100100010 +100001010010 +100101010001 +100001010010 +100001010001 +100001010001 +100001010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010010 +100101010010 +100001010001 +011101000001 +011001000001 +010100110000 +001000110011 +000101000100 +001001000101 +000101000101 +001001000101 +000101000100 +000101000100 +000100110011 +001001000101 +000101010110 +000101000101 +000101000101 +001001010110 +001001000101 +000100110011 +001001010111 +010001111000 +010001100110 +100010001000 +100001010010 +011101000001 +011001000001 +011101010001 +100001010010 +100001010010 +100001100010 +100101110010 +100101110010 +100101110010 +100101100010 +100001100010 +100001100010 +100001100010 +100101110010 +100001100010 +100001100010 +011101010001 +011101010001 +100001100010 +100001100010 +100001010010 +100001100010 +011101100001 +011101100010 +011001010010 +001001010101 +001001010101 +001001010101 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +001001100111 +001001101000 +001001100111 +001001100111 +000101010110 +001001100111 +001001101000 +001001111001 +001101101000 +001101111000 +001001010111 +011001111000 +100010011001 +100010011001 +100010011001 +011110001001 +100010001001 +100010001001 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001110110 +011001110111 +011001110111 +011001110111 +010101100110 +010101100101 +110010010011 +110010000001 +101101110010 +101001110010 +101001110010 +100001100010 +010101000001 +001000110001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010001 +001000100010 +001000010001 +001100100001 +010000110010 +001100110010 +001100110010 +001100110010 +010001000010 +001100110011 +001101000011 +010001000100 +010001010101 +010001000100 +001101000011 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001101000011 +001101000100 +001101000101 +001101000100 +001101000011 +001100110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110010 +001100110011 +001100100001 +001100100001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110011 +011101111000 +011001110111 +011001100110 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +001100110011 +000100000001 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +010000110001 +011101010001 +100001010001 +100101010010 +100101010010 +100101100010 +100101100010 +100101100001 +100101100001 +100101100010 +100101100010 +100001100010 +010101100100 +001001100111 +001001111001 +001001111001 +000101101000 +001001111001 +000101010111 +000101000101 +001001000101 +000000100010 +000100100010 +000100100010 +000100100010 +000000100001 +000100010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000100010 +001000110011 +001101000100 +001101000101 +001000110100 +000100100011 +000100100011 +001000110100 +010001010101 +010001010111 +010001010110 +010001010101 +011001100110 +011101110111 +011001100110 +000000010001 +000000000001 +000100010001 +001101000100 +010101010110 +010101100111 +010101100110 +010101100110 +010001010110 +010001100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +001101000101 +001101000101 +001101000101 +001000110100 +001101000100 +010101100110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +010101100110 +010001010110 +010101100110 +011001110111 +011110001000 +011101111000 +011001110111 +011001100111 +010101100110 +010001010101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001100110100 +001100110100 +001000110100 +001100110100 +001000100010 +000000000000 +000000000000 +000100010000 +000100010001 +000100010000 +000100000000 +000000000000 +001000100010 +001000010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +001100100000 +010100110000 +010100110001 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +000100100010 +000100100011 +000000100011 +000000100011 +000100110100 +000100110100 +000100110100 +000000110100 +000101000101 +000101000101 +000101010110 +000101010110 +000101000110 +000101010111 +000101000110 +000101010111 +000101100111 +000101010111 +001001101000 +001001101000 +001001010111 +010001100111 +101010101010 +011110001000 +011110001000 +011010001000 +001001010110 +000100100010 +010101000001 +100101010001 +100001010010 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101010001 +011101010001 +100001010001 +100101010001 +100101010001 +100001010001 +100101010001 +100101010001 +100001010001 +011101000001 +011101000001 +010100110001 +001100110010 +001001000101 +000100110100 +001001000101 +000101000101 +000101000101 +000101000101 +000100110011 +000100110100 +001001010110 +000101010101 +000101010101 +001001010110 +001001010110 +000100110011 +001001010110 +001001101000 +001101100111 +011101110111 +011001000010 +011001000001 +011101010010 +100001010001 +100001010001 +011101010001 +100001010010 +100001010001 +100001100010 +100101100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001010010 +011101010001 +100001010001 +100001100010 +100001010010 +100001010001 +100001100010 +100001100010 +011001010010 +001101010101 +001001000101 +000101000101 +001001010110 +001001010110 +000101010110 +001001010111 +001001010111 +001001100111 +001001100111 +001001010111 +001001100111 +001001010111 +001001101000 +001001101000 +001001101000 +001001100111 +001001101000 +001101111000 +010001010101 +100010001001 +011110011001 +100010011001 +100010001001 +100010001001 +100010001001 +011110001000 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001110110 +011001110111 +011001110111 +011001110111 +010101100110 +011001100100 +110110100011 +110010000001 +101101110010 +101001110010 +101001110010 +100101100001 +011101010010 +001100110001 +000100100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +001000010010 +001000100001 +001100100001 +011001010010 +001100110001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001101000011 +001101000100 +001101000100 +001100110011 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001101000011 +001101000011 +001100110011 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +000100100000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +011001100110 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011101110111 +011001100110 +010001000100 +001000100010 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100100000 +011101000001 +100001010001 +100001010001 +100101010010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +011001100011 +001101100101 +001101100110 +001001100111 +000101101000 +001001111001 +001001101000 +000000110101 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100100011 +000100110011 +000100100011 +000100110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100011 +001000110100 +001101010101 +001101000101 +010001000100 +011001010100 +011101100101 +010101010101 +000000010001 +000000000001 +000000010001 +001100110100 +010101010110 +010101100111 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001100110 +010001010101 +010001010101 +001101000101 +001101000100 +001101000100 +010001010110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +010101100110 +010101100110 +011001110111 +011001110111 +011001100110 +010101100110 +010101100110 +010101100111 +010001010110 +001101000101 +001101000100 +001101000101 +001101000101 +001101000101 +001100110100 +001000110100 +001000110011 +001000100011 +001000100011 +001000110011 +001000110011 +000000000000 +000000000000 +000100010000 +001000100001 +001000010001 +000100010001 +001000100001 +001100100001 +010100110001 +010000110000 +001100100000 +001100100000 +001000010000 +001100100000 +001100100000 +010000100000 +010000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100100000 +010100110000 +011000110001 +011000110001 +010000100000 +001000100010 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000100110100 +000000110100 +000101000101 +000101000101 +000101010110 +000101010110 +000101000110 +000101010111 +000101010110 +000101010111 +001001100111 +000101010111 +001001101000 +000101010111 +001001101000 +001001000110 +100010001001 +100010001000 +011110001000 +011010001001 +000101010110 +000000100010 +001100100001 +100101010010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100101010001 +100001010001 +100001010001 +011101000001 +011001000001 +010000110010 +000100110100 +000101000100 +000101000101 +000101000101 +000101000101 +000100110101 +000100110100 +000100110100 +000101000101 +001001010110 +000101010110 +001001100111 +001001010111 +000100110100 +001001010101 +001001010110 +001101100110 +001101000101 +010101000010 +011101010001 +100001100010 +100101100010 +100001100010 +100001010010 +100001010010 +011101000001 +011101010001 +100001010010 +100001100010 +100001010001 +100001010010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001010010 +100001010001 +100001100010 +100001100010 +100001100010 +100001010010 +011101010010 +011001010010 +001101010100 +001001000101 +000101000101 +001001010110 +001001010111 +001001010110 +001001010111 +001001010110 +001001010110 +001001101000 +001001010111 +001001100111 +001001101000 +001001010111 +001001100111 +001001101000 +001001100111 +001001100111 +001101111001 +001001010110 +011001100110 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011101110111 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001100101 +011001110110 +011001110111 +011001110111 +011001110111 +100010011000 +101010101000 +110110100011 +110010000001 +101101110010 +101001110010 +101001110010 +101001100010 +100101100010 +010101000001 +001100100000 +001100100000 +001100110001 +001100110001 +001000010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100001 +001000100010 +000100010001 +000100010000 +001100100001 +001100100000 +000100010000 +001000010010 +001000100001 +100001110011 +010000110001 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +010101010100 +010101010100 +001100110011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000100001 +001000100001 +000100010000 +000100010000 +000100000000 +000100000000 +001000100010 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010001000100 +010101100110 +010101010101 +010101010101 +010101010101 +010001000101 +001100110011 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +010000100000 +011001000001 +100001010001 +100001010001 +100101010001 +100101010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100001100010 +011101100010 +010001100011 +010001100100 +001001100111 +000101101000 +000101011000 +000101010111 +000101000110 +000000100011 +000100110011 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000100100011 +001000110100 +001001000101 +001001000101 +001000110100 +000100100010 +000000010010 +000000010010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001000110011 +001100110011 +010101000011 +011001010100 +010101010100 +000000010001 +000000000000 +000000010001 +001000110011 +010001010110 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010101 +010101010110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000100 +001101000100 +010001010110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +010101100111 +011001110111 +011001110111 +010001010101 +010001000100 +010101100110 +011001110111 +010101100110 +001101010101 +001101000100 +001100110100 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001100110011 +000100010001 +000000000000 +000000000000 +000100010000 +000100010000 +001000010000 +010000110001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110001 +010100110001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100100000 +010100100000 +010100110000 +011001000001 +011000110001 +010000100000 +001000100001 +000000100010 +000000100010 +000000100010 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000000110101 +000101010110 +000101000110 +000101000110 +000101010111 +000101010110 +000101000101 +000101010110 +000101010111 +001001011000 +001001101000 +001001101000 +001001000110 +010101101000 +100010011001 +011110001000 +010110001001 +000101000101 +000100100011 +001100100001 +100001100010 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +100001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100001010001 +100001010001 +100001010001 +100001010001 +011001000001 +010000110001 +000100110100 +000101000100 +000101000100 +000101000101 +000101000101 +000101000101 +000100110100 +000101000100 +000101000101 +000101000101 +001001010110 +001001010111 +000101010111 +000101000100 +000101000100 +001001100110 +001001100110 +001101010110 +010000110010 +011101010001 +100001010001 +100001100010 +100001100010 +100001010001 +011101010010 +011101000001 +011101000001 +011101010001 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +100001100010 +100001010010 +100001010010 +100001100010 +100001100010 +011101010010 +011101010001 +011001000010 +001101010100 +001001000101 +001001000110 +001001000110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010111 +001001101000 +001001100111 +001001100111 +001001101000 +001001010111 +001001100111 +001001100111 +001001100111 +001101111000 +001101111001 +001101111001 +001001000100 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011001110111 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001110110 +011001110111 +011001110111 +011001110111 +011001110111 +011001100101 +110010010010 +101110000010 +101001110010 +101001110010 +101001110010 +101001110010 +100101100010 +100001100010 +011101010001 +011101010001 +011101100010 +011001010010 +001100100001 +000100010001 +000100010001 +000100100010 +000100100001 +000100010001 +000100100010 +001000100010 +000100100001 +001100110011 +001100110010 +010000110001 +011001000001 +011101100010 +011001010010 +010000110001 +001100100001 +011101100011 +010101000001 +001000110001 +001000110010 +001100110010 +011001010100 +101010011000 +011101110111 +100010011000 +011101110110 +001101000011 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100000000 +000000000000 +000000000000 +000000000000 +001000100001 +001000100001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +000000000000 +000000000000 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +001000100010 +001000100010 +000100010001 +000000000000 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010000 +010000110000 +011001000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100001100010 +011101100010 +011001100010 +010101100011 +010001110111 +001001101000 +000101000110 +000101000110 +000101010111 +000101000110 +000100110100 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +001000110011 +001101000101 +010001010111 +010001010111 +001101000101 +000100100011 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110010 +001100110010 +010001000011 +010101010100 +010101010100 +000000010001 +000000000000 +000000000000 +001000110011 +010001010101 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000100 +001001000100 +010001010110 +010101100111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +011001100111 +011001110111 +011001100111 +001101000100 +001101000100 +010101010110 +010101100111 +010101100110 +010001010101 +001101000101 +001000110100 +000100100011 +000100100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001000100010 +000000000000 +000000000000 +000100010001 +001000100001 +000100000000 +001100100000 +011101010010 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110001 +011000110001 +011000110000 +010100110000 +001000100001 +000100100010 +000000100010 +000000100010 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000101000101 +000000110100 +000000110101 +000101010111 +000101000110 +000000110100 +000101000110 +000101000110 +000101010111 +001001010111 +000101010111 +000101000110 +001101100111 +100010011001 +011110001000 +010101111000 +001001000101 +001000100010 +001000100000 +011101010010 +100101100010 +100001100010 +100001010001 +011101010001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010000110001 +001001000100 +000100110101 +000100110100 +000101000101 +000101000101 +000101000101 +000101000100 +000101000101 +000101000101 +000101000101 +000101010101 +001001100111 +001001100111 +000101010101 +000100110011 +001001010110 +001001100110 +001101010110 +001100110010 +011101010001 +011101010001 +011101010001 +100001010010 +011101010001 +011101010010 +011001000001 +011001000001 +100001010001 +100101100010 +100001100010 +011101010001 +011101010001 +100001010010 +100001100010 +100001010001 +100001100010 +100001100010 +100001100010 +100001010010 +100001010010 +100001010010 +011101010010 +011101010001 +011001000010 +001101010101 +001001000110 +001001000110 +001001000110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010111 +001001100111 +001001100111 +001001100111 +001001101000 +001001100111 +001001100111 +001001101000 +001001101000 +001001111001 +001101111001 +001001010111 +001000110100 +010101010101 +011101111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +010101100101 +010101100101 +010101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100100 +011001100100 +011001100101 +011001100110 +011001110111 +011110001000 +010101111000 +001101100110 +001101010100 +110010010011 +110010000010 +101001110010 +101001110010 +101001110010 +101001110010 +100101100010 +101001100010 +100101100010 +100101100010 +100101100010 +100001010001 +010101000001 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +001000100001 +010001000010 +010101010010 +010101000010 +011001010010 +100101100010 +100101100001 +100101100010 +100101110010 +100101110011 +010101000010 +010101000001 +100101110011 +010001000010 +001000110010 +001100110001 +101010011000 +011001100110 +001101010110 +001101010110 +010001100111 +011001111000 +001101000100 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100000 +001000010001 +000100000000 +000100010001 +010001000100 +001101000011 +001000100001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +010101000011 +011001000010 +011001000010 +001100100001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000010000 +010000110000 +011101000001 +011101000001 +100001010001 +100001010001 +011101010001 +100001010001 +100001010001 +100001100001 +100101100010 +100101110010 +100101110010 +100001100010 +011101010010 +011001100010 +001101100110 +000101010111 +000101000110 +000101010111 +000101011000 +000101010111 +000100110101 +000000010010 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100110011 +001101000101 +010001100111 +010101100111 +010001010110 +001000110100 +000000010010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110010 +001100110011 +010001000011 +010001000100 +000000000000 +000000000000 +000000000000 +001000100011 +010001010101 +010101100110 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000100 +001100110100 +010001010101 +010101100111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011101111000 +011101111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +010001000100 +001101000100 +010001010110 +010101100111 +010101100110 +010101100110 +010001010101 +001101000100 +001000110011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001100110011 +000100010001 +000000000000 +000100010000 +001000010000 +000000000000 +001000010000 +010100110001 +011101010001 +011101000001 +011001000001 +011001000001 +011001000001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110001 +011000110001 +011000110001 +011001000001 +011000110000 +010100100000 +001100100000 +001100110010 +000000010010 +000000010010 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000000110101 +000101000110 +000000110101 +000000110101 +000101000110 +000101000101 +000101000110 +001001000110 +000101000110 +000101010110 +001001010111 +100010101010 +011110001000 +010101101000 +001000110101 +000100100010 +000000010000 +011001000001 +100101100010 +100101100010 +100001010010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101010001 +100101010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010010 +100001010001 +100001010001 +011101000001 +010000110001 +001101000011 +001000110100 +000100110100 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +001001100111 +001001100111 +001001010110 +000100110011 +001001010110 +001101100111 +010001100110 +001000100010 +011001000001 +011101010001 +011101000001 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +011101010001 +100001100010 +100001010010 +011101010010 +011101010001 +100001100010 +100101100010 +100101100010 +100001100010 +100001010010 +100001010001 +100001010010 +011101010010 +011101010001 +011101010001 +011001000001 +010101000010 +001101000101 +001001000110 +001001000110 +001001000110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010110 +001001010111 +001001100111 +001001100111 +001001101000 +001001100111 +001001101000 +001001101000 +001001101000 +001001101000 +001101111001 +001001000100 +001000110011 +001100110011 +011001110110 +010001100110 +010001010101 +010101100110 +011001110110 +011001110110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +010101100101 +010101100101 +010101100101 +011001100110 +011110001000 +011010001000 +010001111000 +001001010101 +001000110011 +100110000011 +101110000010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101100010 +100101100010 +100101100010 +100001100010 +011101100010 +010001000001 +001000100001 +000100100001 +000100100001 +001000100001 +001100110001 +011001010001 +100001100010 +100001100010 +100101110010 +101001110010 +101001110010 +100101100010 +100101100001 +101001110010 +100101110010 +011101010010 +101110000011 +110010110101 +100001110100 +010101010011 +100010000111 +001101010101 +001001010110 +001001010110 +001001000101 +001101100111 +010101110111 +010001000100 +001100110010 +001100110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100100000 +001100110001 +001000100001 +001100110011 +001000100010 +000100010000 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +010101000010 +011001000001 +010000110001 +001000010000 +001000010000 +000100010000 +000000000000 +000000000000 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000100000 +010000110000 +011101000001 +100001010001 +100001010010 +100001010001 +011101010001 +100001010001 +100001010010 +100001100010 +100001100010 +100101100010 +100101100010 +100001100010 +011001010001 +010001000010 +001001000100 +000101000110 +000001000110 +000101010111 +000101010110 +000101010111 +000101000101 +000000010001 +000100010010 +000000010001 +000000010001 +000000010001 +000100100010 +001000110011 +001101000101 +010101100111 +010101100111 +010001010110 +001101000100 +000100100010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +001000110010 +001000110011 +001101000011 +001101000100 +000000000000 +000000000000 +000000000000 +001000100010 +010001000101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010101 +001101010101 +001101000101 +001101000100 +001101000100 +010001010101 +010101100111 +011001110111 +011001111000 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +010001000100 +001100110100 +010001010110 +010101100111 +010101100110 +010101100110 +010001010110 +001101000100 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001100110100 +010001000100 +000000000000 +000100000000 +000100010001 +000000000000 +001000010000 +001100010000 +011001000001 +011101000001 +011001000000 +011000110001 +011001000001 +011001000001 +011000110001 +011000110001 +011000110001 +011001000001 +011000110001 +011000110001 +011000110001 +011000110001 +011001000001 +011101000001 +011001000001 +011000110001 +010100100000 +001100100000 +010000110010 +000100010001 +000000010010 +000000100011 +000000110100 +000000100011 +000000110011 +000000100011 +000000100011 +000000110011 +000000110100 +000000110101 +000000100100 +000000100100 +000000110101 +000101000110 +000101000101 +000101000110 +000101000110 +000101000110 +000101010110 +001001010111 +011110011010 +100110011001 +010101101000 +001000110110 +000100100010 +000000100010 +010000110001 +100001100001 +100101100010 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +011101000001 +010000110000 +010000110011 +001000110100 +000100100100 +000100110100 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101010110 +000101010110 +001001010110 +000100110100 +001001010110 +010101110111 +010101010100 +000100100010 +010101000001 +011101010001 +011101010010 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +011001000001 +011101010001 +011001000001 +011101000001 +100001010010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010001 +100001010001 +100001010010 +011101010001 +011101010001 +011101010010 +011001000001 +010100110001 +001101000100 +001001000110 +001001000110 +001001000110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010110 +001001010111 +001001100111 +001001101000 +001001101000 +001001101000 +001001101000 +001001101000 +001001111000 +001001101000 +001101111001 +001101100111 +000100100010 +001000110011 +010001000100 +010001100110 +010001010100 +010101100110 +011001110110 +011001110110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001110111 +011110011001 +010101111000 +001101100111 +001001000101 +001000110011 +001100110001 +100101110010 +101001110010 +100101100010 +101001110010 +101001110010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +011101100010 +010101000001 +001100110001 +001100110001 +010000110001 +010101000001 +100001100010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101110010010 +101110010010 +101010000001 +110010010010 +110110100100 +110010100111 +011001110101 +001001000101 +000101000110 +001001010110 +001001000101 +001001010110 +001001100111 +010001111000 +001100110010 +001100110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +001000100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +001100110010 +000100010000 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +010000110001 +010000110001 +001000010000 +001000010000 +001100100001 +001000100001 +000000000000 +000000000000 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000010000 +001000100000 +010000110001 +011101000001 +100001010001 +100001010010 +100001010001 +011101010001 +100001010001 +100001010010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100001 +011101100010 +001000110010 +000100110100 +000101000110 +000101010111 +000101010110 +000101000110 +000101000110 +001001010110 +000000100010 +000100010001 +000000010001 +000000010001 +000000010001 +000100100010 +001000110100 +001101010110 +010101100111 +010101100111 +010001010110 +001001000100 +000100100010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +000000000000 +000000000000 +000000000000 +000100100010 +010001000101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000100 +001100110100 +010001010101 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +010001010101 +001100110100 +010001010110 +011001100111 +010101100110 +010101100110 +010001010110 +001100110100 +000100100010 +000100100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110100 +001101000100 +000100100010 +000100010001 +000100010001 +000000010000 +001000100000 +001100010000 +010000100000 +011101000001 +011101000001 +011001000001 +010100110000 +010100110000 +011000110000 +011000110000 +011101000001 +011001000001 +011000110000 +011000110001 +011000110001 +011000110001 +011000110001 +011100110001 +011000110001 +011000110001 +010100110000 +010000100000 +001100110010 +001000100011 +000000010010 +000000010011 +000000100100 +000000110011 +000000110011 +000000100011 +000000110011 +000000110011 +000000110100 +000100110101 +000000110100 +000000110100 +000001000101 +000101000110 +000101000101 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +010001111001 +100110101010 +010101111000 +001000110110 +000100100010 +000000100010 +001000100001 +011101010001 +100001010001 +100101100010 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101100010 +100101100001 +100101100010 +100101100010 +100101100001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010000110001 +001100110011 +001000110011 +000100100100 +000100100100 +000100110101 +000100110101 +000101000101 +000101000110 +000101000101 +000101000101 +000101000101 +000101010110 +000101010110 +001001010110 +000100110100 +000101000101 +010001100111 +001101000011 +000000100010 +001100110001 +011001000001 +011101010001 +011101010001 +100001010010 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010001 +100001010010 +100001100010 +100001010001 +100001010010 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +001101000100 +001001000110 +001001000110 +001001000111 +001001010111 +001001010111 +001001010111 +001001010111 +001001010110 +001001010111 +000101010111 +001001010111 +001001100111 +001001101000 +001001101000 +000101101001 +001001101000 +000101101000 +001101111001 +001110001010 +000101000100 +001000110011 +001000100010 +010001010101 +010101100110 +011001110111 +011001110111 +011001110110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011010001000 +011010001001 +010001110111 +001001100111 +001001000101 +001001000011 +000100100010 +100101100010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +101001110010 +100101110010 +100101100010 +100001100010 +011001000001 +011101010001 +100001100010 +100101110010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101110000010 +110010010010 +101010000010 +101001110010 +101110000010 +101001110011 +001101010100 +001001000110 +001001010110 +001001010110 +001001010111 +001001010111 +000101010110 +001101100111 +010001100110 +001000100001 +001000110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000100001 +000100000000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +001000100001 +000100010000 +001000100001 +001000010000 +001000010001 +000100010000 +000000000000 +000000000000 +000000000001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010000 +001100100000 +001100100000 +010100110001 +011101000001 +100001000001 +100001010001 +011101010001 +011101010001 +100001010010 +100001010010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +011001010011 +000100110100 +000101000110 +000101000110 +000101000110 +000101000110 +000101010110 +001001101000 +000100100011 +000100010001 +000000010001 +000000010001 +000000010001 +000100100010 +001000110100 +001101010110 +010101100111 +010101100111 +010001010110 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100110011 +001000110011 +001000110011 +001101000100 +000000010001 +000000000000 +000000000000 +000100100010 +001101000100 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010001010101 +001101000101 +001101000101 +001101000100 +001000110100 +010001010101 +010101100111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010001010101 +001101000100 +010001010101 +011001100111 +010101100111 +010101100110 +010001010110 +001101000100 +001000100010 +001000100011 +001000100011 +001000110011 +001000110100 +001000110100 +001100110100 +001101000100 +001101000101 +010001000101 +010001010110 +001000110100 +000100010001 +000000010001 +000000010000 +001000100000 +010000100000 +001100100000 +010100110001 +011101000001 +011101000001 +011001000001 +011000110001 +011001000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110000 +011000110001 +011000110000 +011000110001 +011000110001 +011000110001 +011000110000 +010100110000 +010100100000 +001100100001 +001000110011 +000000010010 +000000010011 +000000100011 +000000100011 +000000110011 +000000100011 +000000110011 +000000110011 +000000110100 +000100110101 +000000100100 +000000110100 +000001000101 +000101000101 +000100110101 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +001001101000 +100010101011 +011001111001 +000100110101 +000100100010 +000000100010 +000100010001 +011101000001 +100001010001 +100001100010 +100101100010 +100001010001 +100001010001 +100001010010 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101100010 +100101100010 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010000110001 +001101000011 +001000110011 +000100100101 +000100100100 +000100110101 +000100110101 +000100110101 +000101000110 +000101000101 +000101000101 +000101000101 +000101000110 +000101010110 +001001010110 +000101000101 +000101000101 +010001100110 +001000110011 +000100100011 +001000100001 +010101000001 +011001000001 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010001 +100001010010 +100001010010 +100001010010 +011101010001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000010 +010001000011 +001001000101 +001001000110 +001001000111 +001001000111 +001001010110 +001001010111 +001001010110 +001001010110 +000101010111 +000101010111 +000101010110 +001001010111 +001001100111 +001001101000 +001001111001 +001001101001 +001001101000 +001001111001 +001110001011 +001001010110 +000100110010 +001000100001 +001101000011 +011001111000 +011101111000 +011001110111 +011001100110 +011001100101 +011001100100 +011001100100 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +010101100110 +010110001001 +010001111000 +001001100111 +001001010110 +001001000100 +001001000011 +001100110001 +101001110010 +101110000010 +101001110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +101001110010 +100101110010 +100101110010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101010000010 +101010000010 +101001110010 +101001110010 +100101100010 +001001000101 +000101000101 +000101000101 +000101010110 +001001100111 +001001100111 +000101010110 +001001010111 +010001111001 +001101000011 +001000110001 +001000110010 +001100110010 +001000110001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +001000100001 +010101000010 +001100100001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +001000100000 +001100100000 +010000110000 +011000110001 +011101000001 +011101000001 +011101010001 +011101010001 +011101010010 +100001010010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100001 +011101100010 +001101000100 +000101000110 +000101010110 +000101010111 +000101010111 +000101100111 +001001111001 +000100110100 +000000010001 +000000100001 +000000010001 +000000010010 +000100100010 +001000110011 +001101000101 +010001100111 +010101100111 +001101000101 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +000000010001 +000000010001 +000000010000 +000100100001 +001101000100 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101110111 +011001110111 +010101100111 +010001010110 +001101000101 +001101000101 +001101000100 +001000110011 +001101000101 +010101100111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011010001000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +001101000100 +010001010101 +010101100111 +010101100111 +010101100110 +010001010110 +001101000100 +001000100011 +001000100011 +001000110011 +001100110100 +001101000101 +010001000101 +010001010101 +010101010110 +010101100110 +011001100110 +011001100110 +010001010101 +000000010001 +000000010001 +000000010001 +000100010000 +001100100000 +001100100000 +001100010000 +011000110001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110001 +011000110001 +011000110000 +011000110000 +010100110000 +010100100000 +001100100001 +001000100010 +000000010010 +000000010011 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000000110011 +000000110100 +000000110101 +000000100100 +000000110100 +000101000101 +000101000101 +000000110100 +000101000101 +000101000110 +000101000110 +000101000111 +000101000111 +000101011000 +011010001001 +011110001010 +000100110101 +000100100010 +000000100010 +000000010000 +011000110001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010010 +100101100010 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110001 +010001000011 +001000110011 +000100100100 +000100100100 +000100110101 +000100110100 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101010110 +001001010110 +000101000101 +000101000101 +010001100110 +001000110011 +000100100010 +000100100010 +010000110001 +010101000001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010001 +011101000001 +011101000001 +011101010001 +011101010001 +100001010010 +100001010010 +011101010001 +011101010001 +011001000001 +011000110001 +100001010001 +100001010001 +011101000010 +010001000011 +001001000101 +001001000110 +001001000111 +001001000111 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +000101010111 +000101010111 +001001100111 +001001100111 +001001101000 +001001111001 +001001101000 +001001111000 +001001101000 +001110001010 +001001100111 +001001000100 +001000100001 +001000110010 +010101100110 +011110001000 +011001110111 +011001100110 +011001100101 +011001010100 +011001010100 +011001010100 +011001100101 +011001100101 +011001010100 +011001100101 +011001100101 +011001100101 +010101111000 +010010001001 +001101110111 +001001010110 +001001010101 +001001000011 +001100110001 +010101000001 +101110000010 +101110000010 +101001110010 +101001110010 +101001110010 +101010000010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101100010 +100101100010 +101001100010 +101001100010 +101001100010 +101001110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +101001110010 +101001110010 +100101110010 +101001110010 +101001110010 +101001110010 +100101110001 +100101100010 +100101100010 +100001010010 +001101010100 +000101010110 +000101000101 +000101010110 +001001100111 +000101010111 +001001100111 +001001100111 +001101101000 +010001100111 +001000100010 +001100110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100000 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010000 +010101100100 +101010000110 +011001010100 +001000100001 +001000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010001 +000100100001 +001000100001 +001000100000 +001100100000 +010000110001 +010100110001 +011101000001 +011101000001 +011101000001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010010 +100101100010 +100101010001 +100101010001 +100101100010 +100101100010 +100101100010 +011001010010 +001101010101 +000101010111 +000101010111 +000101010111 +000001000110 +000101101000 +001001111001 +001001010110 +000000100010 +000000010001 +000000010010 +000000010010 +000000010010 +000100010010 +001000110011 +001101000100 +001101000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +000100110011 +001000110011 +001000110011 +001101000100 +000000000000 +000000000000 +000000000000 +000100010001 +001101000100 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010101100110 +010101100111 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +010001010110 +001101000101 +001101000101 +001101000100 +001000110100 +001101000101 +010101100110 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011010001000 +011010001000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +001101000100 +010001010101 +010101100111 +010101100111 +010101100110 +010001010110 +010001000101 +001100110100 +001100110100 +001101000101 +010001010101 +010101010110 +010101100110 +011001100110 +011001100111 +011001110111 +011001110111 +011001100110 +011001100110 +001100110011 +000000010000 +000000010001 +000000010000 +000100010000 +001000100000 +001100100000 +010000100000 +011101000001 +011101000001 +011101000001 +011000110000 +011000110001 +011001000001 +011000110001 +011000110000 +011000110000 +011000110000 +011000110000 +011000110001 +011000110001 +011000110000 +011000110000 +010100110000 +010100110000 +010000100000 +001100110001 +000100010001 +000000010010 +000000010011 +000000100011 +000000110100 +000000110100 +000000110011 +000000110100 +000000110100 +000000110100 +000000100100 +000000110100 +000101000110 +000000110101 +000000110101 +000101000110 +000001000110 +000101000110 +000101000110 +000101000111 +000101011000 +001101101000 +011010001010 +001001000110 +000100100010 +000000100010 +000000010001 +001100100001 +011101000001 +011101000001 +011101010001 +100001010001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010010 +100101100010 +100101100010 +100101100001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110000 +001101000010 +001000110010 +000100100100 +000100100100 +000100100100 +000100110100 +000101000101 +000101000110 +000101000101 +000101000101 +000101000101 +000101000101 +000101010110 +000101000110 +000101000101 +000100110100 +001101010101 +000100110011 +000100100010 +000100100001 +001000100001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +011101000001 +011101000001 +011101010001 +100001010010 +100001010010 +011101010001 +011101010001 +011001000001 +011000110001 +100001010010 +100001100010 +011101010010 +010101000010 +001000110100 +001001000101 +001001000110 +001001000111 +001001000110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010110 +000101010111 +001001100111 +001001100111 +001001100111 +000101101000 +001001101000 +001001111000 +001001101000 +001110001010 +001110001001 +001001010110 +000100100001 +001000100001 +010001000100 +011110001000 +011001110111 +011001100110 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010100 +010101010101 +010110001001 +010010001010 +001101100111 +001001010110 +001001010100 +001100110010 +010000110001 +011101010001 +101110000010 +101001110010 +101001110010 +101001110010 +101001110010 +101010000010 +100101110010 +100101110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001100010 +101001100010 +100101100001 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +101001110010 +100101100010 +100101110010 +101001110010 +101001110010 +100101110010 +100101100010 +100001010001 +011101000001 +001101000011 +000101000110 +000101000110 +000101000110 +000101010110 +000101010110 +001001101000 +001001100111 +001001101000 +010010001001 +001100110011 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100000 +000100100000 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +100110010111 +100110000111 +010001000100 +011001000010 +010101000001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100000 +001100100000 +010000110001 +010100110001 +011000110001 +011101000001 +011101000001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100001010001 +100001010001 +100101100010 +100101100010 +100101110010 +100001110010 +010101100100 +001001010110 +000101010111 +000101010111 +000001000111 +000101010111 +000101011000 +001001100111 +000100100011 +000000010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +000100110010 +001000110011 +001001000011 +001101000100 +010000110011 +001000100010 +000100010001 +000100010001 +001100110011 +010001010101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010101010110 +010101100110 +010101100110 +010101100111 +010101110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001110111 +010001100110 +010001010101 +001101000101 +001101000100 +001101000100 +010001010101 +010101100110 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011010001000 +011010001000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +001101000100 +010001000101 +010101100111 +010101100111 +010101100110 +010101010110 +010001010110 +010001010101 +010001010101 +010101100110 +010101100111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +010101100110 +010101010101 +000100100001 +000000010000 +000000010000 +000000000000 +000100010000 +001100100001 +010000100000 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110001 +011000110000 +010100110000 +011000110001 +011000110000 +010100110000 +010100110000 +011000110000 +011000110001 +011000110001 +011000110000 +011000110000 +010100110001 +001100100001 +000100010001 +000000010010 +000000010011 +000000010011 +000000110100 +000000110100 +000000110011 +000000110100 +000000110100 +000000110100 +000000100100 +000000110100 +000000110101 +000000110101 +000000110101 +000100110101 +000101000110 +000101000110 +000101000110 +000101000110 +000101010111 +001001101000 +001101101000 +001001000110 +000100110011 +000100110011 +000000010001 +000100010000 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101010001 +100001010001 +100101010001 +100101100010 +100101100010 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110000 +001100110010 +001000110010 +000100110100 +000100100100 +000100100100 +000100110101 +000101000101 +000101000110 +000101000101 +000101000101 +000101000101 +000101000101 +000101010110 +000101000101 +000101000100 +000100110100 +001001000101 +000100110011 +000100100010 +000100100001 +000100010001 +010101000001 +011101010001 +011101010001 +011101010001 +011001000001 +011101010001 +011001000001 +010100110001 +011001000001 +011101000001 +100001010001 +100001010010 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011001000001 +100001100010 +100001110010 +100001100010 +010101000010 +001001000100 +000100110101 +001001000110 +001001000111 +000101000110 +000101010110 +001001101000 +001001010111 +001001010111 +000101010110 +000101010111 +001001101000 +001001100111 +001001100111 +001001101000 +000101101000 +001001101000 +001001101000 +001110001010 +001110001010 +001101111000 +000100100010 +001000100001 +001100110010 +010101100110 +011001110111 +011001100110 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101100101 +010110001001 +001101111000 +001001010111 +001001010110 +001001000011 +010001000001 +010000110000 +011101010010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001100001 +101001110010 +101001110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +100101110010 +100101100010 +100001010010 +011000110001 +010000110001 +001001000101 +000101000110 +001001010110 +001001010111 +000101000110 +001001010111 +001001101000 +000101101000 +010010001010 +001101010101 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010101010100 +100110011000 +010101010101 +001000110011 +100001100011 +011101000001 +001000100000 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010001 +001000010001 +001000100001 +001100100001 +010000110001 +010101000001 +010100110000 +010100110001 +011000110000 +011101000001 +011101000001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +100001010001 +100101010010 +100101010010 +100101100010 +100101110010 +100001110011 +010101100100 +001101010110 +001001011000 +000101101000 +000101101000 +000101011000 +001101101000 +001001000101 +000000010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010000 +000000010001 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100110010 +000100110011 +001000110011 +001001000011 +001101000100 +100110000111 +100101110111 +100001110110 +011101100101 +011001100101 +011001100110 +011001100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011001111000 +011001110111 +010101100110 +010001010101 +010001010101 +001101000100 +001101000100 +010001010101 +010101100111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +011101111000 +011101111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +001100110011 +001101000100 +010101010110 +010101100110 +010001010110 +010101010110 +010101100110 +010101100111 +011001100111 +011001110111 +011001111000 +011101111000 +011101110111 +011101110111 +011001100110 +010101100101 +010101010101 +010001010100 +010001010101 +010101010110 +010001010101 +000100010001 +000100010000 +000000010000 +000100010000 +001000010000 +001000010000 +011001000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110000 +011000110000 +011000110001 +011001000001 +011000110001 +011000110000 +011000110000 +011000110001 +011000110000 +011000110001 +011000110000 +010100110001 +010100110000 +001000100001 +000000010010 +000000010011 +000000010011 +000000100100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110100 +000000100100 +000000100100 +000000110100 +000000110100 +000000110101 +000100110101 +000000110110 +000101000110 +000101000110 +000101000110 +000101010111 +001001101000 +000101000110 +000100110110 +000100110011 +000100110011 +000000100001 +000100010000 +010100110001 +011101000001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101100001 +100001010001 +100101010001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +010100110000 +001100110010 +000100110011 +001000110100 +000100100100 +000100100100 +000100110101 +000101000110 +000101000110 +000101000110 +000101000101 +000101000101 +000101000101 +000101010110 +000101000101 +000101000100 +000100110100 +000101000100 +000100110100 +000100100010 +000100100010 +000100010001 +010000110001 +011101010001 +011101010001 +011101010010 +011101010001 +011101010001 +011001000001 +010100110000 +011001000001 +011101010001 +011101000001 +011101010001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010010 +011001000001 +011101010001 +100101110010 +100001110010 +100001100010 +011001010010 +001101000100 +000100110101 +000101000110 +001001000111 +000100110101 +000101010110 +001001101000 +001001010111 +000101010111 +000101010110 +000101010111 +001001100111 +001001100111 +001001101000 +001001101000 +001001101000 +001001100111 +001001101000 +001001111010 +001110001010 +001101111001 +000100100011 +001000100001 +011001010010 +010101100101 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +010101100110 +011010011010 +001101101000 +001001100111 +001001000101 +001001000010 +010001000001 +010000110000 +011101010001 +101001110010 +101001110010 +100101110010 +100101100010 +101001110010 +100101110010 +101001110010 +100101110010 +101001110010 +101001110010 +101001110010 +101001100010 +101001100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101100010 +100001100010 +100001100010 +100101110010 +101001110010 +100101110010 +100101100010 +100101010010 +100001010001 +011001000001 +010000100000 +001101000011 +000101000101 +000101000101 +000101010110 +001001010110 +001001010111 +001001100111 +001001100111 +001001111001 +001101111000 +000100100001 +000100010001 +000100010001 +000100100001 +001000100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100010000 +000100100000 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +100110000111 +011001100110 +001101000100 +001000110011 +100101110010 +101101110010 +011001010001 +010000110001 +001000010001 +000100000000 +000000000000 +000100010000 +000100100000 +001000100001 +001100100001 +001000100001 +001100110001 +001100110001 +010100110001 +011001000001 +011001000001 +011000110001 +011000110001 +011101000001 +011101000001 +100001010001 +100001010010 +100001010010 +100001000001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010010 +100001010001 +100001010010 +100001010001 +100101110010 +100101110010 +100101110011 +010101100101 +001001010111 +001001111001 +000101101000 +000101101000 +001001101000 +010110001001 +001001000101 +000100010001 +000000010001 +000000010010 +000000010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100011 +000100110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100110011 +000100110011 +001000110011 +001001000011 +001101000100 +101010001000 +101010011000 +100110000111 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +100110000111 +011101110111 +011001100110 +010101100110 +010101010101 +010001010101 +010001010101 +010001100110 +010101100110 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001110111 +010101100110 +010001010101 +010001010101 +001101000100 +001101000100 +010001010101 +010101100111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011101111000 +011101111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001100111 +010101010110 +001100110011 +001100110011 +010001010101 +010101010110 +010001010101 +010001010110 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +010101100110 +010101010101 +010001010100 +001101000100 +001100110100 +001100110100 +001101000100 +001101000101 +010101100110 +010101010101 +001000010001 +000100010000 +000100010000 +000000000000 +000000000000 +010000100001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +011101000001 +011101000001 +011000110000 +010100110000 +011000110000 +011000110001 +011000110000 +011000110001 +011000110001 +011000110001 +011000110000 +011000110000 +011001000001 +010000110000 +000100010001 +000000010010 +000000010011 +000000100011 +000000100100 +000000100100 +000000110100 +000000110100 +000000110100 +000000100100 +000000100100 +000000100100 +000000110100 +000000110100 +000100110101 +000000110110 +000101000110 +000101000110 +000101000110 +000101010111 +001001101000 +001001010111 +000100110110 +000100110011 +000100110011 +000000010001 +001000010000 +001100100000 +011001000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +011101000001 +100001010001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +011101000001 +010100110000 +010000110001 +001000110011 +000101000101 +000100110101 +000100100100 +000100110101 +000100110110 +000101000110 +000101000110 +000101000101 +000101000101 +000101000101 +000101010110 +000101000101 +000101000100 +000101000100 +000101000100 +001001000100 +000100100010 +000100100010 +000100100001 +001100110001 +011101010001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010001 +010101000001 +011001000001 +011101010001 +011001000000 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101010001 +100001010001 +100101110010 +100101110010 +100101110010 +100001100010 +011101010010 +001101000011 +000100110101 +001001000110 +001001000111 +000100110110 +000101010110 +000101100111 +000101010111 +000101010111 +000101010110 +000101010111 +001001101000 +000101101000 +000101100111 +000101100111 +001001100111 +001001101000 +001001111000 +001001111010 +001001111010 +001001111001 +000100110100 +001000100001 +011001010010 +011001010011 +011001100110 +010101100110 +010101100101 +010101100101 +010101100101 +010101100101 +011001100101 +011001100101 +011001100101 +011001010100 +010101010101 +010101110111 +010110001001 +001101100111 +001001010101 +001001000011 +010001000010 +010101000001 +001100100000 +100001010010 +101001110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001100010 +101001100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101110010 +100101100010 +100101110010 +100101100010 +100101100010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010010 +100001010001 +011101000001 +010000100000 +010000110010 +001001010101 +000101000110 +001001010110 +001001010111 +001001100111 +001001101000 +000101101000 +000101101000 +010010001001 +000100100010 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100010000 +000100010000 +000100100000 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010001000011 +100110011000 +010001010110 +001001000100 +000100100010 +101010000010 +110110100010 +100101100010 +100101010010 +011101000010 +001100010000 +000100010000 +001000100000 +001100110001 +001100110001 +010000110001 +001100100000 +001100100001 +001100110001 +010100110000 +011000110001 +011001000001 +011000110001 +011001000001 +011101000001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001100010 +100101110010 +100101110010 +100101110010 +011101110011 +001101100110 +000101101000 +000101101000 +001001010111 +001001010111 +010001101001 +010110001001 +010001010110 +000100010010 +000000010001 +000000010001 +001100110011 +010101010101 +010001010101 +001100110011 +000100100010 +000100100010 +000100100011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100110011 +000100110011 +001000110011 +001001000011 +001101000100 +100110000111 +100110000111 +100110000111 +100110000111 +101010000111 +101010000111 +101010011000 +101110011000 +101110011000 +101110011000 +101110011000 +101010011000 +100110000111 +011101110111 +011001100110 +011001100110 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001110111 +010101100110 +010001010101 +010001010101 +010001000100 +001101000100 +001101000101 +010101100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101100110 +001101000100 +001100110011 +010001000100 +010001010101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101010110 +010001010101 +010001010101 +001101000100 +001100110011 +001000110011 +001000110011 +001000110100 +001000110100 +001000110011 +001101000100 +010001010110 +011001110111 +011001100110 +010001000100 +001100100010 +001000010001 +000100100010 +001100100000 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110001 +011000110001 +011001000001 +011101010001 +011101010001 +010101000001 +001100100001 +000000010010 +000000010010 +000000010011 +000000100100 +000000100100 +000000110100 +000000110100 +000000100100 +000000100011 +000000100011 +000000100100 +000000110100 +000000110100 +000000110101 +000000110101 +000100110110 +000101000101 +000101000110 +000101010110 +001001011000 +001001010111 +000100110110 +000000100011 +000000100010 +000100100010 +000100010000 +001000010000 +010100110001 +011101000001 +011101000001 +011101000001 +011000110001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +011101000001 +011000110000 +010000110001 +001000110011 +000100110100 +000100110100 +000100100100 +000100110101 +000100110101 +000101000110 +000101000110 +000101000110 +000101000101 +000101000110 +000101000110 +000101000101 +000101000100 +000101000100 +000101000100 +000101000101 +000100100011 +000100100010 +000100100010 +001100100001 +011001000001 +011001000001 +011001000001 +011001000001 +100001010001 +011101010001 +011001000001 +011001000001 +011101010001 +011001000001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +100001010001 +100101100010 +011101010001 +100101110010 +100001100001 +011101010001 +011101010001 +010001000011 +000100110100 +001001000101 +001001000111 +001001000110 +001001010111 +001001010111 +000101010111 +000101010111 +000101010110 +000101010111 +000101101000 +000101101000 +000101100111 +001001100111 +001001100111 +001001101000 +001001111001 +001001111001 +001001111001 +001001111010 +001001010110 +000100100001 +010001000010 +011001010010 +010101010101 +011001100110 +010101010101 +010101010101 +010101010100 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101010101 +010110001001 +001101111001 +001001010110 +000101000100 +001001000010 +010101000001 +010100110001 +001100100000 +100001100010 +101001100010 +101001110010 +101001110010 +101001100010 +100101100010 +100101100010 +100101110010 +101001110010 +100101110010 +100101110010 +101001110010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100001010010 +100001010010 +100001010010 +100001010001 +011101010001 +010100100000 +001100100000 +001101000100 +000101010110 +001001010110 +000101101000 +001001101000 +001001101000 +000101101000 +000101101000 +001110001010 +001000110100 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100010000 +000100010000 +011101110110 +011001110111 +001101010110 +000100100011 +010000110001 +110010100011 +110010010010 +101001110001 +100101100010 +100101010001 +100001010001 +011001000001 +011001000001 +010100110001 +001100110000 +001100100001 +001100100000 +001100100000 +010000110001 +010100110001 +010100110001 +011000110001 +011001000001 +011000110001 +011101000001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100001010001 +100001010001 +100001010010 +100001010010 +100001100010 +100101100010 +100101110010 +100101110010 +100101110010 +100001110010 +010101110100 +001001010110 +000101010111 +000101010110 +001001000110 +001001010110 +001101010111 +010101111001 +010001100111 +000100100010 +010101100101 +100110011001 +011001111000 +011001111000 +011110001001 +010101100110 +000100100011 +000100100010 +000100110011 +001000110011 +001000110011 +000100100010 +000100110011 +000100110011 +000100110011 +001000110011 +001001000011 +001101000100 +101010000111 +100110000111 +101010000111 +100110000111 +100110000111 +100110000111 +100110000111 +101010000111 +101010000111 +101010010111 +101110011000 +101110011000 +101010011000 +101010011000 +101110011001 +101110011001 +100110001000 +100110001000 +100010001000 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +010101100110 +010001010101 +010001010101 +010001010101 +001101000100 +001101000100 +010101010110 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +010101100110 +010001000100 +001100110011 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +010001000101 +001101000100 +001100110100 +001000110011 +001000100011 +000100100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000100010 +001100110011 +010001010101 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +011001110111 +010101010100 +011101000010 +011101000001 +011101000000 +011101000001 +011101000001 +011000110000 +011000110001 +010100110000 +010100110000 +010100110000 +010100100000 +010100100000 +010100110000 +011000110001 +011000110001 +011000110000 +011101010010 +011101010001 +011001000001 +010000110000 +001000100001 +000000010010 +000000010011 +000000010011 +000000100100 +000000100100 +000000110100 +000000100100 +000000100011 +000000100011 +000000100011 +000000100100 +000000110100 +000000110100 +000000110101 +000100110101 +000101000101 +000101000110 +000101000110 +001001010111 +001001010111 +001001000111 +000000100100 +000000100010 +000100100010 +000100010001 +001000100000 +010000100000 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +011101000001 +100001000001 +011101000001 +100001000001 +100001010001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +010100110001 +001000110010 +000100110011 +000100110011 +000100100100 +000100100100 +000100110101 +000101000110 +000101000110 +000101000110 +000101000101 +000101000101 +000100110100 +000100110100 +000101000101 +000101000101 +000101000100 +000101000101 +000100100011 +000100100010 +000100100010 +001000100000 +010100110001 +011101010001 +100001010010 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +011101000001 +011001000001 +011001000001 +011001000001 +011000110001 +011101000001 +011101000001 +100001010001 +100101100010 +011101010001 +100101100010 +100101100010 +100001100001 +100001100010 +011101010001 +010001000010 +001001000101 +001000110101 +001001000110 +001001000111 +000101010111 +000101010111 +000101010111 +000101010111 +000101010111 +000101010111 +000101101000 +000101101000 +000101100111 +001001100111 +001001100111 +001001111001 +001001111001 +001001111001 +001001111001 +001001111001 +001001101000 +000100100001 +001100110001 +010101010010 +010101010100 +011001100101 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101100110 +011010101011 +001001111000 +001001010101 +000101010100 +001101010011 +010101000001 +010000100000 +001100100000 +100001100010 +100101100010 +100101100010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101100010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100001 +100101010001 +100101100001 +100101100010 +100001010010 +100001010010 +100001010010 +100101100010 +100001100010 +100001010001 +100001010001 +010100110001 +001100010000 +001101000011 +000101010101 +000101010110 +000101010111 +000101101000 +001001101000 +000101101000 +000101101000 +001110001010 +001001000110 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100000 +000100100000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100010000 +001000100001 +100010000111 +001101010110 +000100110100 +000100100010 +010000110001 +100001010001 +101110000010 +101110000001 +100101100010 +100101100001 +100101100010 +100001010010 +100001010001 +011001000001 +010100110001 +010000110001 +010000110001 +010000110001 +010100110000 +010100110001 +010100110001 +010100110000 +011001000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010001 +100001010001 +100101010001 +100101100010 +100101100010 +100001010001 +011101000001 +100001010010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100001110011 +010101010100 +000101000101 +000100110101 +000100110101 +001001000110 +001000110101 +001001000101 +010101111000 +011010001001 +010001100111 +001000110100 +000000100100 +000100110101 +001001010111 +010001101000 +010101100111 +001000110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001101000011 +001101000100 +101010000111 +100110000111 +101010000111 +101010000111 +101010000111 +100110000110 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010011000 +101110011000 +101110101001 +101110011000 +101110011000 +101110101000 +101010011000 +101010011000 +100110011000 +100110001000 +100010000111 +011001110110 +010101010101 +010001010101 +001101000101 +001101000100 +001000110100 +010001010110 +010101110111 +011001111000 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +010101100110 +010001010101 +001101000011 +001101000011 +001101000100 +001100110100 +001100110100 +001100110100 +001000110100 +001000110011 +001000110011 +001000100011 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001100110011 +010101010110 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011101110111 +011101100011 +011101000001 +011101000000 +011101000001 +011101000001 +011000110001 +011000110000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100110000 +011000110000 +011000110001 +011000110000 +011101000001 +011101000001 +011001000001 +010100110000 +010000100001 +000100010001 +000000010010 +000000010011 +000000100011 +000000100011 +000000100100 +000000100100 +000000010010 +000000100010 +000000100011 +000000100100 +000000110100 +000000110100 +000100110101 +000000110101 +000101000101 +000101000101 +000101000110 +001001010111 +001001010111 +001001000111 +000000100100 +000000100010 +000000100010 +000100100001 +001100100000 +001100100000 +010100110001 +011101000001 +011101000001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +011000110001 +010000110001 +000100100010 +000100110100 +000100110100 +000100100100 +000100110101 +000101000110 +000101000110 +000101000110 +000101000101 +000101000101 +000100110100 +000100110100 +000101000101 +000101000101 +000101000100 +000101000100 +000100100010 +000100100010 +000100100010 +001000100000 +010000100000 +011001010001 +011101010001 +100001010010 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +010101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101010001 +100001010001 +100001010001 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +011101000001 +010000110001 +001001000100 +000100110100 +001001000110 +001001000111 +000101010110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010111 +000101010111 +000101010110 +000101010111 +001001100111 +001001100111 +001001101000 +001001101000 +001001111001 +001001111001 +001001111000 +001001111000 +000100110010 +001100100001 +010101000001 +010101000011 +010101010101 +010101010100 +010101010100 +010101010100 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010101110111 +010110011011 +001001100111 +000101010101 +001001000100 +010001010010 +010101000001 +010000100000 +001100100000 +100101100010 +100101100010 +100101110010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +101001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100001100010 +100101100010 +100001010001 +100101010001 +100101100010 +100101100010 +100001100010 +100001010010 +100001010010 +100101100010 +100001100010 +100001010001 +011101000001 +011000110001 +001100010000 +001000110010 +001001010101 +000101000110 +000101010111 +000101010111 +000101101000 +000101101000 +001001111000 +001001111001 +001001010110 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +010001010100 +011110001000 +001001000101 +000000110011 +000000100001 +000100010000 +001100010000 +101001100001 +110010000010 +101001100001 +100101100010 +100001010001 +100001010001 +100001010001 +011101000001 +011000110001 +010100110001 +010100110001 +010100110000 +011001000001 +011000110001 +010100110001 +010100110000 +011001000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010010 +100101010010 +100101010010 +100101100010 +100101100010 +100001100010 +100001010001 +100001010001 +100001010001 +100101100001 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100001110010 +011001010011 +001000110100 +000100110100 +000100100100 +000100110100 +000100110100 +000100110011 +010001010110 +001001010110 +000000110101 +000100110101 +000000110101 +000000110101 +000100110110 +000101000111 +010001111001 +011110001001 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000011 +001101000100 +011101100101 +100001110110 +100110000111 +101010011000 +101010000111 +101010000111 +101010010111 +101010000111 +100110000111 +100110000111 +100110000111 +100110000111 +100101110110 +100110000111 +100110000110 +101010000111 +101010011000 +101010010111 +101010011000 +101010011000 +101010000111 +101010011000 +101110011000 +101110011000 +101010011000 +101010011000 +100110000111 +100001110110 +011001100101 +010001010100 +010101010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001100111 +011001100111 +010101100110 +010101010101 +010001000100 +001101000100 +001101000100 +001000110011 +001000100011 +001000110100 +001100110100 +001101000100 +001100110100 +001000110011 +000100100010 +000100010010 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010110 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +100001100100 +100001000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110001 +010100110000 +010100100000 +010100100000 +010100110000 +010100110000 +010100110000 +010100100000 +011000110000 +011000110000 +011000110001 +011001000001 +011000110001 +010100110000 +010100110000 +001100100001 +000000010001 +000000010010 +000000010010 +000000100011 +000000100011 +000000100011 +000000010010 +000000010010 +000000100010 +000000100011 +000000110011 +000000100011 +000100110100 +000100110101 +000100110100 +000100110101 +000101000101 +001001000110 +001001000111 +001001000111 +000100100100 +000000100010 +000000100010 +000100100001 +001100100000 +001100100000 +010000110000 +011001000001 +011101000001 +011101000001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +011101000001 +011100110001 +010100110000 +001100100001 +000100110011 +000100100100 +000100100100 +000100110101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000100110100 +000100110101 +000101010101 +000101000101 +000101000100 +000101000100 +000100100010 +000100100010 +000100100010 +001000100001 +001000010000 +011001000001 +011101010001 +100001010001 +011101010001 +011101010010 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +100001010010 +011101010001 +100001010001 +100001010001 +100001010001 +100001100010 +100101100010 +100101110010 +100101100010 +101001100010 +101001110010 +011101010001 +010000110000 +001001000011 +000100110100 +001001000110 +000101000110 +000101000110 +000101010111 +000101010111 +000101010111 +000101010111 +000101010111 +000101010110 +000101000101 +001001100111 +001001100111 +000101010111 +000101010111 +001001101000 +001001111001 +001001101001 +001001111000 +001001111001 +001001010101 +001000100001 +010000110001 +010101000011 +010101010100 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +011010001001 +001110001010 +000101010110 +000101000100 +001001000011 +010001000010 +010101000001 +001100100000 +010000100000 +100001100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101010010 +100101100010 +100101100010 +100101100010 +100001100010 +100001100010 +100001100010 +100001010010 +100101100010 +100101100010 +100001010010 +100001010001 +100001010010 +100001100010 +100001100010 +100001010001 +011101010001 +011000110001 +010000100000 +001000100001 +001001010101 +000101010110 +000101010110 +000101010111 +000101101000 +000101101000 +001001111000 +001001111001 +001001100111 +000100010001 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +011101110110 +010101110111 +000100110100 +000000100010 +000000010001 +001000010000 +010000100000 +100101100001 +110110010010 +101001100001 +100101010010 +100001000001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110001 +011000110001 +011000110001 +011000110001 +011001000001 +011000110001 +011000110001 +011001000001 +011101000001 +011101010001 +011101010001 +100001010001 +100001010010 +100101100010 +100101100010 +100001100010 +100001010010 +100001100010 +100001010010 +100001010010 +100101010010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100001100010 +011001010010 +001000110010 +000100110100 +000000100011 +000000100011 +000000010010 +000100100010 +000100110100 +000000110101 +000101000110 +000100110110 +000000110101 +000000100100 +000000100100 +000001000110 +000101000111 +010101111001 +011010001001 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000011 +001101000100 +001000100001 +001100110010 +010101000011 +011001010101 +011001100101 +100001110110 +100110000111 +101010001000 +101010001000 +101010011000 +101110011000 +101110011000 +101110011000 +101010010111 +101010011000 +101010000111 +101010000111 +101010000111 +101010011000 +101010011000 +101010010111 +101010000111 +101010000111 +101010000111 +101110011000 +101110101001 +101110101001 +101110101001 +101110101000 +101010011000 +101010011000 +100110011000 +100110001000 +100010001000 +011101110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011101111000 +011101111000 +011101111000 +011001111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001100110 +010001010101 +001101000100 +010001010101 +010001010101 +001101000100 +001000110100 +001101000100 +001101000100 +001101000100 +001000110011 +000100100010 +000100010001 +000100010001 +000100010010 +000100100010 +001000100010 +001000100011 +001000100010 +001000100010 +001100110011 +010101010101 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +100001110101 +100101010010 +011101000001 +011000110000 +011000110000 +011000110000 +011000110001 +011000110001 +010100110000 +010100100000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110001 +011000110001 +011000110000 +010100110000 +010100110000 +010000110000 +000100010001 +000000010010 +000000010010 +000000010010 +000000100011 +000000100011 +000000010010 +000000010010 +000000010010 +000000100010 +000000100011 +000000100011 +000000100011 +000100110100 +000100110100 +000100100100 +000100110100 +000100110100 +000100110101 +001001000110 +000100100100 +000000010010 +000000100010 +000100100001 +000100010000 +001100100000 +010000100000 +011000110000 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011000110000 +010100110000 +001000100001 +000100100011 +000100100100 +000100110101 +000100110101 +000101000101 +000101000101 +000101000101 +000101000101 +000100110101 +000101000101 +000101010110 +000101000101 +000101000100 +000101000100 +001000100010 +000100100001 +000100100010 +000100010001 +000100000000 +010100110001 +011101010001 +011101010001 +011101010001 +011101010010 +011001000001 +011101000001 +011001000001 +011001000001 +011001000001 +011101010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101110010 +100001100010 +100101110010 +101001110010 +101001110010 +101001100010 +100001010010 +010000110000 +001000110010 +001001000101 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010111 +000101010110 +000101000110 +001001010111 +000101010110 +000101010110 +000101010111 +001001101000 +001001111001 +001001101001 +001001111001 +001001111010 +001001100111 +001000100001 +001100110001 +010101000011 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +011010011010 +001001101000 +001001010110 +000101000101 +001101000011 +010001010010 +010000110001 +001100100000 +010000110001 +100001100010 +100101100010 +100101100010 +100001100001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101010010 +100001010010 +100001010010 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100101100010 +100101100010 +100001010001 +100001010001 +100001100010 +100001100010 +100001100010 +100001100010 +011101010001 +011000110001 +010000100000 +001100100000 +001001010100 +000101010110 +000101000110 +000101010111 +000101101000 +000101101000 +000101101000 +000101111001 +001001111000 +000100100010 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000100100001 +100010001000 +001101010101 +000100110011 +000100100010 +001000100001 +001100100000 +010000100000 +100001010010 +101101110010 +101001100010 +100101010010 +100001000001 +100001010001 +011101000001 +011101000001 +011001000001 +011000110001 +011000110001 +011000110001 +011000110001 +011000110001 +011000110001 +011001000001 +011101000001 +011101010001 +100001010010 +100001100010 +100001100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010010 +100001010010 +100001010010 +100001010010 +100101100010 +100101010010 +100101100010 +100101100010 +100101110010 +100101110010 +100001110010 +011101100010 +011001000001 +001000110010 +000100100011 +000000010010 +000000010001 +000000000001 +000100100010 +000100110100 +000100110101 +000000110100 +000000100100 +000000110101 +000000110100 +000000100100 +000000110110 +000001000111 +000101000110 +010101111001 +010001100111 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101000100 +010000110011 +001100100010 +001100100001 +001000100001 +001000010001 +001100100010 +001100100010 +010101000100 +011001010101 +011101110110 +100110000111 +101010001000 +101110011000 +101110011000 +101110101000 +110010101001 +101110101001 +110010101001 +110010101001 +110010101001 +101110101001 +101110011000 +101010011000 +100110000111 +101010000111 +101110011000 +110010101001 +110010101001 +101110101001 +110010101001 +110010111001 +110010101001 +110010111001 +101110101001 +101110011000 +101010011000 +100110011000 +100010001000 +100010000111 +011101111000 +011101111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011101111000 +011101111000 +011101111000 +011001111000 +011001110111 +011001110111 +011001100110 +010001010101 +001101000011 +010001010101 +010101100110 +010101100110 +010001010101 +001101000101 +001101000100 +001000110011 +000100100010 +000100010001 +000100010001 +000100010010 +000100010010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100001 +001000110011 +010101010101 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +100001110110 +100101100010 +100001010001 +011101000001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100100000 +010100110000 +010100110000 +011000110000 +011000110000 +011000110000 +010100110000 +010100110000 +010000100000 +001000010000 +000000010001 +000000010010 +000000010010 +000000100011 +000000100010 +000000010010 +000000010010 +000000010010 +000000010010 +000000100010 +000000010010 +000000100011 +000000100011 +000100100011 +000100100100 +000000100011 +000100110100 +001001000110 +000100110100 +000000010001 +000000110010 +000000100001 +000000000000 +001100100001 +010000100000 +010100110000 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +010100110000 +010000100001 +000100100010 +000000100011 +000100110100 +000100110101 +000101000101 +000101000101 +000101000101 +000001000101 +000000110101 +000001000101 +000101000110 +000101000110 +000101000101 +000101000101 +001000110011 +000100100001 +000100100010 +000000010001 +000100010000 +001100110001 +011101010001 +011101010001 +011101010001 +011101010010 +011001000001 +011101000001 +011001000001 +010100110001 +010100110000 +011101000001 +100001010010 +100001010001 +100001010001 +100101100010 +100101110010 +100101110010 +100101110010 +101001110010 +101001110010 +101001100010 +100001010001 +010100110000 +001100110001 +001001000100 +000100110100 +000101000110 +000101010111 +000101010110 +000101010111 +000101010111 +000101010111 +001001010111 +000101010110 +000101010110 +000101010111 +000101010110 +000101010111 +000101100111 +000101101000 +000101101000 +001001101000 +001001111000 +001001111001 +001001100111 +000100110010 +001000100001 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010101 +010110011010 +001001101000 +000101010110 +001001000100 +010101100011 +010101000001 +010000110001 +010000100000 +010000110001 +100001010010 +100101100010 +100101100010 +100001010001 +100001010010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001010010 +100001010010 +100001010010 +100001010010 +100001010001 +100001010001 +100001010010 +100001010001 +100001010010 +100001010010 +100001100010 +100001100010 +100001100010 +100101100010 +100101110010 +100101100010 +100001100010 +011101010001 +011001000001 +010000100000 +001100010000 +001101000100 +000101000110 +000101000110 +000101010111 +000101101000 +000101101000 +000101010111 +000101101000 +001001111001 +000100100010 +000100010001 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +001100110010 +011110001000 +001001000100 +000000100010 +001000100001 +001100100000 +010000100000 +010000100000 +100001010010 +101001100010 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110001 +011001000001 +010100110000 +011001000001 +011101000001 +100001010010 +100001010010 +100001100010 +100001100010 +100101100010 +100101100010 +100001100010 +100101100010 +100101100010 +100001010010 +100001010010 +100001010010 +100001100010 +100101100010 +100001010010 +100001100010 +100101100010 +100101110010 +100001110010 +100001100010 +011101100010 +010101000001 +001100110001 +000000100010 +000000010001 +000000000000 +000000010001 +000100100011 +000000100011 +000100110100 +000000110101 +000000100100 +000000110101 +000000110101 +000000100100 +000000110100 +000001000110 +000000110110 +001001011000 +010110001011 +000100110101 +001000110100 +001000110011 +001000110011 +001000110011 +001001000100 +001101000100 +001101000100 +011001010100 +011001010100 +010101010100 +010101000011 +010000110011 +001100100010 +001100100001 +001000010001 +001000010001 +001000010001 +001100100010 +001100110010 +010101000100 +011001010101 +100001110110 +101010011000 +101010011000 +101110101000 +110010111001 +110010111001 +110010101001 +101110101001 +110010111001 +101110101001 +110010101001 +110010111010 +110010111010 +110010111010 +110010101001 +101110101000 +101110011000 +101110101001 +101110101000 +101110011000 +101110011000 +101110011000 +101110101000 +101110011000 +101010011000 +101110101000 +101010101001 +101010011001 +100110011000 +100010001000 +011110001000 +011101111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +010101100110 +010001000100 +001100110011 +010001010101 +011001110111 +010101100111 +010001010110 +010001010101 +001101000100 +000100100010 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000110011 +010001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011101110110 +100101100010 +100001010001 +100001000001 +011000110001 +010100100000 +010000100000 +010100110000 +010100100000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100110000 +011000110001 +011000110000 +010100110000 +011000110001 +011000110001 +010100110000 +010000100000 +001100100001 +001000100001 +000100010001 +000000010001 +000000010001 +000000010010 +000000010010 +000000010011 +000000010011 +000100010010 +000000000001 +000000010010 +000100100011 +000100100011 +000100100100 +000100100100 +000100100100 +001000110101 +000100110101 +000100100010 +000100010001 +000000100010 +000000010001 +001000010000 +001100100000 +010000100000 +011001000001 +011001000001 +011001000001 +011101000001 +011101000001 +011001000001 +011000110001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001000001 +011101000001 +011101000001 +011000110001 +010000100001 +001000100010 +000000100010 +000100110100 +000100110101 +000101000101 +000101000101 +000101000101 +000001000101 +000000110101 +000001000101 +000101000101 +000101000101 +000101000101 +000101000101 +001001000100 +001000100001 +000100100001 +000100100010 +000100100001 +001000100000 +011001010001 +011101010001 +011101010001 +011101010010 +011101010001 +011101000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101100010 +100101100010 +100101110010 +101001110010 +101001110010 +101001100010 +101001100010 +100001010001 +010100110000 +010000110001 +001000110011 +000100110100 +000101000110 +000101000110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010111 +000101010110 +000101010110 +001001100111 +001001100111 +000101100111 +000101101000 +000101100111 +001001100111 +001001111001 +000101101000 +001001111001 +001001101000 +000100110100 +001000100001 +001100110010 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010101100110 +010110001010 +001001100111 +001001010101 +001101010011 +010101010010 +010001000001 +010100110001 +010000100000 +010000100000 +100001010010 +100001100010 +100101100010 +100001100010 +100001010010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101010010 +100001010010 +100001010001 +100001010010 +100001010001 +100001010001 +100001010001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010001 +011101010001 +011001000001 +010000100000 +001100010000 +001100110010 +001001000101 +000101000111 +000101000111 +000101010111 +000101101000 +000101101000 +000101011000 +001001101000 +000100110011 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +010001010100 +011010001000 +000100110100 +000000100010 +001000100001 +010000100000 +010000100000 +010000100000 +100101100010 +101001100001 +100101010001 +100001010001 +100001100010 +100001100010 +100001010010 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +100001010010 +100001100010 +100001010001 +100001010001 +100001100010 +100001100010 +100001010010 +100001010001 +100001010010 +100001010010 +100001010010 +100001010010 +100001100010 +100101100010 +100001100010 +100001010010 +100001100010 +100101100010 +100101110010 +100001100010 +100001100010 +011101010010 +010101000001 +001100100000 +000100100001 +000000010001 +000000000000 +000100100011 +001000110100 +000100100011 +000000100100 +000000100100 +000000100100 +000000110100 +000000110100 +000000100100 +000000100100 +000000110101 +000000110110 +000101000111 +001101101001 +001101011000 +000000100011 +001001000100 +001000110011 +001000110011 +001001000100 +001101000100 +001101000100 +011001010100 +010101010101 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010000110011 +001100100010 +001100100010 +001000100001 +000100010000 +001000010001 +001100110011 +010101000100 +011001010100 +011101110110 +100110000111 +101010011000 +101110101001 +101110101001 +101110101000 +110010111001 +110010111010 +101110101001 +110010101001 +101110101001 +101110101001 +101110101001 +110010111001 +101110101000 +101110101000 +101110101000 +101010010111 +101110011000 +101110101000 +110010101001 +110010101001 +110010111001 +110010111001 +110010101001 +101110101001 +101110101001 +101010011001 +100110011000 +100010001000 +011110001000 +011101110111 +011001110111 +011001100111 +010101100110 +010001000101 +001100110011 +010001010101 +011001110111 +011001110111 +010101100110 +010001010110 +001101000100 +001000100010 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000110011 +010001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011101110111 +100101100011 +100001010001 +100001000001 +011000110001 +010100100000 +010100110000 +011000110000 +010100110001 +010100110001 +010000110001 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100110000 +010100110000 +010100100000 +011001000001 +011101000001 +011100110001 +010100110000 +011000110000 +010101000001 +001100110001 +001000010000 +000100000000 +000000010001 +000000010010 +000000010011 +000100010011 +000100010011 +000100010011 +000000010010 +000000010010 +000100100010 +000100100011 +000100100100 +000100100011 +000100100100 +001000100011 +001000110011 +000100010000 +000000010001 +000000100010 +000000010000 +000100010000 +001100100000 +011001000001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011000110000 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +010100110000 +001100110001 +000100100010 +000000100011 +000000110101 +000001000101 +000101000110 +000101000101 +000101000101 +000000110101 +000101000101 +000101000101 +000101000110 +000101000101 +000101000101 +000101000100 +001000100010 +000100100001 +000100100010 +000000010001 +000100010000 +011001000001 +011101010001 +011001000001 +011101010001 +011101010001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101010001 +100001010010 +100101100010 +100101100010 +100101100010 +101001110010 +101001110010 +101001100010 +100101100001 +100001010001 +011001000001 +010100110000 +001000110010 +000100110100 +000101000101 +000101000110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010110 +000101010110 +000101010111 +000101100111 +001001100111 +000101100111 +000101100111 +000101100111 +001001100111 +001001101000 +001001101000 +001001111000 +001001111000 +001001000101 +000100100001 +001000110010 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110010 +010101100111 +001101111001 +001101010110 +010001100100 +001101000100 +001001000011 +010001000010 +010100110001 +010000100000 +001100100000 +011101010010 +100001100010 +100001010010 +100001100010 +100001010010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100001010010 +100001010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001100010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100001100010 +100001100010 +100001010001 +100001100010 +100001100010 +011101010001 +011101000001 +011101000001 +011000110001 +010100110000 +001100010000 +001100100001 +001001010101 +000101000111 +000101000111 +000101010111 +000101101000 +000101111000 +000101101000 +001001101001 +000101000100 +000000010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +011001100110 +010101100110 +000000100011 +000100100001 +001100100001 +010100110000 +010000100000 +010000100000 +101101110011 +101001110001 +100001010001 +100101100010 +100101100010 +100101100010 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011001000001 +011001000001 +011101010001 +100001100010 +100001100010 +100001010010 +011101010001 +011101010001 +100001010010 +100001010010 +100001010010 +100001010010 +100001010010 +100001010010 +100001010010 +100101100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001110010 +100001100010 +100001100010 +100001100010 +011001010010 +010000100000 +001000100000 +000100010000 +000000010000 +000100100010 +001000110100 +000100100011 +000000100100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000101000111 +000101101000 +001101111001 +000100110101 +000100100011 +001000110100 +001000110011 +001001000011 +001101000100 +001101000100 +011001010101 +011001010101 +011001100110 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +010101000011 +010101000011 +011001010100 +011001010101 +010101000011 +010000110010 +001100100010 +001000100001 +001000010001 +001000010001 +001100100010 +010001000011 +011001010101 +011001010101 +100001110110 +101010011000 +101010011000 +101110011000 +101110101001 +110010101001 +110010101001 +110010101001 +110010111010 +110010111001 +110010111010 +110010101001 +101110101000 +101110010111 +101110010111 +101010010111 +101010010111 +101110011000 +110010101001 +101110101001 +101110011000 +110010101001 +110010111001 +110010101001 +110010111001 +110010111010 +101110101001 +101010101001 +100110001000 +011101110111 +010101010101 +001100110011 +010001010100 +011001100110 +011001100111 +010101100110 +010001010110 +010001000101 +001000100011 +000100010010 +000100010010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001100110011 +010001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +100101100011 +100001010001 +100001010001 +011001000001 +010100100000 +011000110000 +011001000001 +010101000011 +010001010100 +010001010101 +010001000100 +010000110010 +010000100001 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +100001010001 +100001010001 +011101000001 +011000110001 +011001000001 +011001000001 +010100110000 +011000110001 +010000110001 +000100010000 +000000010001 +000000010010 +000100010011 +000000100011 +000100100011 +000100100011 +000000010010 +000000010010 +000100010010 +000100100011 +000100100011 +000100100011 +001000100010 +010001000100 +000100100001 +000000010000 +000000100001 +000000010001 +000100010000 +001000010000 +010100110001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011000110001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001010001 +100001010010 +100001010001 +011101000001 +011000110000 +010000100000 +001000100001 +000000100011 +000000110101 +000001000101 +000101000110 +000001000101 +000101000101 +000000110101 +000000110101 +000101000101 +000100110101 +000100110101 +000101000101 +000101000101 +000100110011 +001000100001 +000100100001 +000000010001 +000100010001 +010101000001 +100001010001 +011101010001 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010001 +100001010001 +100001010001 +100001100010 +100001010001 +100001010001 +101001110010 +101001110010 +101001100010 +100101100001 +100001010001 +011101000001 +011001000001 +010000110001 +000100110011 +000100110101 +000101000110 +000101010110 +000101010110 +000101010110 +000101010111 +000101000110 +000101000110 +000101010111 +000101100111 +000101100111 +000101100111 +001001100111 +000101100111 +000101100111 +001001100111 +001001100111 +000101101000 +001001101000 +001001010110 +001000110011 +001000100001 +010000110011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110010 +010101100111 +001101101001 +010001010110 +010001000011 +001001010101 +001001000100 +010101000010 +011000110001 +010000110000 +001100100000 +011101000001 +100001100010 +100001100010 +100001100001 +100001100010 +100101100010 +100001100010 +100001100010 +100001100001 +100101110010 +100101100010 +100001010001 +100101100010 +100101100010 +100101010010 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100001100010 +100001100010 +100101100010 +100101110010 +100101100010 +100001100010 +100001010010 +100001010001 +100001100010 +100001010001 +011101010001 +011001000001 +011001000001 +011001000001 +010100110000 +001100010000 +001000010000 +001101010100 +000101010101 +000101000110 +000101010111 +000101011000 +000101111001 +000101101000 +000101101001 +000101010110 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100100001 +011001110111 +001101010110 +000000100010 +001100100001 +010100110001 +010100110001 +010000100000 +011001000001 +101110000011 +101001110010 +100001100010 +100101100010 +100101100010 +100001010001 +011101000001 +100001010010 +100001010010 +100001100010 +100001100010 +100001100010 +011101010001 +011001010001 +100001100010 +100101100010 +100001100010 +011101010001 +011101000001 +011001000001 +011101000001 +011101010001 +100001010010 +100001010010 +100001100010 +100001010010 +100001010010 +100101010010 +100001010010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101010010 +010000110000 +001100100000 +001000010000 +000100010001 +000000100011 +000100110101 +000000100100 +000000110100 +000000110101 +000000110101 +000000110100 +000000100011 +000000110101 +000000110101 +000000110101 +000000110101 +000001000110 +000101011000 +001001111010 +001101101001 +000000010010 +001000110100 +001000110011 +001001000011 +001101000100 +001101000100 +010101000100 +011001010101 +011101100110 +011101100110 +011101100110 +011101100110 +011001010100 +011001010100 +011001010100 +010101000011 +010101000010 +011001000011 +011101100101 +011001010100 +011001010100 +011001000100 +010101000011 +010101000011 +010000110011 +010000110010 +001100100010 +001100100010 +001000100001 +001100100010 +010000110011 +010101000011 +011001010100 +011101100110 +100001110110 +100110000111 +101010011000 +101110101001 +101110101001 +101110101001 +101110101000 +110010101001 +110010101001 +101110101000 +110010101000 +101110101000 +101110101000 +110010101001 +101110101001 +101110101000 +110010111001 +110010111010 +110010111010 +110010111001 +110010111010 +110110111010 +110111001010 +110010111010 +110010111010 +101110101000 +101010010111 +100010000110 +100010000111 +011101110111 +010101100110 +010001100110 +001101000100 +001000100011 +000100010010 +001000100010 +001100100011 +001100110011 +001000100011 +001000100011 +001000110011 +001100110011 +001101000100 +010001000100 +010001000100 +010101010101 +011001100111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +100001110011 +100101100001 +100101100010 +011101000001 +010100110000 +011000110000 +010100110010 +010001000100 +010001010101 +010001100111 +010001010110 +010101010110 +011001100110 +011101010100 +011001000010 +010100100000 +010100100000 +010000100000 +011000110000 +100101010001 +100001010001 +011101000001 +011000110001 +010100110000 +011001000001 +011101000001 +100001000001 +011000110000 +001000100000 +000100010001 +000100010001 +000000010010 +000100100011 +000100100100 +000000100011 +000100100011 +000000010010 +000100010010 +000100010010 +000100100100 +001000110110 +010001010110 +010101010110 +000100100010 +000000010000 +000000010001 +000000100010 +000000010001 +000100010000 +001100100000 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110001 +010100110000 +011000110000 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001010001 +100101010001 +100101010010 +100001010001 +011101000001 +011000110001 +010100100000 +001100100001 +000000100010 +000000110100 +000000110101 +000101000101 +000101000101 +000000110100 +000000110101 +000000110101 +000000110101 +000101000101 +000101000101 +000101000101 +000101000101 +000100110100 +001000100001 +000100010001 +000100100001 +000000010001 +001100110000 +100001010010 +100001010010 +011101000001 +011101010001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100101100010 +101001100010 +100101100010 +100101100010 +011101010001 +011101010001 +011101010001 +010100110001 +000100110011 +000100110100 +000101000110 +000101010110 +000101000110 +000101000110 +000101010111 +000101010111 +000101000101 +000101010110 +000101010111 +000101010111 +000101100111 +001001100111 +000101100111 +000101100111 +001001100111 +001001100111 +001001101000 +001001101000 +001001010110 +010001010100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010101110111 +011010001001 +010101010101 +001001000100 +000101010101 +010001010011 +011001000001 +010100110001 +010000110000 +001100100000 +011001000001 +100001100001 +100001100010 +100101100010 +100001100010 +100001010001 +100001010001 +100001010001 +100001100010 +100101110010 +100101100010 +100001010010 +100001010001 +100001100001 +100001010001 +100001010010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001100010 +100001010001 +100001010010 +100001100001 +100001100010 +100001010010 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011001000001 +011001000001 +011001000001 +010100110000 +001100010000 +000100010001 +001101010100 +010001010101 +001001000110 +000101000110 +000101001000 +000101101001 +000101101001 +000101101001 +001001100111 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +001100110011 +010101100111 +000101000100 +000100100001 +010100110001 +010100110000 +010000110001 +001000010000 +011101010010 +101110000010 +101001110010 +100101100010 +100001100001 +100001100010 +100001010010 +011101010001 +100001010010 +100001100010 +100101110010 +100101110010 +100001100010 +011101010010 +011101010001 +100001100010 +100101100010 +100001100010 +011101010001 +011001000001 +011000110001 +011001000001 +011101000001 +100001010010 +100001100010 +100001100010 +100001100010 +100001010010 +100001010001 +100001010001 +100001010001 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101010010 +010100110001 +001100100000 +001000010000 +001000100001 +000100110100 +001001000101 +000000110100 +000000110101 +000000110101 +000000110101 +000000100100 +000000100011 +000000110100 +000001000110 +000000110101 +000001000110 +000001000110 +000001010111 +001001111010 +001101111010 +000000100011 +000100100011 +001000110100 +001001000100 +001101000100 +001101000100 +010101000011 +010101000011 +011001010101 +011001100101 +010101010100 +011001100110 +011001110110 +011001100101 +011001000011 +011001010100 +010101000011 +011001000011 +011101010100 +010101000010 +010101000011 +011001010100 +011001010100 +011001010011 +011001000011 +011001010011 +011001010100 +010101000011 +010000110011 +010101000100 +010001000011 +010000110010 +001100110010 +001000010001 +001000100001 +001100110010 +010101000100 +011001010101 +100001110110 +100110000111 +100110000111 +101010011000 +101110011000 +110010101001 +101110101000 +101110011000 +101110101000 +101110101000 +110010101001 +110010111001 +110010111001 +110110111010 +110010111001 +110010101001 +110010101000 +101110101000 +101110101000 +110010111001 +110010111001 +110010101001 +110010111001 +110010101001 +110010111001 +110010111001 +101110101001 +101010011000 +100001110111 +011001010101 +010000110011 +001100110011 +001100110011 +001000110011 +001000110100 +001101000100 +010001000100 +010001010101 +010101010110 +010101100110 +010101100110 +011001100110 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +100101110100 +100101100010 +100001010001 +011001000001 +010100100000 +010100110000 +010001000011 +001101010101 +001101010110 +010001100111 +010001010110 +010001010110 +011001110111 +011101111000 +011101110111 +100001100100 +100001010010 +100001000000 +100101010001 +100101010010 +100001000001 +011100110001 +011000110001 +010100110000 +011001000001 +100101010001 +101001010010 +100101010010 +010101000001 +000100100001 +000100110011 +001000100010 +000100100010 +000100100100 +000100100011 +000000010011 +000100010011 +000100010011 +000100100100 +000100100101 +001000110111 +010101101000 +010101100110 +001100110100 +000100010001 +000000000000 +000000010001 +000000010001 +000000010000 +000100010000 +010100110001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110001 +011000110001 +011000110001 +011100110001 +011101000001 +011101000001 +011101000001 +100001000001 +100001010001 +100001010001 +100001010001 +011101000001 +011001000001 +011000110000 +010000100000 +000100010001 +000000110100 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000000110101 +000000110101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000100 +000100100010 +000100010001 +000000010001 +000000010001 +001000100001 +011101010010 +011101010001 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010001 +011101010001 +100001010010 +011101010001 +011101000001 +100101100010 +100101100010 +100101100010 +100001010001 +011101000001 +011101010001 +100001010001 +011001000001 +001000100010 +000100110100 +000101000110 +000101010110 +000101000110 +000101010110 +000101010111 +000101010110 +000100110101 +000101000101 +000101010110 +000101010110 +000101100111 +000101100111 +000101100111 +000101101000 +001001100111 +001001100111 +001001101000 +001001100111 +001001010110 +001101000100 +010000110011 +001100110010 +001100110010 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110011 +011001111000 +011110000111 +010001010100 +000101000101 +000101010101 +010101010010 +011101000001 +010100110001 +010000100000 +001100100000 +011001000001 +100001010001 +100001100010 +100101110010 +100101100010 +100001100001 +100001010010 +100001010010 +100001100010 +100101100010 +100101110010 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010001 +100001010010 +100001100010 +100001100010 +100001010010 +100001010001 +100001010001 +100001100010 +100001010001 +011001000001 +011000110001 +011001000001 +011001000001 +010100110001 +010000100000 +000100010001 +001001000101 +010101010100 +001101010110 +000100110110 +000101001000 +000101101001 +000101101001 +000101111001 +001101111001 +000100010001 +000100010000 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +010001010101 +010101100111 +001000110011 +010001000001 +011001000001 +010000110001 +001000010000 +000100010000 +100101100010 +101101110010 +101001110010 +100101100010 +100101100010 +100001010010 +100001010001 +100001010010 +100101100010 +100101100010 +100101110010 +100101110010 +100001100010 +011001010001 +011101010001 +100001100010 +100001100010 +100001100010 +011101010010 +011001000001 +011001000001 +011001000001 +011101010001 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001010001 +100001010001 +100001010001 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101010001 +011001000001 +010100110001 +001100100000 +001000010000 +000100010001 +000100110100 +001001000101 +000000100100 +000000110100 +000000110101 +000000110101 +000000110101 +000000110101 +000000110100 +000000110101 +000001000110 +000001010110 +000001010111 +000001010111 +001001111010 +001101111011 +000100110101 +000000010010 +001001000100 +001101000100 +001101000100 +001101000100 +011001010100 +011001010100 +011001010101 +011001100101 +011001010101 +011001110111 +011110001000 +011001110111 +010101010100 +010101010100 +011001100101 +010101000011 +010101000011 +010000110001 +010100110010 +011001000011 +011001010011 +011001000011 +010100110010 +011001000011 +011001010100 +011001010100 +011001010100 +011001100110 +011101110110 +011001010101 +011001010100 +010101000100 +001100110010 +001000100001 +001000100010 +001000100010 +001100110011 +001100110011 +010000110011 +010001000011 +011001010101 +100001110110 +100110000111 +101010010111 +101110011000 +101110011000 +101110011000 +110010111001 +110110111010 +110010111001 +101110101000 +110010101000 +110010101001 +110010101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101001 +110010101001 +110010111001 +110010111001 +110010111001 +110010101000 +110010101000 +101110011000 +101010010111 +100010000110 +011101110110 +011001100101 +010101100110 +010101100110 +010101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +100001110100 +100001010010 +100001010011 +010100110001 +010000100000 +010000110001 +010001000011 +001101010110 +001101010110 +010001100111 +010001010110 +010001010110 +011001100111 +011101111000 +011001111000 +011001110111 +100001110110 +100101110100 +100101100010 +100101010001 +100001000001 +011000110001 +011000110001 +011000110000 +100001010001 +100101010001 +100001010001 +100001010001 +100101100010 +011101010010 +010001000010 +001100110001 +001000100001 +000100100010 +000100100011 +000100010011 +000100100100 +000100100100 +000100100100 +000100100100 +001000110101 +011001100111 +010101100110 +010001010101 +001000100010 +000000000001 +000000000000 +000000010001 +000100010000 +000100000000 +001100010000 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110001 +011000110000 +010100110000 +011000110001 +011000110001 +011000110001 +011000110001 +011100110001 +011101000001 +011101000001 +100001010001 +100101010001 +100001010001 +100001010001 +011101000001 +011001000001 +011000110001 +010000100000 +001000010001 +000000110100 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000000110101 +000000110101 +000000110101 +000101000101 +000101000101 +000100110101 +000101000101 +000100110100 +001000010001 +000100010001 +000000010001 +000100010000 +011001000001 +011001000001 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011000110000 +010100110001 +011001000001 +011101000001 +011101000001 +011101000001 +011001000001 +100001100010 +100001010001 +100001010010 +011101010001 +011101010001 +100001010001 +100001010001 +011101000001 +001100100001 +000100110100 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +000101000110 +000100110100 +000101000101 +000101010110 +000101010110 +000101101000 +000101100111 +000101100111 +000101101000 +001001101000 +001001101000 +001001101000 +001001100111 +001001010110 +001101000100 +010001000011 +001100110010 +010000110010 +010001000011 +010001000011 +010000110011 +010000110010 +001100110010 +001100110011 +010101111000 +010101110110 +001001000100 +000101010101 +001001010101 +010101000001 +011001000001 +010100110001 +010000100000 +001100010000 +010100110000 +100001010010 +100001100010 +100101100010 +100101110010 +100001100010 +100001010010 +100001010010 +100001010001 +100001100010 +100101100010 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100001010001 +100001010001 +100001100010 +100001100001 +100001010001 +100001010001 +100001010001 +011101010001 +100001010001 +100001010010 +011101000001 +011001000001 +011001000001 +011000110001 +010100110000 +010000100000 +000100000000 +001000110100 +001101010101 +010001010110 +000100110110 +000101000111 +000101101001 +000101101001 +000101101001 +001110001010 +000100100010 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +010101010101 +100110001000 +011101100110 +011101100011 +010101000001 +001000100000 +000100010000 +001000010000 +100101100010 +101001110010 +101001110010 +100101100010 +100001010001 +100001010010 +100001010001 +100001100010 +100101100010 +100101100001 +100101110010 +100101110010 +011101100010 +011001010010 +011001000001 +100001100010 +100001100010 +100001010001 +011101010001 +010100110001 +010100110001 +011001000001 +011101010001 +100001100010 +100101100010 +100101100010 +100101100010 +100101110010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101100010 +011101100010 +011101010001 +011001000001 +010100110000 +001100010000 +001000010000 +000100010000 +000100100011 +001000110100 +000100100011 +000000100100 +000000110100 +000000110101 +000000110101 +000000110101 +000000110101 +000000110101 +000001000110 +000001010111 +000001010111 +000001011000 +000101101001 +001001111011 +001001010111 +000000010010 +001000110100 +001001000100 +001101000100 +001101000100 +011101100110 +011001100101 +011001100110 +011001100101 +011001100101 +011101111000 +011110001001 +011110001000 +011101100110 +011101110111 +011001110111 +011001100110 +010101000100 +010000110010 +010101000011 +010101000011 +010101000010 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +010100110011 +011001010100 +010101000100 +010101000100 +011001010100 +011001010101 +010101000011 +011001010100 +010101010100 +001100110010 +001100100001 +001100100010 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +010101000011 +011001010100 +011101100101 +100001110110 +101010000111 +101110101000 +101110101001 +110010101000 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +101110101000 +101110101000 +101110010111 +101010010111 +110010101000 +110010101000 +110010111000 +110010101000 +110010111000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101001 +110010101001 +101110101000 +101010011000 +100110010111 +100010000111 +011101110110 +011001100101 +010101100101 +011001100110 +011001100110 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011101110111 +100101110101 +011101000010 +010100110011 +001100100001 +001100100001 +001000100001 +001100110011 +001101010110 +001101010101 +010001010110 +010001010110 +010001010110 +010101100111 +011001110111 +011001110111 +011001110111 +011101111000 +100001110101 +100101100010 +100001010001 +011101000001 +011000110001 +010100110000 +011101000000 +101101110010 +101001110010 +010101000001 +010100110001 +100101100010 +100101100010 +010101000001 +001100100001 +001000100001 +001000100001 +000100100010 +000100100010 +001000100010 +000100010011 +000100100011 +000100100100 +000100100101 +001000110101 +010101010101 +010101010101 +010001000100 +000100100010 +000000000001 +000000000001 +000100010001 +000100010000 +000100000000 +010100110001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +010100110000 +010100110000 +011000110001 +011000110001 +011000110001 +011000110001 +011000110000 +011101000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +011000110001 +010000100000 +001000010001 +000100110100 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000000110100 +000000110100 +000000110101 +000100110101 +000101000101 +000101000101 +000101000101 +000101000101 +010001010101 +100001100110 +001000100010 +000100100001 +010101000010 +011101010010 +011001010010 +011001010010 +011001000001 +011001000001 +011001000001 +011101000001 +011001000001 +010100110001 +010100110001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010010 +011101010001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +011101010001 +010000100000 +000100110011 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +000101000110 +000100110100 +000100110100 +000101010110 +000101010111 +000101101000 +000101010111 +000101100111 +000101101000 +000101100111 +000101100111 +001001101000 +001001100111 +001001010110 +001101010101 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110010 +001100110010 +001100110011 +011010001000 +010001100101 +001001000101 +000101010110 +001101010100 +011001000001 +011001000001 +010100110001 +010000100000 +001100010000 +010100110001 +100001010010 +100001100001 +100001100010 +100001100010 +100001100010 +011101010001 +011101010001 +011001000001 +011101010001 +100001010001 +100001010001 +100001100001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001100010 +100001010001 +100001010001 +100001100010 +100001100001 +100001100010 +100001010001 +100001010001 +011101000001 +011101000001 +011101010001 +100001010001 +011101000001 +011000110001 +011000110001 +010100110001 +010000100000 +000100000000 +000100100011 +001001010101 +010001010101 +001000110110 +000100110111 +001001011001 +000101101001 +000101111001 +001110001010 +000100110011 +000100010000 +000100010010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000000000 +000100010001 +011001100110 +101010011001 +100001110101 +010101000001 +000100010000 +000000010000 +000100010000 +001000010000 +100101100010 +101001110010 +101001110010 +100101100010 +100001010001 +011101010001 +100001100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100001110010 +011101100011 +011001010010 +011001010001 +011101010010 +011101010001 +011001000001 +010100110000 +010000100000 +010100110000 +011001000001 +100001010001 +100001100010 +100001100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101100010 +011101010010 +011101100010 +011101010010 +011001000001 +010100110000 +001100010000 +001000000000 +000100000000 +000100010010 +000100100011 +000000100100 +000000100100 +000000100100 +000000110100 +000000110101 +000000110101 +000000110101 +000000110101 +000001000110 +000001010111 +000101011000 +000001011000 +000101011000 +001001111010 +001101101001 +000000010011 +001000110100 +001001000100 +001101000100 +001101000100 +011101100101 +011101100101 +011001100110 +011101110110 +011101110111 +011101111000 +011110001000 +011101110111 +011001100110 +011101110111 +011110001000 +011110001000 +011101111000 +011101100110 +011101110111 +011101100110 +010101010100 +010101000011 +010101000011 +011001000011 +010101000011 +011001100110 +011001100101 +010101000100 +010101000100 +011001010101 +010101000011 +010101000010 +011001010101 +011101100101 +011001010100 +010101000011 +010101000011 +011001010100 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010100110010 +010000110011 +001000100001 +001000100001 +001100110010 +010101000100 +011001100101 +011101100101 +100110000111 +101010010111 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +101110011000 +110010101000 +110010101000 +110010101000 +110010111001 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010111001 +110010111001 +110010101001 +101110101000 +101010011000 +101010010111 +100110000111 +100001110111 +011101110111 +011001110111 +011001110111 +011101110111 +100010000111 +101010011000 +100101110111 +010101000011 +001000100010 +000100010001 +000100010000 +000100010000 +001101000100 +010001010110 +001101000101 +010001010110 +010001010110 +010001010110 +010101100110 +011001110111 +011001110111 +010101110111 +011101110111 +100001100011 +100101100001 +100001010001 +011101000001 +011000110000 +010100110000 +011101000001 +101001110010 +110010010010 +100110000011 +010101000010 +001100100000 +010000100000 +001100100001 +001100100001 +001000100001 +001000100010 +000100100010 +001000100001 +100001100010 +011101010010 +001000100001 +000100010011 +000100010010 +000100100011 +010101010101 +010101010101 +010101100110 +001000110011 +000000010001 +000000000000 +000100000001 +000100000000 +000000000000 +001100010000 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110001 +011000110000 +010100110000 +010100110000 +011000110001 +011000110000 +011000110000 +011000110000 +011000110001 +011101000001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110001 +010100100000 +001000010000 +000100110100 +000000110101 +000000110101 +000000110101 +000000100011 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000101000101 +000101000101 +000101000101 +000101000101 +001001000100 +010101000011 +010101000100 +011001100101 +010001000011 +011001010100 +010001000010 +010001000011 +010000110010 +010000110010 +010000110010 +010101000010 +011001000001 +010100110000 +010100110000 +010100110001 +010100110001 +010100110000 +011001000001 +100001100010 +100001100010 +100001010010 +100001010001 +100001010001 +100001010001 +100001010010 +011101010001 +010100110001 +000100100010 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +000101000110 +000100110100 +000000110100 +000101010110 +000101010111 +000101010111 +000101010111 +000101100111 +000101101000 +000101100111 +000101100111 +001001111000 +001001100111 +001001100111 +001001010101 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001101000011 +011110001001 +001101010101 +000101010101 +001001100110 +001101000011 +010101000001 +011001000001 +010100110001 +010000100000 +001100010000 +010100110000 +100001010001 +100001100001 +100001100001 +100001100001 +100001100010 +011101010001 +011101010010 +011001000001 +011101000001 +011101010001 +011101010001 +100001010001 +100001100010 +100001010010 +100001010010 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001100010 +100001010001 +100001010001 +100101100010 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110001 +010000100000 +000100000000 +000100100010 +001001010110 +001101010101 +001101000101 +000100110111 +000101011001 +001001111010 +000101111001 +001110011011 +001001000101 +000100010001 +000100100001 +000100100010 +000100100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +001000110010 +011101111000 +011101100110 +010101010010 +001000100001 +000000010010 +000000010001 +000000000000 +001100010000 +100101100010 +101001100010 +100101100010 +100001010001 +100001010001 +100001010001 +100001100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100110000011 +100110000100 +100001110100 +011001010001 +011001010001 +011101010001 +011001000001 +010100110001 +010100110000 +011001000001 +011101000001 +011101010001 +100001010001 +100001100010 +100101100010 +100001100010 +100001100010 +100001100010 +100001010001 +100001010001 +100001100010 +011101010010 +011101010010 +011101010010 +011101100010 +011101100010 +011001000001 +010100110000 +001100100000 +001000000000 +000100000000 +000100100011 +000000110101 +000000110101 +000000100100 +000000100100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110101 +000001000101 +000001000111 +000001000111 +000001011000 +000101011001 +001001111010 +001110001011 +001001000110 +001000110011 +001101000100 +001101000100 +001101000100 +011001100101 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101100110 +011001100101 +011101100110 +011101110111 +011101110111 +011101111000 +011110001000 +011101100110 +011101110111 +011001100110 +010101010100 +010101010101 +011001100110 +010101000011 +010000100001 +010101000011 +010000110010 +010101000011 +011001000100 +010101010100 +011101100110 +011001010101 +010000110010 +010000110010 +010000110010 +010000110010 +010100110010 +010000110010 +010000110010 +001100100001 +001000100001 +001000010001 +001000010001 +001000010001 +001100100010 +010000110011 +011001010100 +100001110111 +100001110110 +101010000111 +101110011000 +101110011000 +110010101000 +101110101000 +101110101000 +110010101000 +101110101000 +101110101000 +101110010111 +101110010111 +101110100111 +101110101000 +110010101000 +110010101000 +110010111001 +110010111001 +110010111001 +110110111001 +110010111001 +110010111001 +110010111001 +110010101000 +110010101000 +110010101000 +101110101001 +101010011000 +101110111001 +101010101000 +100001110110 +010001000100 +001000100010 +000100010001 +000100000000 +000100010000 +000100000000 +001100110100 +001101000101 +001001000100 +001101000101 +010001010110 +010001010110 +010101100110 +011001110111 +010101110111 +010101110111 +011101110111 +100001100100 +100001010001 +100001010001 +011101000001 +010100110000 +011001000011 +011101100100 +100001010001 +101110000010 +110110010010 +101110010010 +011101010011 +001100110010 +001000100000 +001100100001 +001000100010 +001000100010 +001000110010 +001100110001 +100101100001 +101110010010 +011001010010 +000100100011 +000000000000 +000100010001 +010101010100 +010101010100 +011001100110 +010101100110 +000100100010 +000000000001 +000100010001 +000100010000 +000000000000 +000100010000 +010100110001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110001 +011000110001 +011101000001 +011101000001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110001 +010100110000 +001100100000 +000100110011 +000000110100 +000000110101 +000000110101 +000000100011 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000101000101 +000101000101 +000101000101 +000101000101 +001001000100 +001100110011 +010000110011 +001100100010 +001000100001 +001000100001 +001000100001 +001100100001 +010000110010 +010000110011 +010001000100 +010000110010 +010101000001 +011001000001 +010000110000 +010000100000 +010000100000 +010100110000 +100001100010 +100101110010 +100001100010 +100001010001 +100001010001 +011101010001 +100001010001 +100001100010 +011101010001 +010101000001 +001000100001 +000101000100 +000101000101 +000101000110 +000001010110 +000001010111 +000101000110 +000100110100 +000000110011 +000101000110 +000101010110 +000101010111 +000101010110 +000101101000 +000101101000 +000101010111 +000101100111 +001001111000 +001001101000 +001001101000 +001001000101 +001101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110010 +010001000011 +011001110111 +001001010101 +000101010110 +001001010101 +001100110001 +010101000001 +011001000001 +010100110001 +010000100000 +001000010000 +010100110001 +100001010001 +100001010001 +100001010001 +100001010001 +100001100010 +011101010010 +011101010001 +010101000000 +011001000001 +011001000001 +011101010001 +100001010001 +100001010001 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101010001 +100001010001 +100001010010 +100001010001 +100001010001 +100001100010 +011101010001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +010000100000 +001000000000 +000100010001 +001001010101 +001001010101 +001101000101 +000100110111 +000101011001 +001001111010 +000101111010 +001110011100 +001101100111 +000100100001 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +001101000100 +001101000110 +010101010100 +010000110010 +000100100010 +000100100010 +000100010001 +000100010000 +010000100000 +100101100010 +101001100010 +100101100010 +100001010010 +011101010001 +100001010010 +100001100010 +100001100010 +100001100010 +100001110010 +100001100010 +100001110010 +100001110010 +100110000100 +100001110100 +011101010010 +011101010001 +011101010001 +011001000001 +011001000001 +011101000001 +100001010010 +100001010010 +100001010010 +100001010010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001010010 +011101010001 +011101010001 +011101010001 +011101010010 +011101010010 +011001010001 +011101100010 +011001010001 +010100110001 +001100100000 +001000000000 +001000000000 +000100110100 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000000100100 +000000100011 +000000110100 +000001000101 +000001000101 +000001000110 +000001000110 +000001010111 +000101101001 +001010001011 +001001111010 +001101101000 +001000110100 +001101000100 +001101000100 +001101000100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100101 +011101100110 +011101110111 +011101110110 +011101110111 +011001100101 +011101100110 +011101110110 +011001010100 +011001010100 +011001010101 +011101100110 +011101111000 +011001110111 +011101110111 +010101010101 +011001100110 +010101100110 +010101100110 +011001100111 +010101010101 +010101000011 +010101000011 +010000110010 +011001010101 +011001010100 +010101000011 +010101000011 +011001010100 +010101000011 +010000110001 +010000110010 +010000110010 +010000110010 +010101000010 +011001010100 +011001010100 +010101000011 +010000110010 +010000110001 +010000100001 +001000010001 +001000100001 +001100100010 +001100110010 +010000110011 +010101000011 +010101000011 +011001010101 +100001110110 +100110000111 +101010010111 +101110101000 +110010101001 +110010101000 +110010101000 +101110010111 +101110010111 +101110101000 +110010101001 +101110101000 +101110101000 +101110100111 +101110010111 +101110101000 +101110101000 +101110101000 +101110101000 +101110100111 +101110010111 +110010101000 +101010010111 +011001010100 +010101000100 +010000110011 +001000010001 +000100010001 +000100010001 +000100000001 +000100010001 +000100010001 +000000000000 +000100010001 +001101000100 +001000110011 +001100110100 +001101000101 +010001010101 +010101100110 +011001110111 +011001110111 +010101100110 +010101010101 +010000110010 +010100110001 +011101000001 +011100110000 +010000110000 +011001100110 +011101110111 +011101010010 +100101100001 +110010010010 +110110010001 +110010010010 +100001110011 +010001000010 +001000100001 +001000100010 +001000110010 +001000100001 +011001000001 +101001110010 +101110000010 +101001110011 +001101000100 +000100010010 +000100000000 +000100010000 +001100110010 +011001010101 +011001100110 +010001010101 +000000000001 +001101000100 +001000100010 +000000000000 +000100000000 +010000100000 +011001000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +010100110000 +010100100000 +010100100000 +010100110000 +011000110000 +011000110000 +011000110001 +011000110001 +011001000001 +100001000001 +100001010001 +011101000001 +011101000001 +011000110001 +010100110000 +010000100000 +000100100010 +000000110100 +000000110100 +000000110100 +000000100011 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000100110101 +000101000101 +000101000101 +000101000101 +001001000101 +010001000100 +001100110010 +001000100001 +001000010001 +000100010000 +000100010000 +000100010000 +001100110010 +001100110011 +010001000101 +001100110011 +010000110010 +010100110001 +010100110000 +010000100000 +001100100000 +011001000001 +100101100010 +100101100001 +100101100001 +100001010001 +100001010001 +100001010001 +100001010010 +011101010001 +011101000001 +011001000001 +001100100001 +000100110011 +000100110100 +000101000101 +000001010110 +000001010110 +000101000101 +000100110100 +000000110011 +000101010110 +000001010110 +000101010111 +000101010110 +000101010111 +000101010111 +000101010110 +000101100111 +000101111000 +001001111000 +001001101000 +001001000100 +001100110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001101000011 +001100110011 +010001000100 +011001110110 +000101000100 +000101010110 +000101000011 +001100110001 +011001000001 +011001000001 +011000110001 +010000100000 +001000010000 +010100110001 +100001010010 +100001100001 +100001010001 +100001010001 +100001100010 +011101010001 +011001010001 +010101000000 +010100110000 +011001000001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010010 +100001010001 +011101010001 +011101010001 +011101010001 +011101000001 +011101000001 +011101000001 +011001000001 +011101000001 +011001000001 +011001000001 +011101000001 +100001010001 +011101000001 +011101000001 +011000110001 +010000100000 +001000010000 +000100000000 +001001000101 +000101010101 +001101000110 +000101000111 +000101011001 +001001111011 +000101111010 +001010011100 +001101100111 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000110001 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010001010101 +001101000110 +010001000011 +000100100001 +000000100011 +000100100010 +000100010000 +001000010000 +010000100000 +100101100010 +100101100010 +100101100010 +011101000001 +011001000001 +100001010010 +100001010010 +011101010001 +011101010010 +011101010001 +011001000001 +011101010001 +100001110010 +100110000011 +100001110011 +100001100010 +100001010010 +100001010001 +011101010001 +011101000001 +011101010001 +100001010001 +100001010001 +011101000001 +100001010001 +100001010010 +100001010010 +100001010010 +100001100001 +100001100010 +100001010010 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101100001 +011101100010 +011101010010 +010100110001 +001100100000 +001000000000 +000100000000 +000100110011 +000000110100 +000000110100 +000000110101 +000000110101 +000000110100 +000000110101 +000000110100 +000000110100 +000001000110 +000001000101 +000001000110 +000001000110 +000001010111 +000001101001 +001010001011 +000101011000 +001101111001 +001001010110 +001001000011 +001101000100 +001101000100 +011001100110 +011101100110 +011001100101 +011001010101 +011101100101 +011101100110 +011101100101 +011101100101 +011001010101 +011001010101 +011101100110 +011001010100 +011101010100 +011101110110 +011101110110 +011101100101 +011001010100 +011001010100 +011001100101 +011001100111 +011001100110 +011101110110 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +011001100110 +011001010101 +011101100110 +010101010100 +010100110010 +010100110010 +010000110010 +010101010100 +010101000100 +010101010100 +011001100110 +011001010101 +011001010101 +011101100110 +011101100101 +011101010100 +011101100101 +011001010011 +011001000010 +010100110010 +010000110011 +010000110010 +010000110010 +010101000011 +010000110010 +001100100001 +001000100001 +001100100001 +001100100010 +010000110011 +011001010100 +100001110110 +100001110110 +101010000111 +101110010111 +101110011000 +101110101000 +110010101000 +110010101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +110010111001 +110010101000 +110010111000 +110010111000 +110010101001 +011001100101 +001000010010 +001000100010 +001000100010 +000100010001 +001000100010 +001000100010 +000100000000 +000100010001 +000000000000 +000100000000 +010000110010 +001100110011 +000100100010 +001100110011 +001101000100 +010001010101 +010101100110 +011001110111 +010001010101 +001100110011 +001100100011 +001000100010 +001000100001 +010000110001 +010000100000 +010101000011 +011110001000 +011110001001 +011101110110 +011101000001 +101001110010 +110010010010 +110110010001 +101110000010 +100101110011 +011001010010 +001100110010 +001000110010 +001000100001 +011101010001 +101001110010 +101110000010 +101110000010 +011001010011 +001101000100 +001000010001 +000100010000 +000100000000 +001000010001 +010101010100 +011001100110 +010001000100 +010101100110 +010101010101 +000100010001 +000000000000 +001000010000 +010100110001 +011101000001 +011101000001 +011100110001 +011000110001 +011000110001 +011000110000 +010100110000 +010100100000 +010100100000 +010100110000 +011000110000 +011000110000 +011000110000 +011000110001 +011101000001 +100001010001 +011101000001 +011000110001 +011000110001 +010100110000 +010100100000 +001100100001 +000000100011 +000000110100 +000000110100 +000000100010 +000000100011 +000000110100 +000000110100 +000000110100 +000000110100 +000100110101 +000101000101 +000101000101 +000101000101 +001001000101 +001000100011 +001000100001 +001000010001 +000100000000 +000100010000 +000100010000 +001000100001 +010001000011 +001000100010 +001100110011 +001100110100 +001100110011 +010000110010 +010100110000 +010000110000 +010000110001 +011101010001 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011001000001 +011101000001 +010000100000 +001000100010 +000100100011 +000000110100 +000101010110 +000001010110 +000101000101 +000100110100 +000000110100 +000101010110 +000001010110 +000101010111 +000101010110 +000101010110 +000101010110 +000101010110 +000101100111 +000101111000 +001001111001 +001001100111 +001000110011 +001100110010 +001100110010 +010000110011 +010000110011 +001100110011 +001100110010 +001100110011 +001100110010 +010101010100 +010101110110 +000101010101 +000101000101 +000100110010 +001100110001 +010101000001 +011001000001 +011000110001 +010000100000 +001000010000 +011000110001 +100001010010 +100101100001 +100101100010 +100001010001 +011101010001 +011101010001 +011001000001 +010101000001 +010100110001 +010100110000 +011101010001 +100001010010 +100001010001 +100001010001 +100001100010 +100001100010 +100001010001 +100001010010 +011101010001 +011101000001 +011101010001 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011001000001 +100001010010 +011101000001 +011001000001 +011000110001 +010100110000 +001100010000 +000100000000 +001000110011 +000101010110 +001001010101 +000101000110 +000101001000 +001001101010 +000101111010 +001010011100 +001101111000 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +000100100001 +000100100001 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +010001010110 +000100110101 +001000110011 +000000100010 +000000100010 +000100100001 +001000010000 +001000010000 +001100010000 +100101100010 +100101100010 +100001010010 +011001000001 +011101000001 +011101010001 +011101010001 +011001000001 +010100110000 +011101010001 +011001000001 +011101100010 +100101110010 +100101110010 +100001110010 +100001100010 +100001100010 +100001010001 +100001100010 +100001010010 +100001010001 +100001010001 +100001010001 +011101000001 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011101010010 +011101010010 +011101010001 +011101010001 +011101010010 +100001100010 +100001100010 +100001100010 +011101010001 +011001000001 +010000100000 +001000000000 +000100000000 +000100100010 +000000100011 +000000100100 +000000110101 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000001000101 +000001000110 +000001000110 +000001010111 +000001011000 +000101101001 +001010001011 +000101011000 +000101000110 +001001010110 +001001000100 +001101000100 +001101000100 +011001111000 +011101110111 +011001100110 +011001100110 +011101100110 +011101100101 +011101010101 +011101010100 +011101100101 +011101010100 +011101010100 +011001010100 +011001010100 +011101100110 +011101110110 +011101100110 +011101100101 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +011101100110 +011001100101 +011001100110 +010001000100 +100010001000 +011101110111 +010001000100 +001100110011 +011001100110 +011001100110 +010101010101 +011001110111 +011101110111 +011001100110 +010101100101 +011001100110 +011001100110 +011001010100 +010101000011 +011001010011 +011101100101 +011101010100 +011001000011 +010101000010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +010100110011 +010000110010 +010000110010 +001100100001 +001000100001 +001100100010 +001100100001 +010000110011 +010101000100 +011101010101 +100001110110 +100110000110 +101010010111 +101110010111 +101110010111 +101110100111 +110010101000 +110010101000 +110010101000 +110010101000 +110010111001 +101110101000 +011001010101 +001100100011 +010000110011 +001000100010 +000100010001 +000100010001 +000100010001 +001000100010 +000100000000 +000000000000 +000100000000 +001100100001 +010101000010 +010000110010 +010000110010 +001100100010 +001100110011 +010001000011 +010101010101 +010101010101 +001100110011 +001000100010 +001100110011 +001100110011 +000100010010 +000100010001 +000100010000 +010101010100 +010101100110 +010001000100 +010101010101 +011101100100 +011101010001 +101110000010 +110110010010 +110010010001 +101101110010 +100101100001 +011001010010 +001100110010 +001100110001 +100001010001 +101001110010 +101110000010 +110010000010 +100001100010 +010001010101 +010101010101 +000100010000 +001000010000 +000100000000 +001000010000 +010001000011 +011001100110 +011001100110 +011001110110 +001100110011 +000100000001 +000100000000 +001100100000 +011101000001 +011101000001 +011000110000 +011000110000 +011000110001 +011000110000 +010100110000 +010100100000 +010100100000 +010100100000 +010100110000 +011000110000 +011000110000 +010100110000 +011000110000 +011101000001 +011101010001 +011000110000 +011000110000 +011000110000 +010100110000 +010000100000 +001000100001 +000000110011 +000000110100 +000000100010 +000000100011 +000000110100 +000000110100 +000000110100 +000000110100 +000100110101 +000001000101 +000101000101 +000101000101 +001000110011 +001000100010 +000100010001 +001000100010 +000100010000 +000100010000 +000100010000 +001100110010 +010001000011 +001100110010 +001000100010 +001100110011 +001100110011 +001100110100 +010000110011 +010000110010 +011001000010 +011101010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +011101010001 +011101010001 +011101010001 +011001000001 +010100110000 +001100100000 +000000010001 +000100110011 +000101010110 +000001010110 +000101000101 +000100110100 +000000110011 +000101010110 +000001010110 +000101010111 +000001010110 +000101010110 +000101010111 +000101010110 +000101100111 +000101101000 +001001111001 +001001100110 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001101000100 +001101100110 +000101010101 +000100110011 +000100100001 +001100110001 +010101000001 +011001000001 +011000110001 +010000100000 +001100010000 +011101000001 +100001010001 +100101010001 +100101010010 +100101100010 +100001010001 +011101000001 +011001000001 +010100110001 +011001000001 +011101010001 +011101010010 +100001010001 +100001010001 +100001010001 +100001010001 +100001100001 +011101010001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +100001010001 +011101010001 +011101000001 +011101010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +010100110000 +001100010000 +000100000000 +001000100010 +001001010110 +001001010110 +000100110110 +000101001000 +001001101010 +000101111010 +001010011100 +001101111001 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +000100100001 +000100100001 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +010001010110 +001001000101 +000100110011 +000000100010 +000000100010 +000100010001 +001000010000 +001000010000 +001100010000 +100101100010 +101001100010 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010010 +011001010001 +011101100010 +100001110010 +100101110010 +100001110010 +100001100010 +011101100010 +011101100010 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011001000001 +011101000001 +011101010010 +011101010001 +011101010001 +011101010010 +011101010001 +011101010001 +100001100010 +011101100001 +100001010001 +100001100010 +100001100010 +100001010001 +100001010010 +011101010001 +011001000001 +010000100000 +001000010000 +000100000000 +000100100010 +000000110100 +000000110100 +000000110100 +000000110100 +000000110101 +000001000110 +000000110100 +000000110100 +000000110101 +000001000110 +000001000110 +000001010111 +000001011000 +000101101001 +001001111011 +001001101000 +000100110100 +001000110100 +001101000100 +001101000100 +001101000100 +011001111000 +011101111000 +011110001000 +011110001000 +011101110111 +011101110111 +011101100110 +011001010101 +011101100101 +011101010100 +011101010100 +011101100101 +011001100101 +011101100110 +011001010100 +011001010011 +011101100101 +011101110110 +011101110111 +011101110111 +100010000111 +011101110111 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +010101010101 +010101010101 +011001100111 +011101110111 +100010001000 +011001100111 +011001100110 +010101010100 +011001100110 +011101110110 +010101010101 +011001010100 +011101010100 +011101100101 +011001010100 +011001010100 +010101000011 +011001010100 +010101000011 +010101000011 +010000110010 +010100110011 +010101000011 +011001010011 +011001010100 +010101000011 +010100110010 +011001010100 +010101010100 +010001000011 +010101000011 +010000110010 +001100100001 +001000100001 +001100100001 +001100100010 +010000110011 +010101000100 +011101100101 +100101110110 +101010010111 +101110101000 +101110101000 +110010101000 +110010101000 +011001010101 +001100110011 +010000110011 +001000010001 +000100010001 +000100010000 +000100000000 +000100000000 +000100010001 +001000010001 +001000010001 +001000010001 +010000110010 +010000110001 +010101000011 +010101000011 +010100110010 +010000110010 +001100100001 +001100110011 +001100100011 +001000100010 +000100010001 +001000100010 +001000100010 +000100010001 +000100000001 +000100010001 +000100010001 +001000100010 +001000100001 +001000110010 +011101110111 +011001010011 +100001010001 +110010000010 +110010010010 +101110000010 +101001110010 +100001010001 +010000110001 +010100110001 +100001010001 +101001110010 +101101110010 +101110000010 +101110000011 +010101000010 +011001111000 +001100110011 +001000100000 +001100100000 +001000010000 +001000010000 +010001000011 +011001100101 +010101010101 +010001000100 +001000010001 +000100000000 +000100010000 +010100110001 +100001000001 +011101000001 +011000110000 +011000110000 +011000110000 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +010100110000 +011000110000 +011000110000 +010100110000 +011000110000 +100001010010 +011000110000 +011000110000 +011000110000 +010100110000 +010100100000 +010000100000 +001000100001 +000100100011 +000000100010 +000000100010 +000000100100 +000000110100 +000000110100 +000000110100 +000000110100 +000001000101 +000101000110 +000101000100 +001100110011 +001000100001 +000100010001 +001000100001 +001100110010 +001000010001 +001000100001 +001000100010 +001100110010 +001000100010 +001000100010 +001000100010 +001100110011 +001101000100 +001101000101 +010000110010 +011001000001 +011101000001 +011101010001 +011101000001 +011101010001 +011101010001 +011101010001 +100001010001 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +001000100000 +000100010000 +000100100011 +000001000110 +000001010110 +000101000101 +000100110100 +000000110100 +000101010110 +000001010110 +000101010110 +000001010110 +000101010110 +000101010111 +000101010110 +000101010111 +000101010111 +001001101000 +000101010101 +000100100001 +001000110010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000110100 +001001010111 +000000110011 +000100110011 +000100110010 +010000110001 +011001000001 +011101000001 +011001000001 +010000100000 +001100010000 +011101000001 +100001010001 +100101100001 +100101100010 +100101010001 +100001010001 +011101000001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110001 +011001000001 +011101000001 +011101000001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +100001010010 +011101000001 +011101000001 +011101000001 +011000110001 +010100110000 +010100110000 +010000100000 +000100000000 +001000100001 +001001010110 +000101010110 +001001000110 +000101001000 +001001101010 +000101111010 +001010001011 +001110001001 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100010 +001000110010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +011110001000 +011001110110 +000100100010 +000000110011 +000000100010 +000100010000 +001000010000 +001000010000 +001100010000 +100101100010 +101001100010 +011001000001 +010000110000 +010100110001 +011001000001 +011101000001 +010100110000 +010100110001 +010101000001 +011001000001 +011101100010 +100001100010 +100001100010 +100001110010 +100001100010 +100001110011 +100001100011 +011101100011 +011101100010 +011101010001 +011101010001 +011101010001 +011101010001 +011001000001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010010 +100001100010 +100001100010 +100001100010 +100001100001 +100001100001 +100001010001 +011101010001 +011101000001 +011000110001 +010000100000 +001000010000 +000100000000 +000100100010 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000000110100 +000000110100 +000000110100 +000000110101 +000001000101 +000001000110 +000001010111 +000001101000 +000101111001 +000101101001 +001101101000 +001001000110 +000100010010 +001101000100 +001101000100 +001101000100 +011001110111 +011010001000 +011001111000 +011110001000 +011101111000 +011110001000 +011110001000 +011101110110 +011101110110 +011001010101 +011101010100 +011101110111 +011001100110 +011001010100 +011001000011 +011001010100 +011101100101 +011001010100 +011101100101 +011101110111 +100010001000 +100010001000 +011101100110 +011101100110 +011101110111 +011001010101 +011001000011 +011001010100 +011101100101 +011001010100 +011001010100 +011001010101 +011101100101 +011101100110 +011101110111 +011001110111 +001100110100 +001100110011 +010101010101 +010101010101 +011001100110 +011001100101 +011101100110 +011101110111 +011101110110 +011101100101 +011001010100 +011101110110 +011101100101 +010101000011 +010101000011 +010101000100 +010101000011 +010000110010 +010101000011 +010101000100 +010000110010 +010101000010 +011001100101 +011001100101 +011001010100 +011101100101 +011001010100 +011001000011 +011001010011 +010101000011 +001100100001 +001100100001 +001100100010 +001100100010 +010101010100 +011001010100 +100001110110 +100101110110 +100001110110 +001100110011 +001100110011 +000100010001 +000100010001 +000100010001 +000100000000 +001000100001 +010000110011 +010001000011 +010101000100 +010101000100 +010101000011 +010000110010 +010101000011 +011001000011 +011001000011 +010000110010 +010000110010 +001100110011 +001100110011 +000100010010 +000000000001 +000100000001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010001 +000100010000 +000100010001 +011001100111 +011101110111 +011001000010 +100101100001 +110010000010 +110010010010 +101101110010 +101001110010 +011001000001 +010000100000 +011101010001 +101001100010 +101001110010 +101001110010 +110010000010 +011101010010 +001101000101 +001101010111 +000100010000 +001000010000 +001100100001 +001100100001 +001100100000 +010101000011 +010001000100 +001100110100 +001100110011 +000100010000 +000100000000 +010000100000 +100001000001 +011101000001 +011101000001 +011000110001 +011000110001 +011000110000 +011000110000 +011000100000 +010100100000 +010100100000 +010000100000 +010100110000 +011000110000 +010100110000 +010100100000 +011001000001 +011101000001 +011000110000 +011000110001 +010100110000 +010100110000 +010100110000 +010100100001 +001100100001 +000100010001 +000000010010 +000000100011 +000000110011 +000000110011 +000000110100 +000000110100 +000001000101 +000101000101 +000100110100 +001100110011 +001100110011 +000100100001 +001000110010 +010001000011 +001000100010 +000100100001 +001000100010 +001000100010 +001000010000 +001000100001 +001100110010 +001100110011 +001101000100 +010001000101 +010000110010 +011001000001 +011001000001 +011101010001 +011101000001 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101000001 +011001000001 +010000110001 +000100100001 +000100010000 +000100100010 +000101000110 +000001010110 +000101000101 +000100110011 +000000110100 +000001010110 +000001010110 +000001010110 +000001000110 +000101010110 +000101010110 +000101010110 +000101010111 +000101010111 +000101101000 +000101010110 +000100100001 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110100 +001001000110 +000000110011 +000101000100 +001001000011 +010001000010 +011001000001 +011101000001 +011001000001 +010000100000 +001100100000 +011101000001 +100001010001 +100101010001 +100101100001 +100101010001 +100001010010 +011101010001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +011101000001 +011101010001 +010100110001 +010101000001 +011001000001 +011101000001 +011101000001 +011101010001 +011101010001 +011101010010 +100001010010 +100001010001 +011101010001 +011101010001 +100001010010 +100101100010 +011101010001 +011101000001 +011101000001 +011001000001 +010100110000 +011000110000 +010100100000 +001000000000 +001000100001 +001001010110 +000101010110 +000101000110 +000101001000 +001001101010 +000101111010 +001010001011 +010010001001 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100100001 +000100100001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100011 +011001110111 +010001010101 +000000100010 +000000110011 +000000100010 +000000010000 +001000010000 +001000010000 +001100010000 +100001100010 +100101100010 +011001000001 +010000100000 +010100110000 +011101000001 +011101010001 +010100110001 +010000100000 +010000100000 +010100110000 +011001000001 +011001010001 +100001100010 +100001100010 +011101100010 +100010000101 +100001110101 +100110000101 +100001110011 +011101010001 +011101010001 +011101000001 +011101010010 +011001000001 +011001000001 +011001010001 +011001000001 +011001000001 +011101010001 +011101010001 +100001100010 +100001100010 +100001100010 +100001010010 +100001010001 +011101010001 +011101000001 +011001000001 +010100110000 +010000100000 +001000000000 +001000010000 +001000110010 +000000100011 +000000100100 +000000110100 +000000110100 +000000110101 +000000110100 +000000110100 +000000110100 +000000110101 +000001000101 +000000110101 +000001000110 +000001011000 +000101111010 +001001101001 +001001010110 +001001010110 +000000010001 +001101000100 +001101000100 +001101000100 +011001110111 +011110001000 +011110001000 +011001111000 +011101111000 +011110001000 +011110001000 +011101111000 +011101110111 +011001010100 +011101100101 +011101111000 +011001100110 +011101100110 +011101110111 +011101110111 +011101100110 +011001010100 +011101100101 +011101110110 +011110001000 +100010001001 +011101110111 +011101100110 +011101110111 +011101100101 +011101010100 +011001010100 +011101100101 +011101010100 +011001010011 +011101010100 +011101100101 +011101100110 +011101110110 +011110001000 +010101010110 +010101010101 +011001100111 +011001100110 +011110001000 +011101111000 +010001000100 +100010001000 +100110011001 +011101100110 +010101010100 +011001100101 +011101100110 +011101100101 +011101100110 +011101100101 +011001010100 +010101000100 +010101000011 +010101010100 +010101000100 +010101000011 +011001010100 +011001010101 +011001010100 +011101100101 +011101010100 +011101010011 +011001010100 +011001100101 +011001010100 +010101000010 +011001010011 +010100110010 +010100110010 +010101000011 +011001010100 +010001000011 +001100110011 +001100110011 +001000100010 +001000010001 +000100000000 +001000010001 +001100110010 +010101000011 +010101000100 +010101000100 +011001010101 +011001010101 +011101010100 +011001010011 +010101000011 +011001010011 +011001010011 +010001000011 +001100110010 +001000100011 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010001 +010001000100 +011101110111 +011101100101 +011001000001 +101001110001 +110110010010 +110010000010 +101101110001 +100101100010 +010100110001 +011101000001 +100101100010 +101001110010 +101001110010 +101110000010 +100101100010 +001100100010 +001101010111 +001101000110 +001100100001 +001000010000 +001000010000 +001100100000 +010000110001 +010001000011 +001100110011 +001100110011 +001000100001 +000000000000 +000100010000 +011001000001 +100001000001 +011101000001 +011100110001 +011000110001 +011000110001 +011000110000 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +010100110001 +010100110000 +010100110000 +010100100000 +011001000001 +011001000001 +011000110001 +011000110001 +011100110001 +011100110001 +011000110000 +010100110000 +001100010000 +000100010001 +000000010010 +000000100011 +000000100011 +000000110100 +000000110100 +000001000101 +000101000101 +000101000101 +001000100011 +001000100011 +001000100011 +001000100010 +001000100001 +001100110010 +001000100010 +001100110011 +001000100010 +001100110001 +010100110000 +001100100001 +001100110011 +010001000101 +010001000100 +001100110010 +010100110001 +010100110000 +011001000001 +011101000001 +011101000001 +011101000001 +100001010001 +011101000001 +011101000001 +011101010001 +011101010001 +010001000001 +000100110001 +000100100010 +000100100001 +000100100001 +000101000101 +000101000110 +000100110100 +000100110011 +000000110100 +000101000110 +000001010110 +000101010110 +000001000101 +000101010110 +000101010110 +000101010110 +000101010111 +000101010111 +000101101000 +000101010110 +000100100001 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110011 +001001010110 +000101000100 +000101000101 +000101000100 +010001000010 +011101010001 +011101010001 +011000110001 +010000100000 +001100100000 +011101000001 +100001010001 +100101010001 +100101100001 +100101010001 +100101100001 +100001010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001100001 +100001100001 +100001100001 +011101010001 +011001000001 +010000110000 +011001000001 +100001010001 +011101000001 +011001000001 +011101000001 +100001010001 +011101010001 +100001010001 +011101010001 +100001010001 +011101010001 +011101010001 +100001100010 +100001100001 +011101000001 +011101000001 +011101000001 +011000110000 +011000110001 +010100100000 +001000010000 +001000010000 +001101010100 +000101010110 +000101000110 +000001001000 +000101101010 +000101111010 +001010011100 +010010001001 +001000110010 +001000100010 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000110100 +001101010110 +001101000100 +000000100011 +000000100011 +000000010001 +000100010000 +001000010000 +001000010000 +001000010000 +011101010010 +100101100010 +011000110000 +010000100000 +010000110000 +011001000001 +011101000001 +010100110000 +010000110000 +010000110001 +010000110000 +010100110001 +010000110000 +011001010001 +100001100010 +011101100010 +100010000100 +100010000110 +100110011000 +100110000110 +100001110011 +011101010010 +011101010010 +011001000001 +010100110001 +010101000001 +010101000001 +011001000001 +011001010001 +011101010001 +011101010001 +100001100010 +100101100010 +100001100010 +100001010010 +100001010001 +011101010001 +011101000001 +011001000001 +010000110000 +001100100000 +001000000000 +001100010000 +001100110010 +000000110011 +000000100100 +000000110100 +000000110100 +000000110101 +000000110101 +000000110100 +000000100100 +000000110100 +000001000101 +000000110101 +000001000110 +000001000111 +000101111010 +000101010111 +001001000110 +001001000110 +000000010001 +001101000100 +001101000100 +001101000100 +011101110111 +011110001000 +011110001000 +011101111000 +011001111000 +011001110111 +011101110111 +011101111000 +011101110111 +011101100110 +011101110111 +011101111000 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011101110110 +011101110111 +011101110110 +011101110110 +011101100110 +011101100100 +011101010100 +011101010100 +011001010100 +011101100101 +011101100110 +011101110110 +011110001000 +011001100110 +011101110110 +011101100110 +011101110110 +011110001000 +011110001000 +010101100101 +100010001000 +100110011010 +011001100110 +010000110011 +001100110011 +011101110110 +100010001000 +011101110111 +011101100110 +011001100101 +011101100110 +011101110111 +011101110111 +100001110111 +011101110110 +010001000011 +010101000011 +011001000011 +011101100101 +010101000011 +010101000011 +010101010100 +011101110110 +100010000111 +011001010100 +011001000011 +011001000011 +011001010011 +011001000011 +010101000011 +010000110010 +000100010010 +001000100010 +000100010001 +001000010001 +000100010001 +010001000011 +010101000011 +010101000011 +011001000100 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +010001000011 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010000 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100010 +001100110010 +001100100001 +010100110000 +100101100010 +101110000011 +101110000010 +101001110010 +100001010010 +011000110001 +100001010001 +100101100010 +101001110010 +101001110010 +101001110010 +010100110001 +001000110100 +001101011000 +011001100110 +010101000010 +001100100000 +001000010000 +001100100000 +010101000010 +001100100010 +001000100001 +001000100001 +001000010000 +000000000000 +001100100000 +011001000001 +100001000001 +011101000001 +011000110001 +011000110000 +011000110000 +010100110000 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +010100110000 +011000110001 +010100110000 +010000100000 +011000110001 +011100110001 +011101000001 +011100110001 +011100110001 +011000110001 +010100110000 +010000100000 +001000010000 +000000010001 +000000010010 +000000010010 +000000100011 +000100110100 +000100110100 +000101000101 +000101000110 +001001000100 +000100010001 +000000000000 +000100100010 +001000100010 +001100110010 +001000100001 +001000100001 +001100110010 +010101000010 +011101000001 +011000110001 +001100110001 +001100110011 +010001000100 +010001000100 +010001000011 +010000110001 +010000110000 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101010010 +010000110001 +000100110010 +000100110011 +000100110011 +000100100001 +000100100001 +000000110100 +000101000101 +000100110100 +000000110011 +000100110100 +000101000110 +000101010110 +000101010110 +000001000101 +000101010110 +000101010110 +000101010110 +000101010111 +000101010111 +000101101000 +001001010110 +000100100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000110011 +000101000110 +000101000100 +000101010101 +001001010100 +010101010010 +100001100010 +011101010001 +011000110001 +010000100000 +001100100000 +011101000001 +011101010001 +100001010001 +100101010001 +100101100010 +100101100001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +011101010001 +011001000001 +011001000001 +011001000001 +011101010001 +011101000001 +011101000001 +100001010001 +100001100010 +011101010001 +011101010001 +011101000001 +100001010001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011001000001 +011000110000 +010100100000 +001100010000 +001000010000 +001101000011 +000101010110 +000101000110 +000101001000 +001001101010 +000101111010 +001010011100 +001110001001 +001000110010 +001000100001 +001000100001 +001000100001 +001000100010 +001000110010 +001100110011 +001000110010 +001000100010 +001000100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000000001 +001001000101 +000100110100 +000100110011 +000000110011 +000000110011 +000000100001 +000100010000 +001000010000 +001000010000 +001000000000 +011001000001 +100001010001 +011001000001 +010000100000 +010000110000 +010100110001 +010100110000 +010000110000 +010100110000 +010000110000 +010000110000 +010100110001 +010100110000 +011001000001 +011101010010 +011101100010 +100101110011 +100110000101 +100110011000 +100110011000 +100110000101 +100001100010 +011101010001 +011001010001 +010101000001 +010000110000 +010101000001 +011001000001 +011001010010 +011101010010 +011101010001 +100001010001 +100001100010 +100001100010 +100001010001 +100001010001 +011101010001 +011101000001 +010100110000 +010000100000 +001000010000 +000100000000 +010000110001 +001000110010 +000000100011 +000000100100 +000000110100 +000000110100 +000000110101 +000000110101 +000000100100 +000000100100 +000000110101 +000001000101 +000001000101 +000001000110 +000001000110 +000101101001 +000101000110 +001001010111 +000100100100 +000000010001 +001101000100 +001101000100 +001101000100 +011101111000 +011101111000 +011110001000 +011110001001 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011101110111 +011101110111 +011110001000 +011101110111 +011101110111 +011101110110 +011101100101 +011101010101 +011101100110 +011101100110 +011101110110 +011101110110 +100001110111 +011101111000 +011101100110 +011101100101 +011101100110 +011101100101 +011101100101 +100001110111 +100010000111 +100010001000 +100010001000 +100010001001 +011101111000 +011101100110 +100001110110 +100010000111 +100010000111 +100001110111 +100010000111 +010101010101 +010001000100 +011101110110 +011001100110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011001010100 +010101000011 +011001010100 +010101000100 +011101110110 +011101100110 +010000110010 +010101000011 +011101100101 +011001010100 +011001010100 +010101000100 +000100010010 +000100010001 +000100000000 +000100010000 +001100100001 +010101000011 +010101000011 +010000110010 +010101000011 +010101000011 +010000110011 +010000110011 +010101000011 +010000110011 +010000110010 +010000110010 +000100010001 +000000000000 +000100010001 +000100010001 +001000010001 +000100010000 +000000000000 +000000000000 +000100000000 +000100010000 +001000010001 +001000100010 +001100100010 +001100110010 +001100100010 +001000100001 +001100100001 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100001 +010000110001 +010101000010 +011001010010 +011101010010 +011001000001 +100001100010 +100101110010 +101001110010 +101001100001 +101101110010 +011101000001 +001000100010 +000101000110 +001101010111 +011001100110 +011101100100 +011101010011 +011101010010 +100001100011 +001000100000 +001000010001 +001000100001 +001000100001 +000100010000 +000100000000 +001100100000 +011000110001 +011101000001 +011100110001 +011000110000 +011000110000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010000010000 +010000100000 +010100110000 +010100110000 +010000100000 +010000100000 +011000110001 +011101000001 +011101000001 +011100110001 +011100110000 +011000110000 +010100100000 +001100100000 +000100010000 +000000010010 +000000010010 +000000010011 +000000100011 +000000100011 +000000100011 +000101000101 +001001010101 +000100100010 +000000000000 +000100010001 +001101000100 +010101010110 +001100110010 +001100100010 +001000100010 +010000110001 +011101000001 +011101000001 +010100110001 +001100100001 +001000100001 +001100110011 +010001000100 +010001000100 +010000110010 +010000110001 +010101000001 +011001000001 +010101000001 +011001000001 +011101010001 +010101000001 +000100100010 +000000110011 +000101000100 +000100110010 +000100100001 +000100100001 +000100100011 +000000110011 +000100110011 +000000100011 +000000100011 +000101000101 +000101000110 +000101000101 +000001000101 +000001010110 +000101000110 +000101010110 +000101010111 +000101010111 +000101101000 +001001010111 +000100100001 +001100110010 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100011 +000100110101 +000100110011 +000101000100 +000101000011 +010101010010 +100001100001 +011101010001 +011000110001 +010000100000 +010000100000 +011101000001 +100001010001 +100101010001 +100101010001 +100101100010 +101001100010 +100101100001 +100001010001 +100001010001 +100001010001 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011001000001 +011101000001 +011101000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +100001010001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010010 +100001010001 +011101000001 +011101000001 +011000110000 +010100100000 +001100010000 +001000010000 +010001000011 +000101010110 +000100110110 +000101000111 +001001101010 +001001111011 +001010011100 +001110001001 +001000110010 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100001 +000100100001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001001000101 +000100110100 +000100110011 +000000110011 +000000100010 +000100100001 +001100100000 +001100010000 +001000010000 +001000000000 +010100110001 +100001010001 +011101000001 +010000100000 +010000100000 +010000110000 +010000100000 +010000100000 +010000110000 +010100110001 +010000110000 +010100110001 +010100110001 +011001000001 +011101010001 +100001100010 +100001110010 +100110000011 +100110000110 +100010001000 +100110000101 +100001110010 +011101100001 +011101100010 +011001010001 +010101000001 +011001010010 +011101100011 +011101110100 +100001100010 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011101000001 +010100110001 +001100100000 +001000010000 +001000010000 +011001000010 +001100110001 +000000100010 +000000100100 +000000100100 +000000110100 +000000110101 +000000110101 +000000100011 +000000100100 +000000110101 +000000110101 +000001000101 +000001000110 +000001000110 +000001101000 +000101000110 +000101101000 +000000100011 +000100010010 +001101000100 +001101000100 +001101000100 +011110001000 +011101111000 +011110001000 +011110001001 +011110001000 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011110001000 +100010001000 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +100001110110 +100001110111 +011101111000 +011110001000 +100010001000 +011101110111 +011101110111 +011101110111 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011101100101 +011101100101 +011101110110 +011101110110 +100010001000 +100010001000 +100001110111 +011101110110 +011101110110 +011101110110 +011001010100 +011101100100 +011001100101 +010101010101 +011101100110 +011001010101 +011101100110 +011101100110 +011101110110 +011101110110 +011101100101 +010101000011 +011001010101 +011001100101 +011101100101 +011001010100 +011001010100 +010100110010 +010100110010 +011101010100 +011101100100 +011101100100 +010101000100 +000100010010 +000000000000 +000000000000 +001000100001 +010101000011 +010101000011 +010000110010 +010101000011 +010101000011 +010000110010 +010101000011 +001100100010 +010000110010 +001100100010 +001100100010 +001000010001 +000000000000 +000100010001 +000000000000 +000100010000 +000100010000 +000100000000 +000100010000 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000010001 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001100100010 +010000110010 +001100110010 +010000110011 +010101000011 +011101100100 +110010100111 +110010100111 +110010100110 +110010010101 +101110010100 +100101110011 +010001000001 +000100110011 +001001000110 +010001010111 +010101100101 +011001100101 +011001010100 +010101000010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010000 +001000010000 +001100100000 +011000110001 +011101000001 +011100110000 +011100110000 +011000110000 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +001100010000 +010000100000 +010100100000 +010000100000 +001100010000 +001100010000 +011000110001 +011100110001 +011100110001 +011100110000 +011000110000 +010100100000 +010000100000 +001000010000 +000100010001 +000000010010 +000000010011 +000000010011 +000000100011 +000000010010 +000100100011 +000100110100 +000100100010 +000100010001 +000000000000 +001100110100 +010001000100 +001100110010 +001100100010 +001000100010 +000100010000 +011001000001 +011101000001 +011101000001 +010100110001 +001100100001 +001100100010 +001100110011 +010001000100 +010001000100 +010000110010 +010100110001 +010000110000 +010000110000 +011001000001 +011001000001 +001000110001 +000100110011 +000101000100 +000101000100 +000100100010 +000100100010 +000000100010 +000100100011 +000000100010 +000000010001 +000100100011 +000000100011 +000100110101 +000101000110 +000101000101 +000000110101 +000001010110 +000101000110 +000001010110 +000101010111 +000101010111 +000101101000 +001001100111 +000100100010 +010000110010 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000110100 +000100110011 +000101000100 +000100110011 +010101010010 +100001100010 +011101010001 +011000110001 +001100100000 +010000100000 +011101000001 +100001010001 +100101010001 +100101010001 +100101010010 +101001100010 +100101100001 +100101010001 +100101010001 +100101100010 +100101010001 +100001010001 +100001010001 +100001010001 +011101010001 +011001000001 +010100110001 +011001000001 +011101010001 +100001010001 +100001010001 +011101000001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101010001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110000 +010100100000 +001100010000 +001000010000 +010001000011 +000101010110 +000000110101 +000101000111 +000101011010 +001010001011 +001010011100 +001110001001 +001000110010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001001000101 +000000100100 +000100110011 +000100110011 +000000010001 +001000100000 +001100100000 +001100010000 +001000010000 +001000000000 +001100100000 +011101010001 +011101000001 +010000100000 +010000100000 +010000100000 +010000110000 +010000110000 +010000110000 +010000110001 +010100110000 +010100110000 +010100110000 +010101000000 +011101010001 +100001100010 +100001110010 +100110000010 +100110000100 +100010000110 +100001110100 +100001110010 +100001100010 +100001100010 +011001010010 +011001010011 +011101100011 +100001110100 +100010000101 +100001100011 +011101010001 +011101000001 +011101010001 +100001010001 +011101010001 +011101000001 +011101000001 +011001000001 +010100110001 +001100100000 +000100000000 +001100100001 +011001010010 +010000110001 +001000100010 +000000100011 +000000110100 +000000110100 +000000110101 +000000110100 +000000100011 +000000100011 +000000110101 +000001000101 +000001000101 +000000110100 +000000110101 +000001011000 +000001000110 +001001111010 +000100110101 +000100100010 +001101000100 +001101000011 +001101000100 +011101110111 +011101110111 +011101111000 +011110001001 +100010001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011110000111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011110001001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001000 +011110001000 +011101110111 +011101111000 +100010001000 +011110001000 +011110001000 +011110001000 +100001110111 +011101110110 +011101100110 +011101100101 +011001010100 +011101010100 +011101100101 +011101100101 +011101100100 +011101100101 +011101100101 +011101100110 +011101100110 +100001110110 +100001110111 +011101110110 +011101100101 +011101100100 +011101010011 +011101100101 +011101100110 +011101100110 +011101100110 +011101100101 +011001010100 +100001110111 +100001110111 +100001110110 +011001010100 +010101010100 +011001100101 +100001110110 +011001010100 +010101000011 +011001010011 +010101000010 +010101000010 +011101010011 +011101100101 +010101000100 +000100010001 +000000000000 +000000000000 +000100010000 +010000110011 +011001010100 +011001010100 +011001010100 +011001010100 +010101000011 +010101000010 +010101000010 +010000110010 +001100100001 +001100100010 +000000000000 +000100010001 +000100010001 +000000000000 +000000000000 +001000010001 +001000100001 +001000010001 +001000100001 +010000110010 +010000110010 +001100100010 +010000110010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100001 +001100100010 +001100100010 +010000110011 +010000110011 +010000110011 +010101000011 +100001110101 +101110010110 +101110010111 +110010100111 +101110100110 +110010100111 +110010101000 +101110100111 +101010101000 +011110000111 +011101110111 +011001110110 +010101100101 +010001000100 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +000100010001 +001100100001 +010000100000 +010100110000 +011101000001 +011100110001 +011000110001 +011000110001 +010100100000 +010100100000 +010000100000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +001100010000 +011000110001 +011101000001 +011100110001 +011100110001 +011000110000 +010000100000 +001100100000 +001000010000 +000100010001 +000000010010 +000000010010 +000100100011 +000100100011 +000000010010 +000100010011 +000100010011 +000100100011 +000100100011 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +000100010000 +001100100000 +011101000010 +011001000001 +011101000001 +011101000001 +011001000001 +010100110001 +010100110010 +010101000010 +010100110001 +011000110000 +010000110000 +001100110001 +010101000010 +001100110001 +000100110010 +000100110011 +000100110100 +000100110011 +000100100011 +000100100011 +000100100010 +000000100010 +000100100010 +000000010001 +000100100011 +000000010010 +000100110100 +000101000110 +000101000101 +000000110100 +000001000110 +000101000110 +000001000110 +000101010110 +000101010111 +000101101000 +001001101000 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000110011 +000100100001 +000101000100 +000101000100 +010001000010 +011101010010 +100001010001 +011000110000 +001100100000 +001100100000 +011101000001 +100001010001 +100101010001 +100101010001 +100101010010 +101001100010 +100101100001 +100101100010 +100101010001 +100101010010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011001000001 +011001000001 +011001000001 +011101010001 +100001010001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110000 +010100100000 +001100010000 +001000010000 +010001000011 +000101010101 +000000110101 +000100110111 +001001011010 +001010001011 +001010011100 +001101111000 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001001000101 +000000100011 +000100100011 +000000100010 +000000100001 +001000100000 +001100100000 +001100010000 +001100010000 +001000000000 +001000010000 +011001000001 +011101000001 +010100110000 +010000110000 +001100100000 +010000110000 +010000110001 +001100100000 +001100100000 +010000110001 +011001010001 +011001000001 +010100110000 +011001000001 +100001100010 +100001100010 +100001110010 +100001110010 +100001110011 +100001110011 +100001100010 +100001100010 +011101100001 +011101100010 +011101100011 +100001110011 +100001110011 +100001110100 +100001110011 +011101010010 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101000001 +011000110001 +010000110001 +001000010000 +000100000000 +001100100000 +011001000001 +010000110001 +001100110001 +000000100011 +000000110100 +000000100100 +000000110100 +000000110100 +000000100011 +000000100011 +000000110100 +000000110101 +000000110100 +000000100011 +000000110101 +000101010111 +000001000111 +001110001011 +001001011000 +001000110011 +001101000100 +001101000100 +001101000100 +011101110110 +011101100110 +011101110111 +100010001000 +100010001000 +011101111000 +011101110111 +011101111000 +011110001000 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +011110001000 +011101110111 +011101110111 +100001110111 +011101100101 +011101100101 +011101110110 +011101100110 +011101100101 +011101100110 +100001110111 +100001110111 +011101100101 +011101100100 +011101100100 +011101100101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +100001110110 +011101100110 +011101100101 +100001110110 +011101100101 +010000110011 +100001110111 +100010001000 +100010000111 +010000110010 +010000110010 +011001010100 +010101000011 +011001010011 +011101100101 +010101010100 +000100010001 +000100010001 +001000010001 +001100100010 +010101000010 +011101010100 +011101100100 +011001010100 +011001010011 +011101100101 +011101100101 +011001010011 +011001000011 +011001000011 +001000010001 +000100000000 +001000010001 +000100000000 +000100010001 +000100000000 +001100100001 +010101000011 +010101010100 +001100110010 +001100110010 +001100100010 +001100110010 +001100100010 +010000110010 +010000110011 +010000110011 +010000110010 +001100100010 +001100100001 +001100100010 +010000110010 +010000110010 +010000110010 +010000110010 +001100100010 +010000110010 +010000110010 +010101000011 +100101110101 +101010000101 +101110010110 +101110010110 +101110010110 +110010100111 +101110100111 +101110100111 +110010111000 +101110100111 +110010111000 +110010111000 +101010010111 +100110000110 +011101100101 +010101000010 +001100110001 +001100110010 +001100110010 +010001000011 +010101010100 +010101010100 +001100100001 +010000110001 +001000100000 +010000100001 +011001000001 +010100110001 +010100110000 +010000100000 +010000100000 +010000100000 +001100100000 +001100100000 +010000100000 +010100100000 +010100100000 +010100100000 +010100100000 +011000110000 +011101000001 +011100110001 +011000110000 +010100110000 +010000100000 +001100010000 +000100010000 +000100010001 +000000010001 +000000010010 +000000010011 +000100100100 +000000100011 +000100010010 +000100010011 +000100100011 +001000110100 +001000100011 +000100010001 +000100100010 +000100010001 +001100110011 +001101000100 +001000100001 +001000010000 +011001000001 +011101010001 +011001000001 +011101000001 +011101000001 +011001000001 +011000110001 +011000110001 +011000110001 +011000110000 +010000110001 +001000110010 +000100110010 +000100110011 +000000110011 +000100110011 +000100110011 +000100110010 +000000100010 +000000100011 +000100110011 +000100100010 +000000100010 +000000100010 +000100100011 +000100010010 +000000100011 +000100110101 +000101000101 +000000110100 +000001000110 +000001000101 +000001000110 +000101010110 +000101000110 +000101101000 +001001101000 +001000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100001 +001000110011 +000100100001 +000101000100 +000101000100 +001101000010 +011001010010 +100001010001 +011001000001 +010000100000 +001100010000 +011101000001 +100001010001 +100101010001 +100101010001 +100101010001 +100101100001 +100101100001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101010001 +100001010001 +011101000001 +011001000001 +011101010001 +011101000001 +011101000001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +010100110000 +001100010000 +001000010000 +010101000011 +000101000101 +000000110100 +000101000111 +001001011010 +001001111011 +001010011100 +001101111000 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000110100 +000000100011 +000000100010 +000000110011 +000000100001 +001000010000 +001100100000 +001100100000 +001100010000 +001000000000 +001000000000 +011000110001 +011001000001 +010100110000 +010100110001 +001100100000 +010000100000 +010000110000 +001100100000 +001100010000 +010000100000 +011001000001 +011001000001 +010100110000 +011001000001 +011101100010 +100001100010 +011101010010 +011101100001 +011101100010 +011101100010 +011101010010 +011101010001 +011101010001 +011101100010 +100001110011 +100001110010 +100001100010 +100001100010 +100001100010 +011101010001 +100001010010 +100001010001 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +001100100000 +001000010000 +000100000000 +001000010000 +010101000010 +010101000010 +001100100001 +000000110011 +000000110100 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000100100 +000000110101 +000000100100 +000000100011 +000000110101 +000000110110 +000001010111 +001110001011 +001101111010 +001001000100 +001101000100 +001101000100 +001101000100 +011101100110 +011101100110 +011101110110 +011101110111 +100010001000 +011101111000 +011101110111 +011101111000 +011110001000 +011101111000 +011101110111 +011101110111 +011110001000 +100010001000 +100001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +011110001000 +011110001000 +100010001001 +100010001001 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +011110001000 +100010001001 +011101110111 +011101110110 +011101110111 +100001110111 +100001110111 +100001110111 +100010001000 +011101100110 +011101100101 +011101110110 +100010000111 +100010001000 +100001110110 +011101100101 +011101100101 +011101100101 +011101110110 +100001110110 +100001100101 +011101100100 +011101010011 +100001100100 +100001100100 +011101010100 +011101100101 +011001010101 +011101100101 +100001110110 +100001110111 +011101100110 +011101110110 +100001110110 +100001110110 +001100110010 +001100110010 +011101100101 +011101100101 +010101000011 +010101000011 +010101000011 +000100000001 +000000000000 +001000010001 +010000110010 +010000110010 +010101000010 +011001010100 +011001010100 +011001010100 +011101110111 +011101110111 +011001100101 +011001010011 +011101010011 +001000010000 +000100010001 +000100010001 +000000000000 +000100000000 +001100100001 +010000110010 +010101000011 +010101000011 +010000110010 +001100110010 +001000100001 +001000100001 +001000010001 +001100100010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010101000011 +010000110011 +010101000011 +010000110011 +011101010100 +101010010110 +101010010110 +101010000110 +101110010110 +101010000110 +101010000110 +101110100111 +101110100111 +110010101000 +110010100111 +110010100111 +101110100111 +110010100111 +101110010110 +101110100111 +101110010110 +101010000101 +100110000110 +100110000111 +011101110101 +011101110110 +011101110110 +010101010100 +001100110010 +001000100001 +001100100010 +001100110010 +001100100001 +001000100000 +001000010000 +001100010000 +001100100000 +001100100000 +010000100000 +010000100000 +010100100000 +010100100000 +011100110001 +011000110001 +010101000010 +011101000001 +011000110001 +011000110000 +010100100000 +001100100000 +001000010000 +000100010001 +000000010001 +000100010001 +000000010010 +000000010010 +000100100011 +000100010010 +000000010010 +000100010010 +000100100011 +000100110100 +000100100100 +001000100010 +001000100010 +001000100011 +010001010101 +001101000100 +001100110011 +000100010001 +001100100000 +011101010001 +011101000001 +011101000001 +011101000001 +011001000001 +010100110000 +011000110000 +011000110001 +011000110000 +010100110001 +001100110001 +001000110011 +000100110011 +000100110011 +001000110010 +010000110001 +000100100010 +000000100011 +000100110011 +000100110100 +000000100011 +000000100010 +000100110011 +000000100010 +000100010011 +000000010010 +000000100011 +000000110100 +000000110100 +000101000101 +000001000110 +000001010110 +000101010110 +000101000110 +000101101000 +001001101000 +001001000100 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +000100100001 +000100110010 +000100110011 +000100110010 +010101000010 +100001010001 +011101000001 +010000100000 +001100010000 +011101000001 +011101000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101000001 +011101010001 +011101010010 +011101010001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +010100110000 +001100010000 +001000010000 +010101000010 +000101000101 +000000110100 +000100110111 +001001101010 +001001111011 +001110011100 +001101101000 +001000100010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000110011 +000000010010 +000000100010 +000000100010 +000100100001 +001100100000 +010000100000 +001100100000 +001100010000 +001000000000 +000100000000 +011001000001 +011101000001 +011000110000 +010100110001 +001100100000 +001100100000 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000110000 +010100110001 +011001000001 +011101010001 +011001010001 +010101000001 +010101000001 +011001000001 +011001000001 +011001000001 +011101010001 +011101010001 +011101100010 +100001110010 +100001100010 +011101010001 +011101010001 +100001010010 +011101010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +001100100000 +001000010000 +000100000000 +001100100000 +010101000011 +010001010101 +001100110010 +000000100011 +000000100011 +000000100011 +000000100100 +000000100100 +000000100100 +000000100100 +000000100100 +000000110100 +000000100100 +000000100011 +000000110100 +000000110101 +000101101000 +001110011100 +001110001011 +001001000100 +001101000100 +001101000100 +001101000100 +011101111000 +011101110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101110111 +011101111000 +100010001000 +100010001000 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011110001000 +100010001001 +011110001001 +011110001000 +011110001001 +011110001000 +011101110111 +100001110110 +100001110111 +100010001000 +011101110110 +011101100110 +011101100101 +011101110110 +100010000111 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101100101 +011101110110 +100001110110 +011101100101 +100001110110 +011101100101 +011101010100 +011101100100 +011101010100 +011101100100 +011101100100 +011101100101 +100001110110 +100001110111 +100010000111 +100001110110 +011101100101 +011101100101 +011001010100 +011101100101 +011101100101 +011101100101 +010101000011 +000100000000 +001100100010 +001000010001 +000100010000 +010000110011 +010101010100 +010101000011 +010001000011 +011001100101 +011001010101 +011101110110 +011110001001 +011110001000 +011101111000 +011001100101 +011101010011 +001100100001 +000100010001 +000000000001 +000000000000 +000100010000 +010000110010 +010101000011 +011001010100 +011001010011 +011001000011 +010101000011 +010000110011 +010000110010 +010000110010 +010000110010 +001100100010 +001000100001 +001000010001 +001100100010 +001100100010 +001100100010 +001100100011 +001100100010 +010000110011 +010101000011 +010101000011 +011001000100 +011001010100 +101010000110 +101110010110 +101110010110 +101010000110 +101010000110 +101010000110 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +110010111000 +101110010111 +110010100111 +110010100111 +101110100110 +110010100111 +101110010110 +101110010110 +110010100111 +110010110111 +110010111000 +011101100101 +010000110100 +001100110011 +001000100011 +001000100010 +001000010001 +001000100010 +000100010001 +000100000000 +001000010001 +001000010000 +001000010000 +001100100000 +001100100000 +010000110000 +010100110001 +011101000001 +010000110010 +001100100010 +010100110001 +010100110000 +010100100000 +010000100000 +010000100000 +001100100001 +000100100001 +000100100001 +000100010001 +000100010010 +000100010011 +000000100011 +000100010010 +000000010010 +000100010010 +000100100011 +000100100100 +000100110101 +010001010110 +010101010110 +010101100110 +010001010101 +001101000101 +010001000100 +001100110011 +000100010000 +010100110001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110001 +010000110001 +010000110001 +010000110001 +010100110001 +010100110000 +001000100001 +000000100011 +000000110011 +000100110100 +000000100011 +000000100011 +000101000101 +000100100011 +000100100011 +000000010001 +000000010010 +000000100011 +000000100100 +000101000101 +000001000110 +000001010110 +000101000110 +000001000110 +000101101000 +001001101000 +001001000100 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110001 +000000010000 +000100110011 +000000110011 +001100110001 +011101010001 +011101000001 +010100110001 +001000010000 +011000110001 +011101000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101100010 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011101010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110000 +001100010000 +001000010000 +010001000010 +000101000100 +000000100011 +000000110111 +001001101010 +001010001011 +001110011100 +001101100111 +001000110010 +001100110011 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100011 +000000010001 +000000100010 +000000010001 +001000100001 +010100110000 +010000100000 +010000100000 +001100010000 +001000000000 +001000000000 +011000110001 +011101000001 +011001000001 +010000100000 +001100010000 +001000010000 +001100100000 +001100100000 +010000100000 +001100100000 +001100100000 +010100110001 +010100110001 +011001000001 +011001000001 +011001000001 +010000110000 +010000110000 +010100110001 +010100110000 +010100110000 +011001000001 +011001010001 +011001000001 +011101100010 +011101010001 +011001000001 +011101010001 +011101010001 +011101010001 +011101010001 +011101000001 +011101000001 +011101010001 +011101000001 +010100110001 +010000100000 +001100010000 +001000010000 +000100000000 +001000010000 +010001000011 +010001010110 +010001010101 +000100100100 +000000100011 +000000100011 +000000100100 +000000100100 +000000110100 +000000110100 +000000100100 +000000110100 +000000110100 +000000100100 +000000110101 +000000110110 +001001111010 +001110011100 +001110001010 +001001000100 +001101000100 +001101000100 +001101000100 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +100001110111 +100001110111 +011101110111 +011110001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +011101110111 +100001110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +011101110111 +011101110111 +100010001000 +100010001000 +100010001001 +100010011001 +100010001001 +011110001000 +011101100110 +011101100110 +100010001000 +011101110110 +011001010011 +011101100100 +100001110111 +100001110111 +011101110110 +011101100101 +011101100101 +100001110110 +100001110110 +100001110101 +100001110110 +100010000111 +100001110110 +100001110101 +100001100101 +100001100101 +100001100100 +011101010011 +011101010011 +011101010100 +011101010100 +011101100101 +100001110110 +100001110110 +100001110110 +011101100101 +011101100100 +100001110110 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011101100101 +001100100010 +000100010000 +011101100101 +011001100101 +011101100110 +011001100101 +011001100110 +011101110110 +011101110111 +011101111000 +010101010110 +011110001000 +100010001000 +011101100101 +010101010100 +000100010001 +000000000000 +000100010000 +001000100001 +010000110010 +010101000010 +011001010011 +011001010011 +010101000010 +010101000011 +011001010100 +011001010100 +011001010011 +010101000011 +010101000011 +010000110010 +001100100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000010001 +001100100010 +001000010001 +001100100010 +010000110011 +011101010100 +101110010111 +101110010111 +101110010111 +101110010110 +101110010110 +101010000101 +101110010110 +101010000101 +101110010111 +110010100111 +101110100111 +110010101000 +110110111000 +110010111000 +110010111000 +110010101000 +110010111000 +110010111000 +110010100111 +101110010110 +101110010110 +100110000101 +010101000011 +001100110011 +001000100011 +001000100011 +001000100010 +000100010001 +001000100010 +000100010010 +001000010010 +001000100010 +001000100010 +000100000000 +000100000000 +001000010000 +001100100001 +010101000010 +010000100001 +001100100010 +001000100010 +001000010001 +001100100000 +001100100000 +001100010000 +011000110001 +010100110001 +001100110001 +001000100001 +000100100001 +000100010001 +000100010011 +000100100100 +000100010011 +000100010100 +000100010010 +000100010010 +000100100100 +000100100100 +010001010110 +010101010110 +010001010101 +010001000100 +010001000100 +010001000100 +001100110011 +000100010001 +001100100000 +011001000001 +011101000001 +011000110000 +011000110001 +011101000001 +011001000001 +011001000001 +011000110000 +011000110000 +011000110000 +011000110000 +010100110000 +010100110000 +011000110000 +010100110000 +001100100001 +000100100010 +000000110011 +000000110100 +000000100011 +000000100011 +000100110100 +000000010010 +000100100011 +000000010010 +000000010010 +000000010010 +000000100011 +000100110101 +000001000101 +000001000110 +000101000101 +000001000110 +000101101000 +001001101000 +001101010101 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110001 +001100110010 +000100010000 +000100100010 +000000110011 +000100100001 +010000110001 +011001000001 +010100110001 +001000010000 +010100100000 +011101000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101100010 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011001000001 +011101000001 +011000110000 +011101000001 +011101000001 +011101000001 +011000110000 +011101000001 +011101000001 +100001010010 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011000110000 +001100010000 +001000010000 +010001000010 +000101000100 +000000100010 +000101000111 +001001101011 +001010001011 +001110011100 +001001010110 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100011 +000000010010 +000000100010 +000000100010 +001000100001 +010000110001 +010100110000 +010000100000 +001100010000 +000100000000 +001000000000 +011000110001 +011000110000 +011000110001 +010100110001 +001100100000 +001000010000 +001000010000 +001100100000 +010100110001 +010000100000 +010000110000 +010000100000 +010100110000 +011001000001 +011001000001 +011001000001 +010100110000 +010000100000 +010000110000 +010000110000 +010000110001 +011001000001 +011001000001 +011001000001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +010100110000 +001100100000 +001100010000 +001000010000 +001000000000 +000100010000 +001100110010 +010001000101 +010001010110 +000100100011 +000000010011 +000000100011 +000000100100 +000000100100 +000000110101 +000000110101 +000000110100 +000000110100 +000000110101 +000000110100 +000000110101 +000001000110 +001010001011 +001010011100 +001001111001 +001001000100 +001101000011 +001101000100 +001101000100 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +100010000111 +100001110111 +011101110111 +011110001000 +100010001000 +011101110111 +100010001000 +100010001000 +011101111000 +011110001000 +100010001001 +100010001000 +100001110111 +011101110111 +100001111000 +011101111000 +100010001000 +100010001001 +100010001000 +100001110111 +011101110111 +100001110111 +011101110111 +100010011001 +100010011001 +100010001001 +011110000111 +100001110110 +100001110111 +100010001001 +011101110111 +011101010100 +011101110110 +100010001000 +100010001000 +011110001000 +011110001000 +011101110110 +011101110110 +011101100100 +011101100100 +100001110110 +100001110111 +100001110110 +011101100100 +011101100101 +011101010011 +011101010011 +011001000011 +011101010011 +011101010011 +011101010011 +011101100100 +100001100101 +011101110101 +100001110101 +011101100101 +100010000111 +100001110110 +100001110110 +011101110110 +100001110110 +011101100101 +100001110101 +100001110101 +010101000011 +000000000000 +010000110010 +011101100110 +100001110111 +011001010101 +011001100110 +011101110111 +011001110111 +011110001000 +010101010101 +011001100110 +011001100110 +011101110110 +011001010101 +000100010001 +000000000000 +001000100001 +010000110010 +010000110010 +010101000011 +011001000011 +011001010100 +011001010101 +011001100110 +011101100110 +011001010100 +011001000011 +011001000011 +011101010100 +011101010100 +011001000011 +010101000011 +010000110010 +010000110010 +010000100001 +001000010000 +001000010001 +000100010000 +000100010000 +001000100001 +001100100001 +010100110010 +011101010100 +100001100100 +100101110101 +101010000110 +101010000110 +101110010110 +101110010110 +110010100111 +110010101000 +110010101000 +101110100111 +101110100111 +101110100111 +110010111000 +110110111000 +110110111000 +110010100111 +110010110111 +110010100110 +110010100111 +011101010100 +010001000011 +001000100010 +001000100010 +001000100011 +001000100010 +001000010010 +001000100010 +001100110011 +001000100010 +000100010001 +000100010001 +000100010000 +000100010000 +001100100001 +001100110010 +001000100010 +001000010010 +001000100010 +000100010001 +000100010001 +000100010000 +001000010000 +001100100000 +011101000001 +010100110000 +010000100001 +001000100001 +000000010000 +000100010000 +000100010001 +000100100100 +000100010100 +000100100100 +000100010011 +000100010011 +000100100011 +000100100011 +001101000110 +010001000100 +010001000011 +001101000011 +001101000011 +010001000011 +001100110010 +001000100001 +001000100000 +010101000001 +011101000001 +011101000001 +011000110000 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +011000110001 +010000110001 +000100100010 +000000100011 +000000110011 +000000100011 +000000100011 +000000100011 +000000010001 +000000100010 +000000100011 +000000010010 +000000010010 +000000100011 +000000100100 +000001000101 +000001000110 +000001000110 +000001000110 +000101101000 +001001101000 +001101010101 +001000100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110001 +001100100001 +000100010001 +000000010001 +000100110011 +000000100010 +000100100000 +010000110001 +010000110000 +001000010000 +001100100000 +011101000001 +011101000001 +100001010001 +100101010001 +100001010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +100001000001 +011101000001 +011101000001 +100001010001 +011101000001 +011001000001 +011101000001 +011001000001 +011000110000 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110000 +001100010000 +001000010000 +010001000010 +000100110011 +000000100010 +000001000110 +000101101010 +001001111011 +001110001100 +001001000101 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100011 +000000010010 +000000100010 +000000100010 +001100100001 +010100110000 +010100110000 +010000100000 +001100010000 +000100000000 +001100010000 +011000110001 +010100110000 +010100110000 +010100110001 +001100100000 +001100100000 +001100100001 +001100100000 +010100110001 +010100110000 +011000110001 +010100110000 +010000110000 +011000110001 +011001000001 +011001000001 +010100110000 +010000110000 +010000110000 +010000110000 +010000110001 +010100110001 +011001000001 +011001000001 +011101000001 +011001000001 +010100110000 +010100110000 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +010100110000 +010000110000 +010000100000 +001100100000 +001100010000 +001000000000 +000100010000 +001100110010 +010001000101 +001101000101 +000100010011 +000000010011 +000000100011 +000000100100 +000000100100 +000000110101 +000001000101 +000000110100 +000000110100 +000000110101 +000000110101 +000000110101 +000101010111 +001010001011 +001010001011 +001101111010 +001001000100 +001101000100 +001101000100 +001101000100 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001001 +100010001000 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001001 +100010001000 +100010001000 +100001111000 +011101110111 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001001 +100010001001 +100010000111 +011101100110 +011110001000 +100010001001 +100010001000 +100001110111 +011110001000 +100010001001 +100001110111 +011110001000 +011110001001 +100010011001 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +011101010100 +011101100100 +100001100101 +011101010100 +011101010010 +011001010011 +011001000010 +011001000010 +011101100100 +100001100101 +100001110101 +100001110110 +011101100100 +011101010100 +100001110110 +100001110111 +100001110110 +100001110110 +100001110110 +100001110111 +100001110110 +011101010100 +011001000011 +001000100001 +000000000000 +001100100010 +011001010101 +011101100110 +011101111000 +100010001000 +100010001000 +100010011001 +100010001001 +011110001000 +011001100110 +011001100110 +011001010101 +000100010000 +000000000000 +001100110010 +010000110010 +010101010100 +011001100101 +011101110111 +011101110111 +011001110111 +011001110111 +011101110110 +011001010011 +010100110001 +011001000010 +011101010011 +011101010011 +011001010011 +011101100100 +011001010011 +010101000010 +010101000011 +010100110010 +001100100001 +001100100001 +001000100001 +001100100001 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +010000110010 +010101000011 +011101100100 +100101110101 +100110000110 +101010010110 +101110100111 +110010110111 +101110100111 +101110010111 +110010100111 +101110100111 +101110010110 +101110010111 +110010100111 +110010100111 +101010010110 +011001000100 +001000100010 +000100010010 +000100010010 +001000100010 +001000100011 +001000100010 +000100010001 +000100010001 +000100000000 +000100010000 +001000010001 +001000010000 +001000010001 +001100110011 +001000100010 +001000100010 +001000100010 +001000100011 +001000010010 +000100010001 +000000010001 +000100010001 +001100100001 +010000110010 +001100100010 +001000100010 +001100110011 +001000110011 +000100010000 +000100010001 +000100010011 +000100100100 +000100100100 +000100010011 +000100100011 +000100100100 +000100100011 +001101000101 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100001 +001000100001 +001100100000 +011101000001 +100001000001 +011001000001 +010100110000 +011000110001 +011001000001 +011000110001 +011001000001 +011000110001 +010100110000 +010100110000 +011000110001 +011000110000 +011000110000 +010100110001 +001000110010 +000000110100 +000000110100 +000000110011 +000000110100 +000000110011 +000000010001 +000000010010 +000000100011 +000000010010 +000000010010 +000000100011 +000000100011 +000000110100 +000001000101 +000001000110 +000001000110 +000101010111 +000101101000 +001001010101 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100010 +001100100010 +001100110010 +001100100010 +001000100001 +001000100001 +000100010001 +000000000000 +000100110011 +000000100011 +000000100010 +001100110001 +001100100000 +001000010000 +001000010000 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100101010001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +100001010001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +011101000001 +011101000001 +011101000001 +100001000001 +100001010001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +010100100000 +001000010000 +001100010000 +010001000010 +000100110011 +000000010001 +000100110110 +000101101010 +001001111011 +001101111010 +000100110100 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001100110011 +001000100011 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +000000010001 +000000100011 +000000100001 +001100100000 +010000110000 +010100110000 +010000100000 +001100010000 +000100000000 +010000100000 +011001000001 +011000110001 +010100110000 +010000110000 +010000100000 +001100010000 +001100010000 +001100100000 +010000110000 +010100110000 +011001000001 +011001000001 +010100110000 +010100110000 +010100110000 +011000110001 +010100110000 +010000100000 +010000110000 +010100110001 +010000110000 +010000110000 +010100110000 +010100110001 +011001000001 +010101000001 +010100110000 +010100110000 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +010100110001 +010000110000 +010000100000 +001100100000 +001100010000 +001000000000 +000100010000 +001100110010 +010001010101 +001000110011 +000000010011 +000000010011 +000000100011 +000000100100 +000000100100 +000000110101 +000001000101 +000000110101 +000000110101 +000000110100 +000000110101 +000000110101 +000101101000 +001010001011 +001010001011 +001110001010 +001001000100 +001101000100 +001101000100 +001101000100 +011101110111 +011101110110 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +100001111000 +011110001000 +100010001000 +100010001000 +011101110111 +011101110111 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011110000111 +011110001001 +011110001001 +100010001000 +100010001000 +100010001000 +011001100100 +011110000111 +100010001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010000111 +100010000111 +011101100101 +011001000011 +011101100100 +011101010011 +011101100100 +100001110110 +011101010011 +011101010011 +100001110111 +100001110110 +011101110101 +100010000111 +011101100101 +011001000011 +011101100100 +100001110111 +100001110110 +100001110110 +100001110110 +100010000111 +011101100101 +011101100100 +011001000011 +011101100101 +010101010100 +010101010100 +011001010100 +011101110110 +011110001000 +011101110111 +011101110110 +100010001000 +100010001001 +100010001001 +100010011001 +100010001001 +011001010101 +000100000000 +010101010100 +011001010100 +010000110010 +001100100010 +010001000011 +011001100110 +011101111000 +011101110111 +011001110111 +011101111000 +011101110111 +011001010100 +011001000011 +011101010011 +011001000011 +011101010100 +100001110110 +011001010011 +011001000010 +010101000010 +011001010011 +011001010011 +010101000011 +010100110010 +010100110010 +010000100010 +010000110010 +010000110010 +001100100001 +001000100001 +001000010001 +001000010001 +001100100010 +001100100001 +010000110011 +011001010100 +011101010100 +100101110101 +101010000110 +101110010110 +101110100110 +101110100110 +110010100111 +110010100111 +110010100110 +110010100111 +100001100101 +010000110011 +001100100011 +001000100011 +000100010001 +001000100010 +001000100010 +000100010001 +000100000000 +000100010000 +001000010001 +001000010001 +001100100010 +001100100010 +001100100010 +001100100010 +000100010001 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +000100000000 +001000010001 +000100010001 +000100010000 +000000010001 +000100100011 +000100010100 +000100100100 +000100100100 +001000100101 +000000010011 +001000110011 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +010100110001 +011101010001 +011001000001 +010100110001 +010000110000 +011000110001 +011000110001 +011000110000 +011000110001 +011000110001 +011000110001 +010100110000 +010100110000 +010100110001 +010100110000 +001100110001 +000100110011 +000000110100 +000000110100 +000100110100 +000000110011 +000000010010 +000000100010 +000000100011 +000000010010 +000000010010 +000000010010 +000100100011 +000000010011 +000000110100 +000101000101 +000001000110 +000101010111 +000101010111 +001001010101 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000000000000 +000000010010 +000000100011 +000000100010 +001000100001 +010000110001 +000100010000 +000100000000 +011000110001 +100001000001 +100001000001 +100001010001 +100001010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +100001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +010100100000 +001000010000 +001100100000 +001000110010 +000100110011 +000000010001 +000100110110 +000101011001 +001001111100 +001101111001 +000100100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +000100010001 +000000010001 +000000100001 +001000100001 +010000100000 +010100110000 +010000100000 +001000010000 +001000000000 +010100110000 +011101000001 +011000110001 +011000110000 +010000100000 +010000110001 +010000100000 +001100100000 +010000110001 +010000110000 +010100110000 +011000110001 +011001000001 +011000110001 +010000110000 +010000100000 +010000110000 +010000110000 +010000110000 +010000100000 +010000100000 +010000110000 +010000110000 +010000100000 +010000100000 +010000100000 +010000110000 +010000110000 +010100110000 +010100110000 +011000110001 +011000110001 +011000110001 +010100110001 +010100110001 +010000110000 +010000100000 +010000110000 +010000100000 +001000010000 +001000000000 +000100010000 +001000100001 +001101000011 +001000110011 +000000010011 +000000010100 +000000100011 +000000100100 +000000100101 +000000110101 +000000110101 +000000110101 +000000110101 +000000110100 +000001000110 +000001000110 +000101111001 +001010001011 +000101111010 +001101111001 +001001000100 +001101000011 +001101000100 +001101000100 +011101110110 +011101100110 +011101110111 +011101111000 +011110001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +100001110111 +100001110111 +100001110111 +011101110111 +100010001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100001111000 +011110001000 +100010001001 +100010001000 +011101100110 +011101100101 +011101110110 +011101100101 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101100110 +011101100101 +011101100100 +011101010100 +100001110111 +011101010011 +011001000011 +100001110110 +100110001000 +011101100101 +011101110110 +100001110110 +011001010011 +011101010011 +011101100101 +011101100101 +100001110110 +100001110111 +100010000111 +011001010100 +011101100101 +011101010100 +011101100101 +011001010100 +011101110110 +100010000111 +100010000111 +011110000111 +011001010101 +011001000010 +011101110110 +100010000111 +011110001000 +100010011001 +100010001000 +010101010101 +000000000000 +011001100101 +011101100101 +011101100110 +010101000100 +010000110011 +011101111000 +011101110111 +011001100111 +011101110111 +011001100111 +011101110111 +011101110111 +100010001000 +100110001000 +011001000011 +011001000011 +100010001000 +011101111000 +011001000011 +011001000010 +010100110010 +010100110010 +011001000011 +010100110010 +011001010011 +010101000010 +010101000010 +011001010100 +011001010011 +011001010100 +010101000011 +010000110010 +001100100001 +010001000011 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +011001010100 +011001010100 +011101100100 +100110000101 +101010010110 +101110100111 +101010000101 +010101000100 +001000100011 +001100110100 +001000100011 +001000010010 +001000100010 +000100010001 +001000010001 +001100100010 +001100100010 +001100100001 +001000100001 +001000010010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000110011 +001100110011 +001000100010 +000000000000 +001000010001 +000100010000 +000100010001 +000100010001 +000100010001 +000000000000 +000100010010 +000100010011 +001000100100 +000100010011 +001000110101 +000100100011 +001000100010 +001100100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001100100001 +011001000001 +011000110001 +010100110010 +010001000010 +001100100000 +010100110001 +011001000001 +011001000001 +011101000001 +011000110001 +010100110000 +010100110000 +010100110001 +010100110000 +010000110001 +001000100010 +000000110011 +000000110100 +000100110100 +000000110011 +000000100010 +000000100011 +000000100011 +000000010010 +000000010010 +000000010010 +000000010010 +000000010010 +000000010010 +000100110101 +000001000110 +000101010111 +000101010111 +001001000101 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +000100010001 +000000000000 +000000100010 +000100100010 +001000010001 +001000100000 +001000010000 +000100000000 +010100110001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011101000001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +011101000001 +010100100000 +001000000000 +001100010000 +001000110010 +000000100011 +000000010001 +000100110110 +000101011001 +001001111100 +001001010111 +000100100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +001000100001 +000000010000 +000000100001 +001000100001 +010000110000 +010000100000 +001100100000 +001000010000 +001000000000 +010100110001 +011101000001 +011001000001 +011000110000 +010100110001 +010000100000 +010100110001 +001100100000 +010000100000 +010100110001 +010100110000 +010100110000 +011001000001 +011001000001 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000110000 +010000110000 +001100100000 +001100010000 +010000100000 +010000100000 +010000110000 +010100110000 +010100110000 +010100110000 +011000110001 +010100110001 +010000100000 +010000100000 +010000110000 +010000110000 +010000100000 +001000010000 +001000000000 +000100010000 +001000100010 +010001000100 +001000100011 +000000010011 +000000010100 +000000100100 +000000100100 +000000110101 +000000110101 +000001000101 +000000110101 +000000110101 +000000110101 +000001000110 +000001000110 +001010001010 +000101101001 +000101101001 +001001101000 +001001000100 +001101000011 +001101000100 +001101000100 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011101111000 +011101110111 +011101110111 +011101111000 +011110001000 +011101110111 +011101110110 +011101110111 +011101111000 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +100001110111 +011101110111 +011101110111 +100001111000 +100001111000 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010011001 +011101110110 +011101010011 +011101100100 +011101100101 +011101110110 +011101110111 +100001110111 +011101110110 +011101110110 +100010001000 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110110 +011101100101 +011101110110 +011101100101 +011101010011 +011101100101 +100010011001 +100010000111 +011101110111 +100010001000 +011101010100 +011000110010 +011001000011 +011101100101 +100001110110 +100001110110 +100010000111 +011101110110 +011101100101 +011101100101 +100010000111 +011001010011 +011101110101 +011101100110 +100001110110 +100010000111 +011101110110 +011001010011 +011101100100 +100010000111 +100010001001 +100010011001 +100010001000 +011101110111 +001000100010 +000100010001 +001100100001 +010101010100 +011001010101 +011101100101 +100010001000 +100010001001 +011110001000 +100010011001 +011110001000 +011101110111 +011001010101 +011101100110 +011101100101 +011001000011 +010100110010 +100001110111 +011110001000 +011101110111 +011101100100 +011001000011 +010100110010 +011101100101 +011001000011 +011101100100 +100001110110 +011101100101 +011101100101 +011001010011 +011001010011 +011001010100 +011001010100 +010101000011 +010101000011 +010000110010 +010101000010 +010101000011 +010000110011 +010101000011 +010101000100 +010101000011 +010000110010 +010000110011 +010100110011 +010101000011 +010101000011 +010000110100 +001000110100 +001000100011 +001100110011 +001000100010 +000100000000 +001000100001 +001100110010 +010000110011 +010000110011 +001100110011 +000100010010 +000100000001 +001000100010 +001000100010 +001000100010 +001000100010 +000100010001 +000000000000 +000000000000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +001000100001 +001000010001 +001000100001 +001100110010 +010001000100 +010001000100 +001100110011 +001100110010 +001000100011 +001000100100 +000100100100 +000100010011 +001000100100 +000100100100 +000100010001 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +010000110001 +010100110001 +001100100000 +010101000011 +001000100001 +001100100001 +010100110001 +011000110001 +011001000001 +011001000001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +001100110010 +000000110100 +000000110100 +000000110100 +000000110100 +000000100011 +000000100011 +000000100010 +000000010010 +000000100011 +000100100100 +000000010010 +000000010010 +000000010010 +000000010010 +000000110101 +000101010111 +000101010111 +000100110101 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100001 +000100010001 +000000010010 +000100100001 +001000100001 +001000010000 +001000100001 +000100000000 +001100100000 +100001010001 +100001010001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001000001 +100001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011001000001 +011101000001 +011000110001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011101000001 +010000110000 +000100000000 +001000100000 +001000110011 +000000100011 +000000010001 +000100110101 +000101011001 +001001111011 +001001000110 +000100010010 +001000010001 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +000100100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100001 +000100010000 +000000010001 +000000010001 +001100100001 +001100100000 +001100100000 +001000010000 +001100010000 +011001000001 +011101000001 +011001000001 +011000110000 +011001000001 +010000100000 +010100110000 +001100100000 +001100100000 +010000110000 +010000110000 +010100110000 +011001000001 +010100110000 +010000110000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +011000110001 +010100110000 +010000110000 +010000100000 +010000100000 +010000110000 +010100110001 +010000100000 +001000010000 +000100000000 +000100010001 +001000110011 +010001010101 +001000100010 +000000010010 +000000010100 +000000100100 +000000100101 +000000110101 +000000110110 +000000110101 +000000110110 +000000110101 +000001000110 +000001000110 +000001010111 +001010001011 +000001000111 +001001101001 +001001101000 +001001000100 +001101000100 +001101000011 +001101000100 +011101111000 +011101111000 +011101111000 +011110001000 +011101111000 +011110001000 +011101110111 +011101110111 +011101111000 +011110001000 +011101110111 +011101110111 +011101111000 +011101111000 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +100010001000 +100001110111 +011101110111 +011101110111 +100001111000 +100010001000 +011110001000 +011110001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +011110001001 +100010001001 +100010001000 +100001110111 +011101110111 +100010001001 +100001110111 +011101010100 +011101100100 +011101100100 +011101110110 +100010001000 +100010001000 +011101100101 +011101100100 +011101110111 +100001110111 +100001110111 +100001110111 +100001110110 +011101110110 +100010000111 +100010000111 +100010000111 +100001110111 +100001110101 +011001100101 +100010001000 +100010011001 +100110011001 +100010001000 +100001110110 +011000110010 +011101000010 +011101010011 +100001100101 +100001110110 +100010001000 +100010001000 +100001110110 +100001110110 +100110001000 +011001010100 +100001110110 +011101110101 +011001010011 +011001010100 +011101100101 +011101100101 +011001010100 +011101110110 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011001100110 +011001010101 +011001100101 +011001010100 +011001010101 +100010001000 +100010001001 +011110001000 +100010011001 +100010001000 +100010001001 +100010001000 +100110011001 +011101110110 +011101110110 +011101100101 +011101100101 +011001010101 +011101110111 +100010001000 +100001110110 +011101100101 +100001110110 +011101100101 +100001110110 +011101110110 +011101100101 +011101100101 +011001010100 +010101000011 +010101000010 +011001000011 +011001000011 +011001010100 +011001000011 +010101000011 +010101000011 +010101000011 +011001010100 +010101000011 +010100110010 +010101000011 +010000110010 +010000110010 +010000110011 +010001000100 +001100110100 +000100100011 +000100010010 +001000010010 +001000100010 +001000100010 +001100110010 +001100100001 +001100100001 +010000110100 +001000100011 +000100010001 +001000100010 +001000100010 +000100010001 +001000010001 +000100000000 +000000000000 +000000000000 +000100010001 +001000100010 +001000100001 +001000100001 +001100100010 +001100110010 +010000110011 +010000110010 +010000110010 +010000110011 +010001000011 +011001010100 +010101000100 +010001000011 +010101010100 +010101010101 +010101010101 +010001000101 +010001000101 +010001010101 +001100110010 +001100110001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +000100010001 +000100000000 +010000100001 +001100100000 +001100100010 +001100100010 +001000100001 +001000010000 +010000100000 +010100110000 +011000110000 +010100110000 +010000100000 +010000100000 +010100110000 +010000100000 +001000110010 +000000110011 +000000100011 +000000110100 +000000110100 +000000100011 +000000100100 +000000010001 +000000010001 +000100100100 +000100100101 +000100100011 +000000010011 +000000010010 +000000010010 +000000100100 +000101000111 +000101010111 +001001000110 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100100001 +000000010001 +000100100010 +001000100001 +000100010000 +001000100000 +000100010000 +001000010000 +011101000001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011001000001 +011000110001 +011101000001 +011101000001 +011101000001 +100001000001 +011101000001 +011101000001 +011000110000 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011101000001 +010000100000 +000100000000 +001100100001 +001101000011 +000000100011 +000000010010 +000100110100 +000101011001 +001001111010 +000100110100 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000010000 +000000010000 +000000010001 +000000010001 +000100010000 +001000010000 +001000010000 +010000100000 +011101000001 +100001000001 +011101000001 +011000110000 +011001000001 +010100110001 +010100110000 +010000100000 +001100010000 +010000100000 +010000100000 +010100110000 +011000110001 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100010000 +001100010000 +001000010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000110000 +010100110000 +010100110000 +011000110001 +011001000001 +010100110000 +010000110000 +010000110000 +010100110001 +010100110000 +010100110001 +010000100000 +001000010000 +000100000000 +000100010001 +001101000100 +010101100110 +001000100011 +000000010010 +000000010100 +000000100100 +000100110101 +000000110101 +000000110110 +000000110101 +000000110110 +000000110101 +000001000110 +000001000110 +000101111001 +001010001011 +000001000110 +001001111010 +001101101000 +001001000100 +001101000100 +001101000100 +001101000100 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011101111000 +011101110111 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011101111000 +011110001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101111000 +011101111000 +011101111000 +100010001000 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +011110001000 +011110001001 +100010001001 +100001110111 +011101100101 +011101100101 +011101110111 +100001110111 +011101100101 +011101100101 +011101100100 +011101100101 +100010001000 +100010011001 +011101100110 +011101010011 +011101110110 +100010001000 +100010000111 +011101100101 +011101010011 +011101100101 +100010000111 +100010001000 +100001110111 +011101100101 +011101010100 +011001010011 +011101110110 +100010001000 +100010000111 +100010001000 +100010001000 +011001000010 +011000110001 +011101010100 +100001110110 +100010001000 +100010001000 +100010011001 +100110011000 +100010001000 +100110011000 +100001110110 +011101100101 +100110001000 +100010000111 +100001110111 +100001110110 +100001110110 +011101100101 +100001110111 +011101110110 +100001110111 +100010001000 +100010011001 +100010001000 +011101100101 +011101100101 +100001110111 +011101010100 +011101100101 +100010001000 +100010001000 +011101100101 +011101100101 +011101100101 +011101010100 +011001000100 +011101110111 +100010001000 +100110011001 +100010011001 +100010001000 +100010001000 +100001110111 +100001110111 +100001110110 +011101100101 +011001010101 +011001100101 +100001110111 +100010001000 +011101100101 +011001010100 +011101110111 +011101100110 +010101000011 +010100110010 +010100110010 +011101100110 +100001110111 +011101100101 +100001110111 +011101110111 +011001010101 +010101000011 +010101000011 +010101000011 +001100110010 +010000110010 +010000110010 +001100110010 +001000100010 +000100010001 +000000000000 +000000000000 +001000100010 +010001000100 +010001000100 +010101000100 +010001000100 +001000100010 +000100010001 +000100010001 +001101000100 +001100110011 +001000010001 +000100000000 +000100010000 +001000010001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +011001010100 +011001010101 +011101100110 +100001110110 +101110100111 +110010110111 +101010010111 +100010000101 +011101100100 +010101000010 +010000110010 +001100110010 +001000100001 +001000100001 +001000100010 +000100010000 +000000000000 +000100010000 +001100100001 +001100100010 +001000100010 +000100010001 +000100010000 +000100000000 +001100100000 +010100110000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +001000110011 +000000110011 +000000100011 +000000110100 +000000110100 +000000110100 +000100100011 +000000010001 +000000010010 +000000100011 +000100100101 +000100100100 +000000100100 +000000010010 +000000010010 +000100100100 +000100110110 +000101000111 +001001000111 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100100010 +001000100001 +000100010000 +001000010000 +001000010000 +001000010000 +010100110001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011101000001 +011001000000 +001100100000 +000000000000 +001100110001 +001101000010 +000000100011 +000000100010 +000000100100 +000001011000 +001001101001 +000100100011 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100001 +000100010000 +000000010001 +000000010001 +000000000000 +000100010000 +001000010000 +010000100000 +011101000001 +100001010001 +100001010001 +011000110000 +011001000001 +011001000001 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +001100100000 +001100100000 +001100100000 +010000100000 +001100100000 +001000010000 +001100100000 +001000010000 +001000010000 +001100010000 +010000100000 +010000100000 +010000100000 +010100110000 +011000110001 +011001000001 +011001000001 +011001000001 +010101000001 +010101000001 +011001010001 +011001010001 +011001000001 +010100110001 +010000100000 +001000010000 +001000000000 +000100000000 +001101000100 +010101100110 +000100100010 +000000010011 +000000100100 +000000100100 +000100110101 +000000100101 +000000110110 +000000110101 +000000110101 +000000110101 +000001000110 +000001010111 +001010001011 +001001101000 +000001000111 +001110001011 +001001100111 +001101000100 +001101000100 +001101000100 +001101000100 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011101111000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +011101100110 +011101110111 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100001110111 +011101100101 +011101100101 +011101110110 +100010000111 +011101100101 +011101010100 +011101010100 +011101100101 +100010001000 +100010011001 +011101100101 +011001000011 +011001000011 +011101010100 +011101010100 +011001010011 +011101010011 +011101010100 +011101100101 +100001110111 +100010000111 +011101100100 +011101010011 +011101000011 +011001010011 +011101100101 +100001110110 +100010001000 +100010001000 +011101110110 +011101100100 +011101100100 +100001110110 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100110001000 +011101100100 +100001110110 +100110011001 +100110001000 +100110000111 +100010000111 +100010001000 +011101110110 +011101100101 +011101100110 +011001010100 +011101100110 +011101100101 +011101010100 +011101010011 +011101100101 +011101010011 +011101010100 +100001110111 +011101100101 +011001010011 +011101100101 +011101100101 +011101000011 +011001010100 +011101100101 +011001100101 +100010001000 +100010011001 +100010001000 +100010011001 +100110011010 +100110011001 +100110011001 +100010001000 +100010000111 +011101110110 +011001010101 +011101100110 +011101100101 +011101110110 +100010000111 +011001100110 +011101100101 +011001010100 +011001100101 +011101110111 +100010001000 +011101110111 +100010001000 +100010001001 +011110001000 +011001100110 +011001010101 +010101010101 +011001010101 +011001010101 +011001010101 +001100110011 +001000100011 +000100010001 +000000000000 +000100000000 +001100100010 +010001000011 +010000110010 +010001000011 +001100110011 +000000000000 +000100010000 +001000100011 +001101000101 +001000110011 +000100010001 +001000010001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101000011 +010000110010 +010101000011 +010101000100 +010101000100 +010101010100 +011001010101 +011101100101 +110010100111 +110110111000 +110111001001 +111011001001 +110010111000 +101010010110 +101010010101 +101010010110 +100001110100 +010101010011 +001100110010 +001000100010 +001000100001 +000100010001 +001000010010 +001000100010 +001000100010 +000100010000 +000100010000 +000100010001 +000100000000 +001100100000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +001000100010 +000100110100 +000000110011 +000000110100 +000000110100 +000000110100 +000000100011 +000000100010 +000000010010 +000000010010 +000100100101 +000100100101 +000100100100 +000000010011 +000000010010 +000000100011 +000100110101 +000101000111 +001001000111 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010000 +000000010000 +000100010001 +000100010001 +001000100010 +000100010000 +001000010000 +001100100001 +001000010000 +001100100000 +011101000001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110000 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011000110001 +011100110001 +011100110001 +011000110001 +011000110000 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011000110001 +011000110000 +001100100000 +000000000000 +001100110001 +001101000010 +000000110011 +000100110100 +000000100100 +000101011000 +001001101000 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010000 +000000000000 +000000010001 +000000000000 +001000100001 +001000010000 +010000100000 +011001000001 +011101000001 +100001010001 +011001000000 +011000110001 +011000110000 +011001000001 +011000110000 +010100110000 +010100110001 +010100110001 +010100110000 +010100110000 +010100110000 +010000110000 +001100100000 +001100100000 +001100100000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +011001000001 +011001000001 +011001000001 +011101010001 +011101100010 +011101100010 +011101010001 +011001000001 +010000100000 +001000010000 +000100000000 +000100000000 +001000110011 +010001010101 +000000010010 +000000010011 +000100100100 +000000100100 +000100110101 +000000100101 +000001000110 +000001000110 +000000110110 +000001000110 +000001000110 +000001011000 +001001111010 +000001000110 +000101101001 +001110011011 +001001010110 +001101000011 +001101000100 +001101000100 +001101000100 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001001 +100010011001 +100010001000 +011001010100 +011001010100 +011101111000 +100010001001 +100010001000 +011101110111 +100010001000 +100010011001 +100010011001 +011101110111 +011101100100 +011101100101 +011101110110 +100010001000 +011101110110 +011101100100 +011101100100 +011101100100 +100001110111 +100010011010 +011101110111 +011101010100 +011001000010 +011000110010 +010100110001 +011001000010 +011101010011 +011001000010 +011001000011 +011101100100 +100001110111 +011101100100 +011101010100 +011101010011 +100001100100 +011101010100 +011101100101 +100010000111 +011101100110 +011101100101 +100001110110 +011101100101 +100010000111 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +100110011001 +100001110111 +011101010011 +100001100101 +100110011001 +100010001000 +100010001000 +100010001000 +100110001000 +100110001000 +100010001000 +100010001000 +011101110110 +011001010011 +011001000011 +011001000011 +011001000010 +011101010011 +011001000011 +100001110110 +011101100100 +011101010011 +011101100100 +011101100101 +100001110110 +011001010011 +011101100110 +100010001000 +011101110110 +100001110110 +100010000111 +100010001000 +011101110110 +011101110111 +100010000111 +100110001000 +100010011001 +100110001000 +100010001000 +100010001000 +011001100101 +011001100101 +011101110110 +011101100101 +011001010100 +011101100101 +011101110110 +011101110110 +011101110111 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011101110111 +011101111000 +100010001000 +100001110111 +011101100110 +011101100110 +001100110011 +001000100011 +001000100010 +001000100001 +001000100001 +001100110010 +010000110010 +010101000010 +010101010100 +000100010001 +000000000000 +001100110011 +001100110100 +001000110011 +000100010001 +001000100001 +001100100010 +010001000011 +010001000011 +010101010100 +010000110010 +001100110010 +010000110011 +010000110011 +010101000011 +010101010100 +011001010100 +011001010101 +011001010101 +011001100101 +011001100101 +011001010100 +010101000100 +010101000100 +011001010101 +010101000100 +011001010100 +011001010100 +011101100100 +101110100110 +101110100110 +110110111000 +110010100111 +101110010110 +110111001000 +110010111000 +111011001010 +110110111000 +011001010011 +001100110011 +001100110011 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100000000 +001000010001 +001000010010 +000100010001 +001000010000 +001100100000 +001100100000 +001100100000 +001100100000 +001100010000 +001000100001 +001000110010 +001000110010 +000100110011 +000100110011 +000000110011 +000000100011 +000100100011 +000100100001 +000100010010 +000000100100 +000100100101 +000100100101 +000100100100 +000000010010 +000000010011 +000100110101 +000101000111 +001001000111 +000100010001 +000100010000 +000100010001 +001000010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100010 +001100110001 +001100100001 +001100100000 +001000100000 +001000010000 +011001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011100110001 +011101000001 +011101000001 +011000110001 +011000110001 +011000110000 +011000110000 +011001000001 +011101000001 +011101000001 +011100110001 +011100110001 +011000110001 +011000110000 +011000110001 +011100110000 +011100110000 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +010100110000 +001100100000 +000100000000 +001100100000 +001100110010 +000100010010 +000100100011 +000100100100 +000101011000 +001001010111 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100000000 +000100010001 +000000010000 +001000010000 +000100000000 +010000100000 +011001000001 +011101000001 +011101000001 +011000110000 +010100110000 +010100110000 +011101000001 +011101000001 +011000110000 +011001000001 +010100110001 +011000110001 +010100110001 +011001000001 +010100110001 +010000100000 +001100100000 +001100100000 +001100010000 +001100100001 +001100100000 +001100100000 +001100010000 +001100010000 +001100100000 +001100100000 +010000100000 +010000110000 +010000100000 +010100110000 +010100110000 +011001000001 +011001000001 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +010000100000 +001000010000 +000100000000 +000100000000 +000100100010 +001101000100 +000000010010 +000000010011 +000100100100 +000000100100 +000100110110 +000000100101 +000001000110 +000001000111 +000001000110 +000001000110 +000001000110 +000001011000 +000001101001 +000001000110 +001001111010 +001110011011 +001001010110 +001101000011 +001101000100 +001101000011 +001101000100 +011101111000 +011101111000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +011101100111 +010101000010 +011101010100 +011101010101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +100010001001 +011101100110 +011001010010 +011101010011 +011101110110 +100010001000 +100010001000 +011101110111 +100010001000 +100001110110 +011101110110 +100010001001 +100010000111 +011101100101 +011101010100 +011101010100 +011001000010 +011001000010 +011101010011 +011001000010 +011101000011 +011101010011 +100001110110 +011101100100 +011101100100 +011101010011 +011101010011 +011101010100 +011101100101 +100001110110 +011101010100 +011001010011 +011101010100 +100001110110 +100001110111 +100010001000 +100010011000 +100010001000 +100001110111 +011101010100 +100001110110 +011101100101 +011101100100 +011101100100 +100110011001 +100010011001 +100010001000 +100010011000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100001100101 +011101100110 +011001000010 +011001000011 +011101100100 +011101100101 +100001100101 +100001110110 +011101010100 +011001010100 +100001110110 +011101100101 +011001100101 +011101110111 +100001110110 +011101100100 +011001010011 +100001110111 +100001110111 +011101010100 +100001110111 +100001100101 +100010001000 +011101100110 +011001010100 +100001110110 +100010000111 +100110011000 +100010001000 +011101100110 +011101100101 +011001010100 +011101100101 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011101111000 +011110001000 +011101111000 +011110001000 +011101111000 +011101110111 +011101110111 +010001000100 +001000100011 +001000100010 +001100110011 +010101000100 +010101010100 +011001010101 +011001010101 +011001100101 +001100110011 +000100010001 +001100110011 +001000110011 +000100010001 +001000100001 +010000110010 +010000110010 +010000110010 +010000110010 +010101000011 +010101010100 +010101010100 +010000110011 +001100100010 +010000110010 +010001000011 +010000110010 +010001000011 +010101000100 +011001100101 +011001010101 +011001010100 +011001010101 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +100001100100 +101110010110 +110110111000 +110010100111 +101110100110 +101110010110 +110010100111 +110010111000 +110111001001 +110110111000 +010101000011 +001000100010 +001000100010 +001000100011 +001000100010 +000100010001 +000100010001 +000100100010 +000100010010 +001000100010 +001000100010 +000100010001 +001000010000 +001100100000 +001100010000 +001100010000 +001100100000 +001000010000 +001100100000 +010000100000 +010000110001 +001000110010 +000000100011 +000000110100 +000100110011 +001000110011 +001100100001 +001000010001 +000000010011 +000100110101 +000100100100 +000100110110 +000000100011 +000000010011 +000000100100 +000101000111 +001001000111 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +010000110001 +010000110001 +001000010000 +000100000000 +010100110001 +100001010001 +100101010001 +100001010001 +100001000001 +011000110000 +011001000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110001 +011001000001 +011001000001 +011000110001 +011001000001 +011001000001 +011101000001 +011101000001 +011100110001 +011100110001 +011100110001 +011000110001 +011100110001 +011100110001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110000 +010100110000 +001000010000 +000100000000 +010000110001 +001100100001 +000100010010 +000100010011 +000100010100 +000101000111 +001001010110 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010001 +000100010000 +000000010001 +000100010000 +000100000000 +010100110001 +011000110001 +011001000001 +011101000001 +011000110000 +010100110000 +010100110000 +011101000001 +011101000001 +011001000001 +011000110000 +010100110000 +011001000001 +010100110000 +010101000001 +010100110001 +010000100000 +010100110001 +010000100000 +001100100000 +001100100000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110000 +011001000001 +011001000001 +011101010001 +011101010001 +011101010001 +011001000001 +010100110001 +001100100000 +001000010000 +000100000000 +000100000000 +000100100010 +001101000100 +001000110011 +000000010011 +000100100100 +000000100100 +000100110110 +000000100101 +000001000110 +000001010111 +000001000110 +000001000110 +000001000111 +000101101001 +000001011000 +000001010111 +001010001011 +001010001011 +001001010110 +001101000011 +001101000100 +001101000011 +001101000100 +011101110111 +011101110111 +011001111000 +011001111000 +011110001001 +011110001001 +011101111000 +011101111000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +100010001000 +011110001000 +011110001000 +011110001001 +100010001001 +011101111000 +011001010101 +011101100110 +011001100101 +011101010101 +011101100101 +100001110110 +100001110110 +011101100101 +011101100110 +100001110111 +011101010100 +011101010011 +011101010100 +011101110110 +100010011001 +100010011001 +100010001001 +100001110111 +011101010100 +011101010100 +011101100101 +011101100101 +011101110111 +100010000111 +011101100101 +011101010100 +011001000010 +011000110010 +011001000010 +011101010011 +011101100101 +100001110101 +100001100101 +011101010100 +011101010011 +011101010100 +011101010011 +011001000010 +011000110010 +011001000010 +011001010011 +011101100101 +100001110110 +100010000111 +100010001000 +100010001000 +100001110111 +100001110110 +100001110110 +011101100101 +100010000111 +011101100101 +100010000111 +100010011001 +100010001000 +100010011001 +100110011001 +100110011001 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100001110110 +011101100101 +100001110110 +011101100110 +100001110111 +100010000111 +011101100101 +011001000011 +011001010011 +011001010100 +011101110110 +100001110110 +100001110111 +011001010100 +011001000010 +100001110110 +100001110110 +011101010011 +011101100101 +011001010011 +011101100101 +011101100101 +011101010011 +011101100101 +100001110110 +100010001000 +100010011001 +100110011001 +100001110111 +010101000100 +011101100110 +100110001001 +100110011010 +100010011001 +011110001000 +011001100110 +011101110111 +011101111000 +011110001000 +011101111000 +011101110111 +100010001000 +100010001000 +011110001000 +010101010110 +001000100010 +001100110011 +010101010101 +010101010101 +010101010101 +011001100110 +011001010101 +011001100110 +011001100101 +010101000100 +001000100011 +000100010001 +000100010000 +010000110011 +010101000011 +011001010100 +011001010011 +010101000011 +011001010100 +010101000011 +010101000010 +001100100001 +001100100001 +001100100001 +010000110010 +001100110010 +010101000011 +010001000011 +010101000011 +010101000011 +010000110010 +010101000011 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100101110101 +110010111000 +110111001001 +110010100111 +110010100111 +110111001000 +110010100111 +100110000100 +110010100110 +110010100111 +011001010100 +001000100010 +000100010001 +001000100011 +001000100010 +000100010001 +001000100010 +001100110100 +001000110011 +000100010001 +000100010000 +000100010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +000100000000 +001000010000 +010000100000 +010000100000 +001100110001 +000100100010 +000100100010 +001000100010 +010000110001 +010100110001 +001000010000 +000000010010 +000100100101 +000100100100 +000100100110 +000100100101 +000100100011 +000000100011 +000101000111 +001101010111 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +001100110001 +010101000010 +001000100000 +001000010000 +010100110001 +100101010001 +100101010010 +100101010001 +100101010001 +011101000001 +010100110000 +011001000001 +011101000001 +011000110001 +011000110001 +011000110000 +011000110000 +011000110001 +011001000001 +011101000001 +011101000001 +011101000001 +011100110001 +011100110001 +011100110001 +011100110001 +011101000001 +011100110001 +011100110001 +011101000001 +011101000001 +011101000001 +011101000001 +011100110001 +011101000001 +011101000001 +011000110000 +010000100000 +001000010000 +000100000000 +001100110001 +001000100001 +000000010010 +000000010010 +000100010011 +000100110110 +001000110101 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000000000 +000100000000 +011000110001 +011001000001 +011001000001 +011000110001 +010100110000 +010100110000 +010100110000 +011000110001 +011001000001 +011000110001 +010100110000 +011000110001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010100110000 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +010101000001 +010000110000 +001100010000 +001000010000 +001000000000 +000100000000 +000100100011 +001101000100 +001101000101 +000000010011 +000100100100 +000000100100 +000100110110 +000000110101 +000001000110 +000001010111 +000001000110 +000000110110 +000001011000 +000101101010 +000001011000 +000101101001 +001010011100 +001010001011 +001001000101 +001101000011 +001101000100 +001101000011 +001101000100 +011001110111 +011001111000 +011001111000 +011101111000 +011110001001 +011110001000 +011001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +011110001000 +100010001001 +011110001001 +100010001001 +011110001001 +011101110111 +011101110111 +011110001000 +011101110111 +011101100101 +011001000011 +011101010011 +011101010100 +011101100101 +100001110101 +011101010100 +011101100100 +011101100101 +011001010100 +100001110111 +100010001001 +100010001000 +011101100101 +011101010011 +011101010011 +011101010011 +011101100100 +011101110110 +011101100101 +011101010100 +011101010100 +011101000011 +011001000010 +011001000010 +011101010011 +011101010100 +100001110110 +100001110111 +100001110110 +100001100101 +011101010100 +011001000010 +011000110010 +011000110010 +011001000010 +011101000011 +100001100101 +011101100101 +011101100100 +100001110110 +100010001000 +100010000111 +100001110111 +100010000111 +100001110110 +100010001001 +100010000111 +100001110111 +100110011001 +100010011001 +100110011000 +100010011001 +100110011001 +100010001001 +100010001000 +100010000111 +100010000111 +100001110111 +100010000111 +100001110110 +100010000111 +100001110111 +100001110110 +100001110111 +100010000111 +100001110110 +011101100100 +010100110010 +010101000011 +100001110110 +100010000111 +100010000111 +011001010100 +011001000010 +100001100101 +011101100100 +011101010011 +011101010011 +011101100100 +011101100101 +011101100101 +011001010011 +011001010011 +011001010100 +100010001000 +100010011001 +100110011001 +100001110111 +011101100101 +100001110110 +100001110111 +100010011001 +100010011010 +100010001001 +100010011001 +100010011001 +100110011010 +100010011010 +100010001000 +011101110111 +011101110111 +011101110111 +011001110111 +010101100110 +000100010001 +010001000100 +011001100111 +011001100110 +010101010101 +010101010101 +010101100110 +010101010101 +011001100101 +010001000100 +001000100010 +000000010000 +001000100001 +010001000101 +010101010101 +011001010101 +011001100101 +011001100101 +011101100100 +011101100101 +011101100100 +011001010011 +010101000011 +001100110001 +001100110010 +010000110010 +010000110010 +001100100010 +010001000011 +010101010100 +001100100010 +001100110010 +010000110010 +010000110011 +001100110010 +010101000011 +100001100101 +101010010111 +111011011010 +110111001001 +110111001001 +110010100111 +110110111000 +101110100110 +100101110100 +100101110100 +011001010011 +001100100010 +001000100010 +001000100010 +000100010001 +001000010001 +001000100010 +000100010001 +000100010001 +000100010000 +000100000000 +000100010000 +000100010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010001 +001000010000 +001000010000 +001000010000 +001100100000 +001100100000 +001000100001 +001000100000 +010000110000 +010000100000 +001100100000 +000100010000 +000000010001 +000000010011 +000100100101 +000100100101 +000100100101 +000100100100 +000000010011 +000101000110 +001101010111 +000100100001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +010000110010 +001100100001 +001000010000 +010100110001 +100101010010 +100101010001 +100101010001 +100101010010 +100001000001 +010100100000 +010100110000 +011100110001 +011000110001 +011000110001 +011000110000 +011000110000 +011000110000 +011101000001 +011101000001 +011001000001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110001 +011100110001 +011100110000 +011100110001 +011100110001 +011000110001 +011001000001 +011101000001 +100001000001 +011101000001 +011000110001 +011000110000 +010000100000 +000100000000 +000100000000 +010000110001 +001000100001 +000000010010 +000000010010 +000100010010 +000100110101 +000100100011 +000100010001 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000000000000 +001000010000 +011000110001 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110001 +011000110001 +011000110001 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100010000 +001100100000 +001100100000 +010000100000 +010100110000 +011101000001 +011101000001 +011001000001 +011001000001 +010100110001 +010100110000 +010000110000 +001000010000 +001000010000 +001000000000 +000100000000 +000100110011 +001000110011 +001100110100 +000000010011 +000000100100 +000000100100 +000100110110 +000000110110 +000001000110 +000001000110 +000001000110 +000001000111 +000001011001 +000101111010 +000001010111 +001001111010 +001010011100 +001010001010 +001001000101 +001101000100 +001101000100 +001101000011 +001101000100 +011001110111 +011001111000 +011001111000 +011101111000 +011010001000 +011110001000 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011001111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +100010001000 +011110001000 +011110001001 +100010001001 +011110001001 +011110001001 +011101111000 +011101111000 +011110001000 +100010001001 +011101100110 +011001010011 +011101010100 +011101100110 +011101110110 +011101100100 +011101010100 +011101100100 +011101100101 +011101010011 +011001010011 +011001010100 +011101100101 +011101100101 +011001000011 +011101010011 +011101010011 +100001110110 +100010000111 +011101100100 +011101010011 +011001000010 +011101000011 +011101010100 +100001100101 +011101100100 +011101010011 +011101100101 +100001110111 +100001110110 +011101100101 +011101100100 +011101010011 +011001000010 +011001000010 +011001000010 +011101010011 +011101100100 +011101100100 +011101010011 +011101010100 +100001110110 +011101100101 +011101100101 +011101100101 +011101100101 +011110001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110111 +100001110110 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011001010100 +011001010101 +100010001000 +011110001000 +100010000111 +011101100101 +010101000010 +011001010011 +011001010011 +100001100101 +011001010011 +011101010100 +011101100101 +011101100101 +100001100101 +011101010100 +011101100101 +100010000111 +100010001001 +100010001000 +100001110110 +011101010011 +011101100100 +011101100101 +100010001000 +100110011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010001001 +100010001000 +011101110111 +000100010001 +001000100010 +010001010101 +010101010101 +011001100111 +010101010110 +010101010110 +011001100110 +011001100101 +010001000100 +001000100010 +000000000000 +001100110010 +010101010101 +010101010101 +011001100110 +011001110111 +011101110111 +011001010100 +011101010100 +011101110111 +011101110101 +011101010011 +011001000011 +010101000011 +010000110001 +010000110010 +010101000011 +010101000011 +010101000011 +010000110010 +001100100010 +001100100010 +001100100010 +010001000100 +011001010101 +010101000011 +011001010100 +100101110101 +101010000101 +101110100110 +101110010110 +110111001000 +110010111000 +110110111000 +011101100100 +010000110011 +001000100010 +001100110100 +001000100011 +001000010001 +001100110011 +000100010001 +000000000000 +000100010000 +000100010000 +001000010000 +000100010000 +001000010000 +001000010000 +001000010001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100000 +000100010000 +000100010001 +000000010001 +000000000001 +000100100100 +000100010100 +000100100101 +000100100100 +000000010010 +000100110110 +001101101000 +000100010001 +000100010000 +000100010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001000100001 +001100100001 +000100010000 +001100100000 +100101010010 +100101010001 +100101010001 +100101010001 +100001000001 +011100110001 +010000100000 +011000110001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110000 +011101000001 +011000110001 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110001 +011100110001 +011000110001 +011000110001 +011000110001 +011000110000 +011101000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +010000100000 +000100000000 +000100000000 +010000110001 +001000100010 +000000000010 +000000010010 +000000010010 +000100100101 +000100100010 +000100010001 +000100100001 +000100010010 +000100010010 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000010000 +011000110001 +011000110001 +011000110000 +010100110000 +011000110000 +011001000001 +010100110000 +010100110000 +010100110000 +011001000001 +010100110000 +010100110000 +011000110001 +010100110000 +010100100000 +010000110000 +010100110001 +010100110000 +010100110001 +010000110000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100010000 +001000010000 +001100100000 +001100100000 +010000100000 +011001000001 +011101000001 +011000110001 +010100110000 +010000110000 +010100110000 +010000100000 +001100010000 +001000010000 +001000000000 +000100000000 +000100010001 +000100100010 +001100110011 +000000010011 +000100100100 +000000010100 +000100110110 +000000110110 +000001000110 +000001000110 +000001000110 +000001010111 +000001101001 +000101101001 +000001011000 +001010001011 +001010001011 +001110001010 +001001000101 +001101000011 +001101000100 +001101000011 +001101000100 +011001100110 +011001110111 +011001111000 +011001111000 +011010001000 +011010001001 +011101111000 +011001111000 +011101111000 +011110001000 +011110001000 +011101111000 +011110001000 +011101111000 +011110001000 +011101110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +011110001000 +011101111000 +011101110111 +011110001000 +011101111000 +011001010100 +011001010100 +100010000111 +100010001001 +100010001000 +011101100110 +011001010011 +011001010011 +100001100101 +011101010100 +011001000011 +011000110010 +011001000011 +011101100101 +011001000011 +011001000010 +011001000011 +011101010100 +100010001000 +011101100101 +011001010011 +011001000010 +011000110010 +011101010011 +100001110110 +011101110110 +011001000011 +011101010100 +100001110110 +100001110110 +100001100110 +100001100101 +011101100100 +011001000011 +011101000011 +100001100100 +011101100100 +100001100101 +100001100101 +011101010100 +011101010100 +011101010011 +011001000010 +011101010011 +011101010011 +011101010100 +100001110111 +100001110111 +011101110110 +100010000111 +100110000111 +100010001000 +100110011001 +100010001000 +100010001000 +100001110111 +100001110111 +011101100110 +011101110110 +100001110111 +100001110101 +010101000010 +100001100101 +100001110110 +100001110110 +011101110110 +011101110110 +100001110111 +011101110110 +011001100110 +011101110111 +011101110111 +011110001000 +011101110110 +011101100100 +011001010011 +011001010100 +100001110110 +100001110110 +011101100101 +011101100101 +011001010011 +100001110110 +100001100101 +011101100101 +100001110111 +100110011001 +100001110111 +011101100100 +011101010100 +011101100100 +100001110110 +100110001000 +011101110111 +100010001000 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +010001010101 +000000010001 +001100110100 +010101100110 +010001010101 +010001000101 +001101000100 +010101010101 +011001100110 +001100110011 +001000100010 +010000110011 +010101010101 +010101100101 +011001100110 +011001100110 +011101110111 +011101110111 +011101100110 +011101100110 +011101111000 +011101110111 +011101100100 +011001000010 +011001010011 +011001000011 +001100100001 +010100110010 +011001010011 +010000110010 +010101000011 +010101000011 +010101000011 +010000110010 +010101000011 +010001000011 +010001000011 +001100110010 +010101000011 +010001000011 +011001010011 +011101100100 +100001110101 +100110000101 +101010010101 +010101010011 +001100100011 +001000100010 +010001000100 +001000100010 +001000010001 +000100010001 +000100010000 +000100010000 +001000100001 +001000100001 +001000100001 +001000010000 +001000010001 +001000010000 +001000010000 +001000010000 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +000100010010 +001000100100 +000100010011 +000100100101 +000100100100 +000000010010 +000100110101 +001101010111 +000100010001 +000100010000 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001100100000 +000100010000 +001000010000 +100001010001 +100101010001 +100101010001 +100001010001 +100001000001 +011101000001 +010000100000 +010100100000 +011101000001 +011000110001 +011000110001 +011000110000 +011000110001 +011000110001 +011000110000 +011000110000 +011000110001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +100001010001 +100101010001 +100101010001 +100101010001 +100101010010 +011101000001 +001100100000 +000000000000 +000100010000 +010000110001 +001000100010 +000000000001 +000000010010 +000100010010 +000100100100 +000100010010 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100001 +000100010001 +000100010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100100001 +001000100001 +001000100010 +001000100010 +000100100010 +000100010001 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +010000100001 +011101000001 +011000110001 +010100110000 +011000110001 +011000110000 +011001000001 +011001000001 +010100110000 +010100110000 +011000110001 +011000110000 +010100100000 +010100110000 +010100110000 +010100110000 +010000110000 +010000100001 +010000110000 +010100110000 +010100110000 +010100110000 +010100110001 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +001100100000 +001000010000 +001100100000 +001100100000 +001100100000 +010100110000 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100100000 +001000010000 +001000000000 +000100000000 +000100010001 +000100100010 +000100100010 +000000010011 +000100100100 +000000100100 +000100110110 +000000110110 +000001000110 +000001000110 +000001000110 +000001010111 +000001011000 +000001011000 +000101101001 +001010001011 +001010001011 +001110001010 +001001000100 +001101000011 +001101000100 +001101000011 +001101000011 +011001010101 +011001110111 +011001111000 +011001111000 +011001111000 +011001111001 +011001111000 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +100010001001 +100010001001 +100010001001 +011110001000 +011101111000 +011101110111 +011110001000 +100001111000 +011101100110 +011101100110 +100010001000 +100010001001 +011101110111 +011101100101 +011001010011 +011001000011 +011101010011 +011001000011 +011001000010 +011001000010 +011001000011 +011101100100 +011101010100 +011001000010 +011000110010 +011001000011 +100001110110 +011101110110 +010101000011 +010100110001 +011000110010 +011001000010 +011101010100 +100010001000 +100001110110 +011101010100 +100001110111 +100001110110 +011101010100 +011101010100 +011101010100 +011001000010 +011101010011 +011101010100 +011101010011 +011101010100 +011101010100 +011101010011 +011101010100 +011101010100 +011001000010 +011001000010 +011101010011 +011101010011 +011001010011 +011101010100 +011101010100 +100001110110 +100001110111 +100010000111 +100010001000 +100001110110 +100010000111 +100010000111 +100001110111 +100001110110 +100001110110 +100001110111 +011101110101 +011001010011 +011101100101 +100001110110 +100010000111 +100001110111 +100001110110 +100010000111 +100001110110 +011001100101 +010101010101 +011101110111 +100010001000 +011101110111 +011101110110 +100001110110 +100001110111 +100010000111 +011101110110 +100110000111 +011101100101 +010101000011 +011101100101 +011101100100 +011101100101 +100110001000 +100010001000 +100001110110 +011101010011 +011001000010 +011101010011 +100001100101 +100001110110 +011101110111 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001001 +011110001000 +011001110111 +011110001000 +011001110111 +011001100111 +011001110111 +010101100110 +010101100110 +011101110111 +001000100010 +001000010001 +011001010101 +010101010101 +011001100101 +010101100101 +011001100110 +011101100110 +011101110111 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +011101100101 +011001010100 +011001000011 +010101000011 +010101000011 +011001010100 +011001000011 +010100110010 +011001010011 +011001010100 +011001000010 +010100110010 +010000110011 +010000110010 +010100110010 +010000110011 +010000110010 +001100110010 +010101000011 +010101010100 +010101000011 +010101000011 +010000110011 +001000100010 +000100010001 +001000100010 +001000100010 +000100010001 +001000010000 +001000010000 +001000010000 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000010001 +001000100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +010001000100 +010001000100 +001100110100 +001101000101 +001101000101 +001000110100 +001000110101 +001101010111 +000100010010 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100000000 +000000000000 +001000100001 +001000010000 +000100000000 +011001000001 +100101010010 +100101010010 +100101010001 +100001010001 +100001000001 +010100110000 +001100010000 +010100110001 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110001 +011000110000 +011000110001 +011000110001 +011000110000 +011000110001 +011000110000 +011000110000 +010100100000 +011000110001 +100001010001 +100101010001 +100101010001 +100101010001 +100001000001 +011101000001 +001000010000 +000000000000 +001000010000 +010000110001 +001000100010 +000000000001 +000000000010 +000100010010 +000100010011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010000 +000000010000 +000000010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100010010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100100001 +100101100010 +100001010001 +011101000001 +010100110000 +010100110000 +010100110000 +011000110001 +010100110000 +010100110000 +010000110000 +010100110000 +011000110001 +010000100000 +010000100000 +010000100000 +010000110001 +010000100000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100010000 +001100100000 +010000100000 +010100110000 +010100110000 +011000110001 +010100110000 +010100110000 +010100110000 +010000110000 +010000110000 +001100010000 +001000000000 +000100000000 +000100000000 +000000100010 +000000010010 +000000010011 +000100100100 +000000100100 +000100110110 +000000110110 +000001000111 +000001000110 +000001000111 +000001011000 +000101101001 +000001000111 +000101111010 +000101111010 +001010001011 +001110001010 +001001000100 +001101000011 +001101000100 +001101000011 +001101000011 +010000110010 +011001010101 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100001111000 +011101110111 +011101110111 +011101111000 +100010001000 +100010001000 +100010001001 +100010001001 +011101110110 +011101100100 +011101010100 +011101010011 +011001010011 +011101010011 +011001000010 +011101010100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010011 +011001000010 +011101010101 +100010001000 +011101100110 +011001000010 +011001000010 +011001000010 +011000110010 +011001010100 +011101100101 +011101010100 +100001110110 +100001100110 +011101010011 +011101010011 +011101010100 +011101000011 +011101010011 +011001000011 +011101000011 +011001000011 +011101010011 +011101010100 +011101100100 +100001100100 +011101010011 +011001000011 +011101010011 +011101010011 +011101010011 +011001000010 +011101100100 +011001010100 +011101100101 +100010001000 +100010001000 +100010000111 +100001110110 +100010000111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +011101100101 +011101100101 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +010101010100 +010101010101 +011101110110 +100001110111 +100010000111 +100001110110 +100001110110 +100001110111 +100001110110 +011001010100 +011101100100 +011001010100 +011101100101 +100001110110 +011001010011 +010101000010 +100001110111 +100010000111 +100001110111 +011101100101 +011001010011 +011001010011 +011001010011 +011101010100 +100001110111 +100010001001 +100010011010 +100010011010 +011101111000 +100010011001 +100010011001 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +100010001001 +011101111000 +011101111000 +011101111000 +011001110111 +011001110111 +011101110111 +001100110011 +000100010000 +010101010101 +011001100110 +011001100110 +010101010101 +010101010101 +010101010101 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001010101 +011001010100 +011101100101 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001000011 +011001000010 +011001010011 +010000110001 +010100110010 +010101000011 +011001010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +001000100010 +000100010001 +000100010001 +000100010001 +001000100001 +001100110011 +010001000100 +010101000100 +010000110100 +001100100010 +001000100001 +001100100010 +001100100001 +001100100001 +001000100001 +001100100010 +001100100001 +001100100001 +001100100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +001100100010 +010000110010 +010000110011 +010001000100 +010001000100 +010101000100 +011001010110 +010101100110 +010101010101 +010101010100 +011001010100 +010101000010 +001100110001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000000000000 +000100010001 +000100010000 +000100000000 +010000100001 +100001010001 +100001010001 +100001000001 +011001000010 +011001000001 +010100110000 +001100010000 +010000100000 +010100110000 +010100110000 +011000110000 +011000110001 +010100110000 +010100100000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +010100100000 +001100010000 +011000110001 +100001000001 +100101010001 +100101010001 +100101010001 +100001000001 +011000110001 +001000000000 +000100000000 +001000010000 +010000110001 +001000100010 +000000000001 +000000000010 +000100010010 +000100010010 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +100001100010 +101001100010 +100101010001 +011101000001 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110001 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100010000 +000100000000 +000100000000 +000000100010 +000100100011 +000000010011 +000100100101 +000000100100 +000000110101 +000000110110 +000001000111 +000001000101 +000001000111 +000001011001 +000101101001 +000001000111 +001001111010 +000101111001 +001010011100 +001110001010 +001001000100 +001101000100 +001101000011 +001101000011 +001101000011 +010000110010 +010001000011 +011001100110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011010001000 +011110001000 +011110001000 +011110001000 +011010001001 +011110001001 +011110001000 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011110000111 +011110001000 +100010001000 +011101110111 +100001111000 +100001110111 +011101110111 +011101110111 +011101110111 +011110001000 +100010001000 +100010001001 +100010011001 +011101110110 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +011001010011 +011101100100 +011101010100 +011001000011 +011101010100 +011101100100 +011101010011 +011101100101 +011101110111 +100010001001 +100010001000 +011101100100 +011001000010 +011001000010 +011000110010 +011101000011 +011101100101 +100001100101 +011101100101 +100001110110 +011101100100 +011101100100 +100001100101 +011101100100 +011101010100 +011101010011 +011101010100 +011001000010 +011101010011 +011101100100 +011101010100 +011001000011 +100001010100 +011101010100 +011101010011 +011001000011 +011101000010 +011101000011 +011101100100 +011001010011 +100001110110 +100010001000 +100010001000 +100001110111 +100001110111 +100001110110 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100110001000 +100010000111 +100110000111 +100010000111 +100001110111 +100001110110 +100010000111 +100010001000 +100001110111 +100010000111 +100010000111 +100001110111 +100010000111 +100010000111 +011101110110 +100001110111 +100001110111 +011101100101 +011001010100 +100001110111 +100010001000 +100010001000 +100110001000 +100001110111 +011101100101 +011101010100 +011001000011 +011101100101 +100010001001 +100010011001 +100010011010 +011101110111 +011101110110 +011101110110 +011101110111 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011001110111 +011001100110 +001000100010 +001100110100 +011001110111 +011101111000 +011001110111 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +011001100101 +011001100110 +011101100110 +011001100110 +011101110111 +011101110111 +011001100101 +011001010101 +011001010101 +010001000011 +011001010101 +011101010100 +011101010100 +011001000010 +011101010011 +011101010011 +010100110010 +010100110010 +011001010011 +011101010100 +011001010011 +011001010011 +011001010011 +010100110010 +010000110011 +000100010010 +000100010001 +000000000000 +000000000000 +000100010000 +001100100010 +001100110010 +010001000100 +010101010101 +011001100110 +011001010101 +010101010100 +010101000100 +010000110011 +001100110011 +001100110010 +001100100010 +001100110010 +010000110010 +001000100001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010001000011 +010001000100 +010101010101 +011001010101 +011001010100 +011101100101 +101010000110 +101110100111 +101010010110 +100101110110 +011101100100 +011001010011 +010000110010 +001100100001 +000100010000 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100000000 +000100000000 +011000110001 +010100110000 +010000100001 +010001000011 +010000110010 +010100110001 +001000010000 +001000010000 +010000100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100110000 +010100110000 +010100100000 +010100100000 +010000100000 +001100010000 +011000110001 +100001000001 +100101010001 +100101010001 +100101010001 +100001010001 +010100110001 +000100000000 +000100000000 +001000010000 +010000110001 +001000100010 +000000000001 +000000000001 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010000 +101110000010 +100101010001 +100101100010 +100001010001 +011000110001 +010100110000 +010100100000 +010100100000 +010100110000 +010100110000 +010000100000 +010000100000 +010100110001 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100110000 +010100110000 +010100110000 +011001000001 +011001000001 +011000110001 +010100110001 +010100110000 +010100110000 +010100110001 +010100110000 +010000100000 +010000100001 +001000010000 +000100000000 +000000010001 +000000010010 +000000010011 +000100100101 +000100100101 +000000110101 +000001000111 +000001000111 +000001000110 +000001000111 +000001101001 +000001011000 +000001010111 +000101111001 +000101111001 +001010011100 +001110001001 +001101000100 +001101000011 +001101000100 +001101000100 +001101000011 +011001010101 +011001100110 +011001110111 +011001110111 +011001111000 +011001110111 +011001111000 +011001110111 +011001110111 +011101111000 +011001111000 +011001111000 +011110001000 +011010001000 +011010001000 +011110001001 +011110001001 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011110001000 +100010001000 +100010001000 +011101111000 +011101110110 +011101110110 +011101110111 +011110001000 +100010001000 +100010001000 +100010001001 +011101110111 +011101010100 +011101110110 +100001110111 +011101100101 +011101010100 +011001010100 +011101100100 +011101010100 +011001010011 +011101100100 +011101100100 +011101010011 +011101110111 +100110011001 +100010001000 +100010001000 +100010001000 +011101010100 +011001000010 +010100110001 +011101010011 +011101100101 +011101100101 +011101100110 +100001110111 +011101100101 +011101010100 +100001110110 +100001100101 +011101100100 +011101010011 +011101100100 +011101010011 +011101010100 +011101100101 +100001100101 +011101010100 +100001100101 +011101100100 +011101010100 +011101010100 +011101010011 +010101000010 +011101100101 +011101010100 +011001000011 +100001100101 +011101010100 +011101110110 +100010000111 +100001110110 +011101100110 +011101100101 +100001100101 +100001110101 +100001110110 +100001110110 +100001110110 +100010000110 +100001110111 +100010000111 +100110000111 +100010000111 +100010000111 +100010000111 +100001110111 +100001110111 +100010000111 +100010001000 +100010000111 +100010000111 +100001110111 +100010000111 +100010000111 +100010000111 +100110000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010000111 +011101110111 +100001110111 +100010001000 +100110001000 +100010001000 +011101010101 +011001010101 +100010001000 +100010001001 +100010011001 +100010001001 +100010001000 +100001110111 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010001001 +100010001000 +100010001001 +011110001000 +100010001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001000 +011101111000 +011110001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +011101110111 +011001100110 +011001100110 +011101110110 +011101100110 +011101100101 +011101100110 +011001100110 +011001100101 +011101110110 +100001110111 +011101100100 +011001010011 +011101010100 +011000110010 +010000110001 +011001010011 +011101100100 +010101000011 +011001010100 +011001010100 +010000110010 +001100100010 +000100010010 +000100010001 +000100000000 +000000000000 +001000010001 +001100100001 +001100100001 +001100100001 +010000110010 +010101000011 +011001010100 +010001000011 +010001000011 +010101010101 +011101100110 +010101000100 +010101010100 +010001000100 +010000110011 +001100110010 +001100100010 +001100100010 +001100100010 +010000110011 +010000110011 +010000110011 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110011 +010001000100 +010101010101 +011001100110 +011101100110 +100001110110 +101110010111 +110010101000 +110010100111 +101110010110 +101110010110 +101010010110 +101010000110 +100101110101 +100001110101 +011101100100 +010101010011 +010000110010 +001000100001 +001000100001 +000100100001 +000100100001 +000100010001 +000100010001 +001000010000 +001000100001 +000100010001 +000100010001 +001000100010 +010000110011 +001100110011 +001100100010 +001100100011 +001100100011 +001100100010 +001000010000 +001000010000 +001000010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100010000 +001100010000 +011001000001 +100001000001 +100001000001 +100001000001 +100001010001 +011101000001 +010000100001 +000000000000 +000100000000 +001000010000 +001100110001 +000100100010 +000000000001 +000000000001 +000000010010 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +011001000010 +101001110010 +100101010001 +100101010001 +100001010001 +011101000001 +011000110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100100000 +010000100000 +010100110000 +010100110000 +010100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100110000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011001000001 +011001000001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010000110000 +010000100000 +001100100000 +001100010000 +000100000000 +000000010001 +000000010001 +000000010010 +000100100101 +000100100101 +000000110101 +000001010111 +000000110110 +000001000110 +000001000111 +000001101001 +000001010111 +000001010111 +000001011000 +000101111010 +000110001011 +001110001001 +001101000011 +001101000011 +001101000100 +001101000100 +001101000011 +011001100110 +011001111000 +011001110111 +011001100110 +011001110111 +011001110111 +011101111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011101111000 +011101111000 +011001111000 +011010001001 +011110001001 +011101111000 +011101111000 +011101110111 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +100010001000 +011110001000 +011101110111 +011101110110 +011101111000 +011101110111 +011101110110 +100001110110 +100001110111 +100010001000 +100001110111 +011101110111 +100010001000 +011101100101 +011101110110 +011101100110 +100010001000 +100010001000 +011101100110 +100001110110 +011101100110 +011101100101 +011101100101 +100010001000 +100010011001 +100010011001 +100010001000 +011101100101 +011101110110 +011101110110 +100001110111 +011101100101 +100001110110 +011101110110 +100001110111 +100001110110 +011101100101 +100001100101 +011101100100 +011101010100 +011101010100 +100001100101 +011101100101 +011101100101 +100001100110 +011101100110 +100001110110 +011101100101 +100001100100 +011101010100 +011101010011 +011101010011 +011101010100 +011101100101 +011101100101 +011001000010 +011001000010 +011101000011 +011001000010 +011101010100 +100001110110 +100001110111 +011101010100 +011101010100 +100001110110 +100010000111 +100001110111 +100001110111 +100001110110 +100001110111 +100001110110 +100001110110 +100001110110 +100010000110 +100001110110 +100010000111 +100010000111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100110001000 +100010000111 +100110001000 +100010001000 +100010000111 +100010000111 +100001110111 +011101100110 +100010000111 +100010001000 +100010000111 +011101100110 +011101110110 +100001110111 +100010001001 +011110001000 +011101110111 +100010001000 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +100010001001 +100010001000 +011101110111 +100010001001 +100010001001 +100010001001 +100010011010 +100010011010 +011110001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +100010011001 +011101110111 +011001100101 +010101010100 +011001010100 +010001000011 +011001010101 +011101110110 +011101100101 +011101100101 +011001010100 +011101010100 +011101100100 +010101000011 +011001010100 +011001010100 +011001000011 +011001010011 +011001000011 +010101000011 +001100110010 +001000100011 +001000100010 +001000010001 +001000010000 +001100100001 +010000110010 +010000110010 +010100110010 +010100110010 +010000110010 +010101000010 +010000110010 +001100100001 +001100100001 +001100100001 +001100100001 +010000110011 +010001000100 +010001000011 +010101010100 +011001100110 +010101010101 +010101000100 +010000110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100100010 +010000110011 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010101000101 +011001010110 +011101100110 +011001010101 +100101110101 +101110010110 +100101110101 +100101110101 +101010000110 +101010010110 +101010000110 +101110010110 +101010000110 +101110100111 +101010000110 +101010010111 +101010000110 +100010000110 +011101100100 +010101000011 +001100110010 +001100100001 +001000010000 +001100100001 +001100110011 +010001000100 +010001000100 +001100110100 +001100110100 +001100100010 +001100100011 +001100110100 +001000010001 +000100000000 +001000000000 +001000010000 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000000000 +010000100001 +011000110001 +011101000000 +011101000001 +100001000001 +100001000001 +011101000001 +001100010000 +000000000000 +000100000000 +001100100000 +001100110001 +000100010010 +000000010001 +000000000001 +000000010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +101001110010 +100101100001 +100001010001 +100001010001 +100001000001 +011101000001 +011000110000 +010100110000 +010000100000 +010000100000 +010100100000 +010100110000 +010100100000 +010100100000 +010100110000 +010100110000 +010000100000 +010000100000 +010100110000 +010000100000 +010000100000 +010000100000 +010100110000 +010000100000 +010100100000 +010100100000 +010100110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110001 +011001000001 +011001000001 +010100110000 +010100110000 +010000110000 +010000100000 +001100100000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000010001 +000000010010 +000100100101 +000100100101 +000000110110 +000001000111 +000000110110 +000001000110 +000001011000 +000001011001 +000001000111 +000001011000 +000001011000 +000101101001 +000101111010 +001101111000 +001101000011 +001101000011 +001101000100 +001101000100 +001101000011 +011001100110 +011001110111 +011001100110 +011001010101 +011001110110 +011001110111 +011001111000 +011101110111 +011001100101 +011001010100 +011001100101 +011101100110 +011001100101 +011001010101 +011001100110 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110110 +011101110111 +011101111000 +011101110111 +011101110110 +011101100110 +011101110111 +011101110111 +011101100101 +011101110110 +011101111000 +011101110111 +011101110111 +011101100101 +011101100101 +100001110111 +100001110111 +100010001000 +100010001001 +100010001000 +100010001001 +011101110111 +100010011001 +100010011001 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +011101110111 +100010011001 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010001000 +100010000111 +100001110111 +100001110111 +100001110110 +011101100101 +100001110110 +100001110110 +100001100101 +011101100100 +011101100101 +100001110110 +100001110110 +011101100110 +100001100110 +100001110110 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +100001100100 +011101010011 +011101000011 +011001000010 +011001000010 +011101100101 +100001110110 +011101100110 +011001010011 +011101100100 +100001110111 +100001110111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +100001110110 +100001110111 +100010001000 +100110001000 +100010000111 +100010001000 +100010001000 +100010001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +011101100101 +011101100110 +011101110110 +100001110110 +011101100100 +011101100101 +011101100101 +100010001000 +011110001000 +011101111000 +100010001001 +100010001001 +100010011010 +100010011010 +100010001001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010001001 +100010001000 +100010011001 +100010011001 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010001000 +011101110111 +011101100110 +011001100101 +011001100101 +011001100101 +010101000011 +010001000010 +010101000011 +011101100101 +011001010100 +010000110010 +011001010100 +011001010100 +011001010011 +011001000011 +010000110010 +010101000011 +001000010001 +000100100010 +001000100010 +001000010000 +001100100001 +010100110010 +010101000011 +011001100100 +011101100101 +011001010100 +011001010100 +011101100101 +010101000011 +010000110010 +010100110010 +010000110010 +001100100001 +001100100010 +001100100010 +001100110011 +001100100010 +010001010100 +010101010100 +010001000100 +010001000100 +010000110011 +001100110011 +001100110011 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010101000011 +010001000011 +010000110011 +010001000100 +011001010101 +011001100101 +011101100110 +011101100101 +101110010110 +101110100111 +101010010110 +101010010110 +101110100111 +101110101000 +101010010111 +101110010111 +100110000101 +100110000101 +101010000110 +101110010110 +101110100111 +101010000101 +101010000110 +101110010110 +100001110101 +001100100001 +010000110011 +010001000100 +010001000101 +001100110100 +001100110100 +001100110100 +001100110100 +001100110011 +001100110100 +001100110011 +001100110011 +001100100010 +001100100001 +001000010000 +001000010000 +001000010000 +001000010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000010000 +001000010000 +001000000000 +001000000000 +001000010001 +001100110010 +010000110010 +010000100001 +010100100000 +011000110000 +011000110001 +011101000001 +011001000001 +001000010000 +000000000000 +000100000000 +001000010000 +001000100010 +000000010010 +000000010010 +000000000000 +000000000001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010100110001 +101001110010 +100001010001 +011101000001 +011101000001 +011101010001 +011101000001 +011000110001 +010100110000 +010000100000 +001100100000 +010000100000 +010100100000 +010100110000 +010100100000 +010100100000 +010100100000 +010100110000 +010000100000 +010100110000 +010000110000 +001100100000 +001100100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +011001000001 +011000110001 +011000110000 +011001000001 +011001000001 +010100100000 +010000100000 +010000100000 +001100100000 +001100100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000010001 +000000010011 +000100100101 +000100100101 +000001000110 +000001000110 +000001000110 +000001000111 +000001101001 +000001000111 +000001010111 +000001011001 +000001011001 +000001101000 +000101111001 +001101100111 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +011001100110 +011001100101 +011001100101 +011001100101 +011001100110 +011001110111 +011001100111 +011001010101 +011001100101 +011001100100 +011101100101 +011101110110 +011001010100 +010000110001 +010100110011 +011101100101 +011001010101 +011001100101 +011101110110 +011101100110 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101100101 +011101100110 +011110001000 +011101110111 +011101110111 +100001110111 +011101110110 +100001110110 +011101100101 +011101110110 +011110000111 +100010001000 +100010001001 +100010001001 +100010011001 +100010001001 +100010001000 +011101110111 +100010001000 +100010001001 +100010011001 +100010001000 +100010001001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100001110111 +100001110110 +011101100110 +100001110111 +100001110110 +011101100101 +011101100110 +100001110110 +100001110110 +011101100100 +011101010100 +100001100101 +100001110111 +100001110110 +011101100101 +011101100101 +100001110110 +011101100101 +100001100101 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000010 +011001000010 +011001000010 +011101010100 +100001110110 +100001110110 +011101110101 +011101100101 +100001110110 +100001110110 +100010000111 +100110001000 +100001110110 +100001110111 +100001110111 +100001110110 +100001110101 +011101100100 +100001110110 +100010000111 +100110001000 +100010001000 +100010001000 +100110001000 +100110011000 +100110001000 +100110001000 +100010000111 +100010001000 +100010001000 +100010001000 +100110001000 +100110000111 +100001110110 +100010000111 +100001110111 +011101100101 +100001110110 +011101110110 +100110011001 +100010001001 +011110001001 +100010011001 +100010001001 +100010011010 +100010011010 +100010001001 +100010011001 +100010001001 +100010011001 +100010001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001000 +011101100101 +010000110010 +001100110010 +010000110011 +010000110010 +010101000011 +011001010100 +011101100101 +011101100101 +011001010011 +010101000011 +001100110010 +001000100010 +001000100001 +010000110010 +010000110010 +010101010100 +010101000011 +011101100101 +011101100110 +011101110110 +011101100101 +100001110110 +011101100101 +010101000011 +010101000011 +010100110010 +010000110010 +010101010100 +011001010100 +010101000011 +010001000011 +010101000011 +001100100001 +001000100001 +001100100010 +001100110010 +001100100010 +001100100010 +010001000100 +010101000101 +010001000100 +001100100010 +001000100010 +010000110011 +010000110011 +010000110011 +010000110011 +010101000100 +010101000101 +010101010100 +011001010100 +011101010100 +100101110100 +101010010110 +101010010110 +101110010111 +110010101000 +110010111000 +110010111001 +110010111001 +101110101000 +100110000101 +101110010110 +101110100111 +101010010110 +101110010110 +101010000101 +101110010110 +101110010101 +010000110010 +010000110011 +001100110100 +010001000101 +001100110100 +001000110100 +010001000101 +010001000100 +001100110100 +001100110011 +001100110011 +001100110100 +001100110100 +001100110011 +001100100001 +001000010000 +001000010000 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000010000 +010000100010 +001100100010 +001100100011 +001100110011 +001100110011 +001100100011 +001000010001 +001100100001 +010100110000 +011000110001 +010100110001 +000000000000 +000000000000 +000100010000 +001000010000 +001000100010 +000100010010 +000100010010 +000000000000 +000000010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +100001100010 +100001010001 +100001010001 +011101000001 +011101010001 +011101100010 +011101010010 +011000110001 +010100110000 +001100100000 +001100100000 +001000010000 +010000100000 +010100110001 +010100110000 +010100100000 +010100110000 +010100110000 +010100110000 +010000110000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100100000 +010100100000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +011001000001 +011001000001 +011001000001 +011000110001 +011001000001 +010100110000 +010100110000 +010000110000 +010000100000 +001100100000 +001100010000 +001000010000 +000100000000 +000100000000 +000000000001 +000000010011 +000100100101 +000100110110 +000001000111 +000001000110 +000001000110 +000001011000 +000001011000 +000001000111 +000001011000 +000001011000 +000001101001 +000101101001 +000101101001 +001001010110 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +011001100110 +011001100101 +011001100110 +011001100110 +010101100111 +011001110111 +010101010101 +010101000100 +011101110110 +011001100101 +011001010100 +011101100110 +011001010101 +011001000100 +011001000011 +011001010011 +010100110010 +001100100001 +010101000011 +011001010100 +010101000011 +011001100101 +011101110110 +011101110110 +011101110111 +100001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011101100110 +011001010100 +011101100110 +011101110110 +100001110110 +100001100110 +100001110110 +011101110110 +011101110111 +100010001000 +011110001000 +100010001001 +100010011001 +100010001000 +100001111000 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010001001 +100001110110 +100001110110 +100001110111 +100010001000 +100010001000 +100001110110 +100001110110 +100001110110 +100001100110 +100001100101 +011101100100 +100001100101 +100001100110 +100001100110 +011101100101 +100001110110 +011101110110 +011101100101 +100001100101 +011101010100 +011101100100 +011101010100 +011101010100 +011101100100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +011101100101 +011101100101 +011101100101 +011101110110 +011101100101 +100010000111 +100010000111 +100010001000 +100001110111 +100010000111 +100001110110 +100001110110 +100001110110 +100001100101 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100110001000 +100010001000 +100010001000 +100010000111 +100110000111 +100110001000 +100010000111 +100010001000 +100010001000 +100110001000 +100110001000 +100010000111 +100110011001 +100010001000 +100001110111 +011101110110 +100010011001 +100010011001 +100010001001 +100010011001 +100010011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100010001001 +100010011001 +100010001001 +100010001000 +100010001001 +100010011001 +100010011010 +100010011001 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100110011010 +100010011001 +100010011001 +100110011010 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +011101110110 +011101110110 +011101110110 +011101110111 +011001110111 +011110001000 +100010001000 +011101110110 +001000100010 +000100010000 +001000100001 +011001010100 +011001100101 +011001010100 +011001010100 +010101000011 +011001010100 +011001010100 +001100110010 +001000100001 +010101000011 +010101000011 +011001010101 +011101100110 +011001010100 +010101000010 +011001010100 +011101110110 +011001010100 +011001100101 +011101100101 +011101100100 +011001010011 +010101000011 +011001000011 +011001010100 +011101100101 +011001010100 +011001000011 +010101000011 +011001010011 +010101000011 +011001010011 +010101000011 +001100100001 +001000100001 +001100100001 +001000010001 +001100100010 +001100100010 +001100100010 +001100100010 +010000110011 +010101010101 +010101000100 +010001000100 +010000110011 +010101000011 +011101010101 +100001110110 +101110010111 +101110010110 +101110010111 +101010010111 +100101110101 +101010010110 +110010111000 +110110111001 +110110111001 +110010111000 +110010100111 +101110100110 +101110010110 +101110100111 +101010000101 +101010000101 +101010010101 +010101000011 +001100110010 +001100110100 +010001010110 +001100110100 +001000100011 +010001000101 +001100110100 +001100110011 +001000010001 +000100010001 +001000010001 +001000100010 +001100110011 +001100110011 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100100010 +010001000100 +001000100011 +001000100011 +001100110011 +001000100011 +001000100011 +000100010010 +001000100010 +001100100000 +010100110001 +001100010000 +000000000000 +000000000000 +001000010000 +001000010000 +001000100001 +001000100010 +000100010010 +000000000000 +000100010001 +000000010000 +000000010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100010001 +101001110010 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101010001 +010100110001 +010000100000 +001100100000 +001000100000 +000100000000 +001000010000 +001100100000 +010100110000 +010100110000 +011000110001 +010100110000 +010000100000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100100000 +010100110001 +011001000001 +011001000001 +011001000001 +011001000001 +011000110001 +010100110000 +010100110000 +010000110000 +001100100000 +001100010000 +001000010000 +000000000000 +000100000000 +000000000001 +000000010011 +000100100101 +000100110110 +000001010111 +000001000110 +000001000111 +000001011000 +000001000111 +000001000110 +000001011000 +000001011000 +000001111010 +000101111010 +000101011000 +001101010101 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001000100010 +010000110011 +010101010100 +010101100110 +011001100111 +011001100111 +011001100101 +011001010101 +011101100110 +011001010100 +010100110010 +011001010101 +011101110111 +011101100110 +011001010100 +011101100100 +011001010011 +010000100001 +001100010001 +001100010001 +001000010000 +010000110010 +011001010011 +011001000011 +011001010100 +011101100110 +011101110110 +011101110111 +011101111000 +011110001000 +011110001000 +011101110111 +011001010100 +011001010101 +100010001000 +100001110111 +100001110110 +011101100110 +011101110110 +011101110111 +011101110111 +011101100110 +011101110111 +011110001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011001 +100010011001 +100010000111 +100010001000 +100010001000 +100010011001 +100010001001 +011101110110 +011101100110 +100001110111 +100001110110 +011101100100 +011101010100 +011101100101 +100001100101 +100001100101 +100001100110 +100001110111 +100001110110 +100001110110 +100001110110 +011001010011 +011101010100 +011101010100 +011101010100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101010100 +011001000011 +011101100101 +100001110111 +011101100110 +011101100101 +011101100101 +100001110110 +100001110111 +100010001000 +100010000111 +100110001000 +100010000111 +100010000111 +100010000111 +100001110111 +100001110111 +100010000111 +100001110111 +100010000111 +100001110111 +100110001000 +100010000111 +100010000111 +100110000111 +100110001000 +100010000111 +100001110111 +100010001000 +100110001000 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100001110111 +100010000111 +100010001000 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100110101010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010011010 +100010011001 +100010001000 +100010001000 +100001110111 +100010001001 +100010011001 +100010011001 +100010001001 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010001001 +100010011010 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010001001 +100001110111 +100001110111 +100010000111 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +010101010101 +010101000100 +100001110110 +100010001000 +100010001000 +100010000111 +001100110010 +010101010100 +011101100101 +001000010001 +000000000000 +010000110010 +010000110010 +010001000011 +011001100110 +011001100110 +010101010100 +011101100110 +011101110111 +011101110111 +011101110110 +011101110110 +011001010100 +010101000011 +011101100101 +011101100110 +011001100101 +011101100110 +011001010101 +011001010101 +011101100110 +011001010101 +010000110010 +010101000010 +011001010011 +010101000011 +011001010011 +010000110010 +010000110010 +001100100001 +010000110010 +001100100001 +001100100010 +001100100010 +010101000100 +010101010101 +011001100101 +010101010101 +010101000100 +010101010100 +011101100101 +101110010111 +110010111000 +110010111000 +110010100111 +110010111000 +101110100111 +110010100111 +110010101000 +110110111001 +101110010111 +110010100111 +110010101000 +101110100111 +101110100111 +101010010110 +101010000101 +101110010110 +010101000011 +001000010001 +001000110011 +010001000110 +001100110100 +001000100011 +001100110100 +010001000100 +001000100010 +000000000000 +000000000000 +000100000000 +000100010000 +001000100010 +001000100010 +000100010001 +001000010000 +001100010001 +001100010000 +001100010000 +001000010000 +001100010001 +010000110011 +001100110100 +001000100010 +000100010010 +001100110011 +001000100011 +001000100010 +000100010001 +001000100010 +001100110100 +001000100001 +001100010001 +000000000000 +000000000000 +000000000000 +001000010000 +001000010001 +001000100001 +001000100011 +000000010010 +000000010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000000010001 +000000010001 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +011001000010 +101001100001 +100001010001 +100001010001 +100001010010 +100001010010 +011101010001 +011001000001 +010100110000 +010000100000 +010000100000 +001100100001 +000100010000 +001000010000 +001100100000 +010100110000 +010100100000 +011000110000 +010100110000 +010000100000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110001 +011001000001 +011001000001 +011000110000 +011000110001 +010100110000 +010100110000 +010100110000 +010000100000 +001100100000 +001000010000 +001000010000 +000000000000 +000000000000 +000000000001 +000000010011 +000100100110 +000101000111 +000001000111 +000001000110 +000001011000 +000001011000 +000001000110 +000001000111 +000001011000 +000001011000 +000001111010 +000101111010 +000101000110 +001101010101 +001101000011 +001101000100 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000100010001 +001000110011 +010001000100 +010101010100 +011001100101 +011001100101 +010101000011 +010100110001 +010101000010 +011101100110 +011101110111 +011001100101 +011101100101 +011001010100 +010100110010 +010000100001 +010000100001 +010000100001 +010100110010 +011001000010 +010100110001 +010000100001 +010101000010 +010101000011 +011001010100 +011101100110 +011101110111 +011110001000 +011110001001 +011101111000 +011101110110 +011110001000 +011110001000 +011101110111 +011101110111 +011101111000 +011101110111 +011101110110 +011101100101 +011101100110 +100010000111 +011110001000 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010001000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100010001000 +100001110110 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101010011 +011101010100 +100001100101 +011101100100 +011101010100 +011101010100 +011001010011 +011101010100 +011101010100 +011101100100 +011001010011 +011001000010 +100001110110 +100001110111 +011101100110 +011001010100 +011101100101 +011101010101 +010101000011 +011001000011 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100001110111 +100001110111 +100010000111 +100110001000 +100010001000 +100010000111 +100001110111 +100001110110 +100010000111 +100110001000 +100001110111 +100001110110 +100010001000 +100110001000 +100010001000 +100110000111 +100001110111 +100001110111 +100010000111 +100010001000 +100110001000 +100010000111 +100010001001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011001 +100010011010 +100010011010 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011010 +100110011010 +100110011010 +100010011010 +100010011010 +100110011010 +100010001000 +100010011001 +100010011001 +100110011010 +100110011010 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001001 +100010001000 +100001110111 +100001110110 +011101110111 +100010001000 +100010001000 +100010001000 +010000110011 +010101000100 +100001110111 +010001000011 +000000000000 +010001000011 +011001100101 +010101000100 +011001010101 +011001100101 +011001100101 +011101100110 +011101110111 +011101110111 +011101111000 +011101110111 +011101100110 +011101100101 +011101100110 +011001100110 +010101010101 +010101010101 +011001100110 +010101010101 +010101010100 +011101100101 +011001100101 +011001100101 +011101100101 +011001010100 +010100110010 +011001010011 +010101000011 +010100110010 +010101000010 +010000110010 +010000110010 +010000100001 +001100100001 +001100100001 +001100100010 +010000110011 +010101000100 +001100110010 +010101000100 +011001010100 +011101100100 +100001110101 +100110000110 +101010000110 +101110010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010111 +110010100111 +110010111000 +101110010110 +110010100111 +101110010110 +011101100100 +001100100010 +001100110011 +010001000101 +001000100011 +001000100011 +001100100011 +001100100011 +000100010001 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000100010001 +000000000000 +000100000000 +001000010000 +000100000000 +001000010001 +001100110011 +001101000100 +001000100011 +000000000000 +001000100010 +001100110011 +000100010010 +000100010001 +000100010001 +001100110100 +010001000101 +001000100011 +001000100010 +000100100010 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +001000100011 +000100100011 +000100010010 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000000010001 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +100101100010 +100001010001 +100001000001 +011101000001 +100001010001 +011101010001 +011101000001 +011000110001 +010100100000 +010000100000 +010101000001 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110001 +001100010000 +001100100000 +010000100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000110000 +010100110001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000110000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000000000 +000000000000 +000000010011 +000100110111 +000101001000 +000001000110 +000001000111 +000001011000 +000001000111 +000001000110 +000001010111 +000001000111 +000001011000 +000001111010 +001001101001 +000100110100 +001101000100 +001101000011 +001101000100 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +001000100001 +010000110010 +010101010011 +011001010011 +011001000011 +011001100101 +011101110111 +011101100110 +011101110111 +011101100110 +011001010100 +010100110010 +010100110010 +010100110010 +011001000010 +011101000011 +011001000010 +010000100001 +001100010001 +000100000000 +010100110010 +011101100101 +011001100110 +011001100110 +011101110111 +011101110111 +100010000111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011101110111 +011101100101 +011101100100 +011101100110 +100010001000 +011110001000 +100010000111 +100010001000 +100010011001 +100010001000 +011110001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001001 +100010001000 +100001110111 +011101100101 +011101010011 +011101100100 +011101100100 +011101100100 +011101010100 +011001000011 +011001000010 +011101000010 +011101010100 +011101010100 +011000110010 +011001000011 +011101100101 +011101100101 +011101100101 +011001010100 +011101100101 +011101110110 +011001010011 +011101010100 +100110000111 +100001110111 +100010001000 +100010001001 +100010000111 +100001110110 +100010000111 +100010001000 +100010001000 +100010000111 +100010000111 +100001100101 +100001110110 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100110001000 +100010000111 +100010000111 +100001110111 +100010001000 +100010000111 +100010001000 +100010011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010001000 +011101110110 +011101110111 +011110001000 +011110001001 +100010001000 +100010000111 +100010000111 +100010001000 +100001110110 +100001100101 +011101100101 +011101100101 +011101110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110110 +011001010101 +100001110110 +100001110111 +011001010100 +011101100101 +100010001000 +011101110111 +011001100101 +011101100110 +011101110111 +011101110111 +011001100101 +011101100110 +011101110110 +100001110110 +011101110111 +011001110111 +011001100110 +011001100110 +011001100101 +010000110010 +011001010100 +010101010100 +011001100101 +011001100110 +011101100101 +011101100101 +100001100101 +010101000011 +010101000011 +010100110010 +010101000010 +010101000010 +011001000010 +010100110010 +010000110010 +010001000011 +010000110010 +010000110010 +001100100001 +001100100001 +010101000011 +010000110010 +001100110010 +001100100010 +010000110010 +010101000011 +010101000011 +011001010011 +100001110101 +101110010111 +101010010110 +101110010110 +101110010110 +101110010101 +101110010110 +110010010110 +101110010101 +011101010011 +001100100001 +001100110011 +001100110100 +000100010010 +001000100011 +001100110011 +001000100010 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100000000 +000100000000 +000000000000 +000100000000 +000100000000 +000100000000 +010000110100 +001101000100 +001000100011 +000100010001 +000000000000 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +001000010001 +001000100010 +001000100010 +001100110011 +001000110011 +001000100011 +001100110100 +001100110100 +001100100010 +000100010000 +001000100011 +000100100011 +000100010010 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010000110001 +101001100010 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +010100110000 +010000110000 +011001000001 +011001000001 +001100100000 +010000100000 +011001000001 +010000100000 +001100010000 +001100100000 +010000100000 +010100110000 +010000100000 +001100010000 +001100100000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110001 +010100110000 +010100110000 +011000110001 +011000110001 +010100110000 +010100100000 +010100110000 +010100110000 +010000100000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000000000 +000000000000 +000000100100 +000001001000 +000001000111 +000000110101 +000001000111 +000001000111 +000000110110 +000001000110 +000001010111 +000000110110 +000001101001 +000001111010 +001001101000 +000100100011 +001101000100 +001101000100 +001101000100 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100110010 +010001000011 +011001100110 +011001110111 +011101110111 +011101111000 +011101110111 +011101100101 +011001010011 +011101010100 +011101010100 +011001000011 +011001000010 +011000110010 +010100110010 +001100010001 +000100000000 +010000100001 +010100110011 +010101000100 +011001010101 +011001010100 +010001000011 +010101010100 +011001010101 +011101110111 +011110001000 +100010001001 +011110001001 +011110001000 +011101110111 +011101110111 +011101110110 +011101100101 +100010000111 +100010001001 +100010001000 +100010000111 +100010001000 +100010000111 +011110000111 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001000 +100001110111 +100001110110 +011101110110 +011101100101 +011101100101 +100001100101 +100001100101 +011101100101 +011001000011 +011001000010 +011001000010 +011001000010 +011101000011 +011001000011 +011101100101 +011101100101 +011001010100 +011001100101 +100010001000 +100010001000 +011101010101 +100001110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100110011001 +100010001000 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100001110111 +100010000111 +100001110110 +100010001000 +100010001000 +100001110111 +100001110111 +100010001000 +100010011001 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +100110011010 +100110011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011001 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100010011010 +100010011010 +100010011001 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010000111 +011101100101 +100001110110 +011110001001 +100010001001 +100001110110 +100001110110 +100001110110 +100010001000 +100001110110 +011101100100 +011101100100 +011101100101 +011101100110 +011101110110 +100010000111 +100001110111 +100001110110 +100001110110 +100001110111 +100001110110 +011101100101 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100110011001 +100010001000 +100010001000 +011001100110 +010101010101 +010101010100 +011001100101 +011101110111 +011001100110 +011001100110 +011101110111 +011001110110 +010101000011 +010000110010 +010101000100 +010101010100 +011001100101 +100010000111 +100001110111 +011001010100 +011001010011 +011001000011 +010101000010 +011001000011 +010101000010 +010100110010 +010100110010 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100100001 +001100100010 +001100100010 +001000100001 +001000010001 +001000010001 +001100100010 +010000110011 +011001010100 +010101000011 +011001010011 +100001100100 +100101110101 +101010000101 +101010000101 +101110010110 +100001100100 +001100100001 +001100110011 +001101000100 +000100100010 +001100110100 +001100110100 +001000100011 +000100000000 +000100010000 +001000010000 +001000010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +001000100011 +001100110100 +000000010001 +000000000000 +001000100010 +001000100010 +000100010001 +000100010000 +000100000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000010001 +001000100010 +001000100011 +001100110011 +001000100010 +001000100001 +000100010000 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +011001000010 +100101010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110000 +010100110001 +011001000010 +011101010010 +011101010001 +010000110000 +011000110000 +100101010010 +011000110001 +001000010000 +001000010000 +001100100000 +010000110000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110001 +010100110000 +010100110001 +010100110000 +010100110000 +010100110000 +010100110000 +010000110000 +010000100000 +001100010000 +001000010000 +000100000000 +000000000000 +000000000000 +000000100101 +000001000111 +000000110110 +000000110110 +000001010111 +000000110110 +000000110110 +000001000111 +000001000111 +000000110110 +000001101001 +000101111010 +000101000110 +000100100010 +001101000100 +001101000100 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100010 +001100110100 +001101000100 +010001010101 +010001010110 +010101100110 +011001110111 +011101100110 +011001100101 +011101100101 +011101100101 +011001010011 +011001000010 +010100100001 +010100100001 +010000100001 +001100010000 +001100010000 +010000100001 +001100100001 +011101010100 +011001000011 +000100000000 +001000010000 +010000100010 +010101000011 +010101000011 +011001100110 +011110001000 +011110001001 +011110001000 +011110001000 +011101110111 +100010000111 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010011001 +100010011001 +100001110111 +011101100101 +100001110101 +100001110111 +100010001000 +011101100101 +011101010011 +011101010100 +011001000010 +011000110010 +011001000010 +011001000010 +011001010011 +011001010100 +011101110110 +011101110111 +011101110110 +100001110110 +100001110110 +100010001000 +100001110111 +100010001000 +100010001001 +100010001000 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010011001 +100010001000 +100010001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +011101110111 +100010001000 +100010001000 +100010011001 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100110011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011000 +100010001000 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100001110111 +100010011001 +100010011010 +100010011001 +100010011001 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010001000 +100001110111 +011101110110 +100010001001 +100010001000 +100001110111 +100001110110 +100001110110 +100010001000 +100010001000 +100001110110 +100001100101 +011101100101 +011101110110 +011101110110 +100010001000 +100001110110 +011101100101 +011101110101 +100001110110 +011101100101 +011101100101 +011101100101 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010011001 +100010011001 +011101110110 +011101100110 +100001110111 +100010000111 +010101010101 +001100100010 +010101000100 +010101000100 +010101010101 +011001100101 +010101000100 +010101000011 +010001000011 +011001100101 +011101110110 +100010000111 +100010001000 +100001110110 +011101100101 +100001110101 +011001010100 +010101000011 +010000110010 +010100110010 +010101000011 +010000110011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000010 +010000110010 +010000110010 +010101000011 +010101000011 +001100100010 +001000100001 +001000010001 +001000100010 +010000110011 +001100100010 +001000010001 +001100100010 +001100100010 +010101000100 +011001010101 +011101100110 +100001110101 +011001010011 +001000010001 +001000100010 +001101000100 +000100100010 +001100110100 +001100110100 +001100110011 +001000010001 +000100010001 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010000 +001000010000 +000100000000 +000100000000 +000000000000 +000100010001 +000100010001 +000000000000 +000100010001 +001000100010 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +001000010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +100101100011 +100001000000 +011101000001 +011101000001 +011001000001 +011001000001 +010100110000 +010000110000 +010101000001 +100001010001 +100101100010 +101110000001 +011101010001 +011101000001 +101001100010 +100001010001 +001100100000 +001000010000 +001100100000 +010100110000 +010100110000 +010000100000 +001100100000 +001100100000 +010000100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000000001 +000000110101 +000000110110 +000000110101 +000000110110 +000001000110 +000000110101 +000001000110 +000001000111 +000000110101 +000001000110 +000001101010 +000101101001 +000000110100 +001000110011 +001101000100 +001101000100 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100010 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001000101 +010101010100 +010101010100 +011001100101 +011001010100 +011001000011 +010100110001 +010000100001 +010000100001 +010000100001 +010000100001 +010000100001 +010100100001 +010000100010 +010100110010 +010100110010 +001000010000 +001100100001 +001100100001 +001000010000 +001000010001 +001000010001 +010001000011 +011001010101 +011101110111 +011101100101 +011101100101 +100001110110 +100001110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010011001 +011110001000 +100010001001 +100010001000 +011101110110 +011101110111 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100001110111 +011101100101 +011101110110 +100010001000 +011001010011 +011001000011 +100001110110 +011101010100 +011001000010 +011001000010 +011001000010 +011001000010 +011001010011 +011101110110 +100001110111 +011101100110 +011101110110 +100010000111 +100010000111 +100001110111 +100010001000 +100010001001 +100010011001 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100110011001 +100010011001 +100010001000 +100010000111 +100001110111 +100010000111 +100010000111 +011101100110 +100001110111 +100010011001 +100110011001 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100110011010 +100010011001 +100010011010 +100010001001 +100010001001 +100010011001 +100010011001 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100001110111 +100010000111 +100010001000 +100001110111 +100001110111 +100010000111 +100001110101 +100001110110 +011101100101 +011101100101 +011101100110 +100010001000 +011101110110 +100001100101 +100001110101 +100001110110 +100001110111 +100001100101 +011101100100 +100010000111 +100001110111 +100001110110 +100010001000 +100010001000 +100010011001 +100010001000 +100001110111 +011101100101 +011101100101 +100001110111 +011101100110 +001100100010 +001100110010 +001000100001 +011101100110 +100001110111 +011101100101 +010000110010 +010001000011 +010101010100 +011001100101 +011101110110 +100010000111 +011101110111 +011001010101 +011001100101 +100001110110 +011101100101 +010000110010 +010000110010 +010101000011 +010000110010 +010101000011 +010100110010 +010000100001 +011001000011 +011001010011 +011001000011 +011001000011 +011101010100 +100001110110 +011101100110 +010101010100 +010000110011 +001100110010 +010000110011 +001100100001 +001100100001 +001100100001 +001000100001 +001100110011 +001100110011 +010001000101 +010101010101 +010101000100 +010000110011 +001000100010 +001100110011 +000100010010 +000100010001 +000100010001 +001000100010 +000100010001 +000100000000 +001000010001 +001000010001 +001000100001 +001100100010 +010000110010 +010000110010 +001100100001 +001100100001 +001000100001 +000100010001 +000000000000 +000000000000 +000100010001 +001100110011 +001000100010 +000100010001 +001000010001 +000100010000 +000100010000 +000100010001 +001000010001 +000100010000 +001000010001 +001000010001 +001000010001 +001100100010 +001100110010 +001100100001 +001000010001 +001100100001 +001000010001 +001000010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010000100001 +100101100010 +011101000000 +011101000001 +011001000001 +011001000000 +011001000001 +010100110001 +010100110001 +011101000001 +101101110001 +110110100001 +111010110001 +101110000001 +100001000001 +101001100010 +100101100010 +010000110000 +001000100000 +010000110000 +011001000001 +011101000001 +010100100000 +001100100000 +001100010000 +001000010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100100000 +001000010000 +000000000000 +000000010001 +000000010011 +000000100011 +000000100100 +000000110110 +000000110101 +000000110101 +000001000111 +000001000110 +000000100100 +000001000111 +000001101001 +000101101001 +000000010010 +001000110011 +001101000011 +001101000100 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010100 +010101000011 +010000110001 +010000100001 +010000100001 +010000100001 +010000100001 +010000100001 +010000100001 +010100100010 +010100100001 +010100110010 +001100100001 +010000100001 +010000100001 +010000100001 +010000100001 +001100010001 +011101010101 +011101110111 +100010001000 +011001010100 +010000100001 +010100110010 +011101100100 +100001110101 +011101110110 +100010001000 +100010001001 +100010001001 +011110001001 +011110001001 +011110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010000111 +011110001000 +011101110111 +011101110111 +100010001001 +100010011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010001000 +100010001001 +100010011001 +100001110111 +011001010100 +011101100110 +100001110111 +011101100100 +011001010011 +011101010100 +011001000010 +011001000011 +011101110110 +011101100110 +011101010100 +011101100101 +011101110111 +100001110111 +100001110111 +100001110111 +100010001000 +100010011001 +100010001000 +100010001000 +100110011001 +100010001001 +100010000111 +100010000111 +100001110111 +100001110110 +100001110110 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010011000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100001110111 +100010000111 +100010001000 +011101100110 +100001110111 +100010000111 +100010011001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100110011010 +100110011010 +100010011010 +100110101011 +100010011010 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010001000 +100010001001 +100010011001 +100010000111 +100010000111 +100010001000 +100010000111 +100001110110 +100010000111 +100010000111 +100001100110 +011101100110 +011001100101 +011101100110 +100010001000 +100001110111 +100001110110 +100001110101 +100010000111 +100010001000 +100001110110 +011101100101 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +100010001000 +100001110111 +100001110111 +011101100110 +011101110110 +011101100101 +011101100101 +011101110110 +011101110111 +010101010101 +011110001000 +100010001001 +100010001000 +011001010101 +011101100110 +100010000111 +011001010101 +011101100110 +100001110111 +011001100101 +001100100010 +001000010001 +011001010101 +011101100110 +011101100101 +010101000011 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010000100001 +010100110010 +011001010011 +011001010011 +011101010100 +011101100101 +100010001000 +011101110111 +011101100110 +011001010101 +011001010100 +010101000011 +010000110010 +010101000011 +010000110010 +010000110011 +001000100001 +001000010001 +001100110011 +010001000100 +010000110011 +001100100010 +001000110011 +000100100010 +000000000000 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +000100010001 +001000010000 +001100100001 +010000100010 +010000110010 +010000110010 +001100100001 +001100100001 +001100100001 +000100000000 +000000000000 +001000100010 +001000100011 +001000100011 +000100010000 +000100010000 +001000010001 +001000010001 +001100100010 +001100100010 +001000100001 +001100100001 +001000010000 +001000100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000010001 +001100100010 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +001000100010 +001000100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +011101000010 +100001010001 +011001000001 +011001000001 +011001000001 +011101000001 +011001000001 +011001000001 +011101000001 +101001100010 +110110010001 +111111000000 +111110110001 +111010100001 +100001010001 +101001100010 +101001110010 +010101000001 +001100100001 +001100100000 +011001000001 +100001000001 +011001000001 +010000100000 +001000010000 +001000000000 +001000010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100110000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +001100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000100100 +000000110101 +000000100100 +000000110110 +000001000111 +000000110101 +000000010100 +000001010111 +000001101001 +000101011000 +000000010001 +001000110100 +001101000011 +001101000100 +001101000100 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001100110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010100 +010001000100 +010000110010 +010000110010 +010000110001 +010000100000 +010000100001 +010000100001 +010000100001 +010000100001 +010000100001 +010100110001 +010101000010 +010100110010 +011000110010 +010000100001 +011001010100 +100010000111 +100001110111 +011001000011 +001000000000 +001000010000 +011001000011 +011001000011 +010001000010 +011001110110 +011110001000 +100010001000 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +100010001001 +011110001001 +011110001001 +100010011001 +100010001001 +011110001000 +100010001000 +100010001001 +100010011010 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100010001000 +011101100101 +100001110111 +011101100101 +011001010011 +100001110111 +100001110111 +011001010011 +011101100101 +100010000111 +100001110111 +100001110110 +011101110110 +100010001000 +100010011001 +100110011001 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010000111 +100001110110 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011001 +100010011001 +100010001001 +100110011001 +100010001000 +100010001000 +100010000111 +100001110111 +011101110110 +100010000111 +100010001000 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100110101011 +100010011010 +100010011001 +100010011010 +100010011001 +100010011010 +100010011001 +100110011010 +100110011011 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100010000111 +100001110110 +011101100101 +011001100101 +011001100101 +100010001000 +100010000111 +100001110111 +100001110110 +100001110110 +100010000111 +100001110110 +100001110110 +100001110101 +100001110110 +100001110110 +011101110110 +100001110110 +100010000111 +100010000111 +100010000111 +011101110111 +011101110111 +011101110110 +011101100100 +011101100110 +100001110111 +100001110110 +100001110111 +100010001000 +011101110110 +011101100110 +011101110111 +011110001000 +011101110111 +100010000111 +100010001000 +100010001000 +011101110111 +011001010101 +011101100101 +011001010100 +011101100101 +011001010100 +011001010100 +010101000011 +010000110010 +011001010100 +011101100110 +010101000100 +010101000011 +011001010100 +011101100100 +011001000011 +010101000010 +011101110111 +011101110111 +011101110111 +011001100110 +011001100101 +011001100101 +010101010100 +010101000011 +010000110010 +010000110011 +010000110010 +010000110010 +001100100001 +001100100001 +001100110010 +001100100001 +001000100010 +001000110011 +000000000001 +000000000000 +000000000000 +001100110011 +010101010110 +010101010101 +010001000100 +010001000100 +001100100010 +001000010001 +001000010000 +001000010000 +001000100001 +001100100001 +001100100001 +001000010000 +000100010001 +001000100010 +001000100010 +000100010001 +000100010000 +000100010000 +000100010000 +001000010000 +001000100001 +001000010001 +001000010001 +001000010001 +001000010000 +001000100001 +001100100010 +001100100010 +001100100001 +001000010001 +001000010001 +001100100010 +010000110011 +010101000011 +011101010101 +011001010101 +011001010100 +010101000100 +011001010101 +011001010101 +010101010100 +010101000100 +010000110011 +001100100010 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +100001010010 +100001000001 +011101010001 +011101010010 +011001000001 +011101000001 +011000110000 +011000110000 +100101010001 +111010010010 +110110000001 +111010110000 +111110110001 +111010110001 +100101100001 +100101100001 +101101110010 +011001000001 +001100110001 +010000110001 +010000100000 +011101000001 +100001010001 +011001000001 +001100010000 +001100010000 +001100010000 +001000000000 +001000010000 +001100100000 +001100100000 +010000100000 +010000100000 +010000110000 +010100110000 +010000110000 +010000100000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +000100000000 +000000000000 +000000000000 +000000000000 +000000100011 +000000110100 +000000100011 +000000110110 +000000110110 +000000100011 +000000100100 +000001000111 +000101101001 +000101000110 +000100010001 +001001000100 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001100110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010110 +010101100110 +010101100110 +010101010101 +010101000100 +010000110010 +010000100001 +001100100000 +010000100000 +010100100001 +010000100001 +010000100001 +011001000011 +010100110010 +010000100001 +010000100001 +010100110001 +011101010100 +011101010011 +011000110010 +010000100001 +010000100001 +011001000010 +010101000010 +010100110010 +010101010100 +011001010100 +010101000011 +011001100101 +011101110110 +100010000111 +100010011001 +100010001001 +100010011001 +011110011010 +011110001001 +011110011001 +011110011001 +011110001001 +011110001000 +011110001000 +100010011001 +011110011001 +100010011001 +100010001001 +100010001001 +011110001001 +011110011001 +011110001001 +100010001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +011110001000 +011101110111 +011110000111 +011101110111 +011101100101 +011101110111 +100010001000 +011101110111 +100010001000 +100010001000 +100010000111 +100001110111 +011101110111 +100010001000 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +011001100101 +011101110110 +011001000011 +011001000010 +011101100110 +100010001000 +100010001001 +100010011001 +100010001001 +100010001000 +100010011001 +100010001001 +100010001000 +100010001001 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010001001 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100110011010 +100110101011 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011001 +100010001001 +100010001001 +100110011001 +100110001000 +100001110110 +100001110110 +100010000111 +100001110110 +011101100110 +011001100101 +011101100101 +100001110110 +100001110110 +100001110111 +100001110110 +100001100101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001110110 +011101110110 +011101110110 +011101110111 +100001110111 +011101110111 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110110 +011101100101 +100001110111 +011101110111 +011101110111 +011101100110 +011101100101 +011101110111 +100010001000 +100010001000 +011001100110 +001000100010 +001000100001 +011001100110 +010101000100 +001100110010 +001100100001 +001100100001 +010101000100 +011101100110 +011101110110 +011101100101 +011001010100 +011101100101 +010000110010 +010001000011 +011101110110 +011101110111 +011101110111 +011001010101 +011101100101 +011101100101 +011101100101 +011001010100 +010100110010 +010100110010 +010000110001 +010000100001 +001100100001 +001000100001 +001100100001 +001100110010 +001000100010 +001000100010 +000100100010 +000000000000 +000000000000 +000100010000 +001100110011 +010000110011 +001100100010 +001100110010 +010000110011 +010101000011 +010000110011 +010001000100 +010001000100 +010001000011 +001100110010 +001000010001 +001000100010 +001100110100 +000100010001 +000100010000 +001000100001 +001000010001 +001000010001 +001000010001 +000100010000 +001100100010 +001100100010 +001000010001 +001100100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100001 +001100100010 +001100100010 +010000110010 +010000110011 +010101000011 +010101000100 +010101000100 +011001010101 +011101100101 +011101100101 +011001010100 +011101100101 +100110000110 +100101110101 +011101100101 +011001010100 +010101000100 +010000110011 +001000100001 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100100001 +100101100010 +011101000001 +011101100100 +100001100101 +011001000001 +011000110000 +010100110000 +011101000001 +110110010001 +111110110000 +111010010001 +111010100001 +111010100001 +111010100001 +101010000001 +100001010001 +101001110010 +011101010010 +010000110001 +011001000010 +010100110001 +010100110001 +100001000001 +100001010001 +010100110000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000110000 +010000100000 +010000100000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001000010000 +000000000000 +000000000000 +000000000001 +000000010011 +000000010010 +000000100011 +000000110110 +000000110101 +000000010011 +000000100100 +000001000110 +000101011000 +000100100011 +000100100010 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010101 +010001000011 +010000110010 +010000100001 +010000100001 +010000100001 +010100110001 +010000100001 +010000100001 +010000100001 +010000100001 +010100110001 +011001000001 +011001000001 +010100110001 +010100110001 +011000110001 +010100110001 +010100110001 +011101010011 +011001000010 +001000010000 +010101000011 +011001000011 +010101010100 +011110001000 +100010001000 +100010001001 +100010011001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100001110111 +100001110111 +100001110111 +100001110111 +100010001000 +011101100110 +011101010100 +011101010011 +011001000011 +011101100110 +100010001000 +100010001001 +100010011001 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100110011010 +100110011010 +100010011010 +100010101011 +100010011010 +100110101010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001000 +100010001001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100110001000 +100010000111 +100010000111 +100001110110 +011101100110 +011001100101 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001110101 +100010000110 +100010000111 +100001110101 +100001110110 +100001110110 +100001110110 +100001100101 +100110000111 +100001110110 +011101100101 +011101100101 +011101100110 +011101110110 +100001110110 +011101110110 +011101110110 +100001110110 +011101100101 +011101100101 +100001110101 +011101100101 +010101010100 +011101100101 +011001010100 +011001000011 +011101100101 +100010000111 +100010001000 +011101110111 +011001100110 +011001100101 +011101110111 +100010001000 +100010001000 +100001110111 +010101000100 +001000010001 +010000110011 +010001000011 +011001010100 +011001010100 +010101010100 +011001010100 +011001100101 +011101110101 +011101110110 +011101110110 +011101010100 +011001010011 +011001010100 +011001000011 +011001010011 +011001000010 +011001000010 +011001000010 +010100110010 +010101000011 +010001000011 +001100100001 +001000010000 +001000100010 +000100100010 +001000100011 +001000010001 +001000010000 +001100100001 +010000100001 +010000100001 +010000110010 +001100100001 +001100100001 +001100100001 +010000110010 +001100110010 +001100100010 +010000110011 +010001000011 +010101010101 +010001000100 +001000100010 +000100010000 +000100010001 +001000010001 +000100010000 +000100010000 +001000010001 +000100000000 +001000010001 +001100100001 +001000010001 +001000010000 +001100100001 +010000110010 +010000110010 +001100100010 +001100100010 +010101000011 +010101000100 +010000110011 +010000110011 +010101000011 +010000110011 +010101000100 +010101010100 +011001010101 +011101100101 +011101010101 +011101100110 +100110000110 +101010000111 +101010000110 +100101110101 +100001110101 +100001100101 +011101100101 +011101100101 +011001010100 +010101000100 +001100110010 +001000100001 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010100110001 +011101000001 +010100110010 +100001111000 +011101100110 +010000100001 +010000100000 +011000110001 +100001010010 +111010100010 +111010110001 +111010110000 +111010100001 +110010010010 +101110010010 +100001100010 +010101000001 +100001100001 +100001100010 +010100110010 +011101010001 +011101010001 +010000100001 +010100110001 +100001010001 +011101000001 +010100100000 +001100010000 +001100010000 +001100100000 +001100100000 +001100010000 +001100010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100010000 +001100100000 +010000100000 +010100110000 +010100100000 +010000100000 +010000100000 +010000100000 +010000100000 +001000010000 +000000000000 +000000000000 +000000000001 +000100010100 +000000000010 +000000010010 +000000100100 +000000100100 +000000010011 +000000100101 +000100110110 +000101010111 +000000010001 +001000110011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010001010101 +010001000100 +001100110011 +001100100010 +010000110010 +010000100001 +001100100001 +010000110001 +010000100001 +010000100001 +010100110001 +011000110001 +011000110001 +010100100001 +010100110001 +010100110001 +011000110001 +010100110001 +010100110001 +010100110010 +010100110001 +011101010100 +010000110001 +010100110011 +100010001000 +100010001000 +011101110111 +100001110111 +011101110111 +011101110111 +011110001000 +100010011010 +100010001001 +011110001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100001111000 +100001110111 +100010001000 +100010001000 +100001110111 +100001110111 +100010001000 +100010001000 +011101100110 +011101100101 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100010000111 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100110011011 +100010011010 +100110011010 +100110101010 +100110011010 +100110011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010001000 +100001110110 +100010000111 +100010000111 +100001110111 +011101110110 +011101110111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110101 +100001110110 +100001110101 +100001110110 +100001110101 +100001100101 +011101100101 +100101110111 +100001110111 +100001110110 +100010000110 +100010000111 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +011001010100 +010101000011 +011101100101 +011101100101 +100001100101 +011001010011 +011001010100 +011001010100 +011101100101 +011101010100 +011001000010 +011101100100 +100010000111 +100010001000 +011101100110 +011101100101 +011101100110 +010101000100 +011101010100 +100001110110 +100001110111 +011101100110 +010000110011 +011001100110 +100001110111 +100001110111 +011101110110 +010001000011 +010000110010 +010101010100 +010001000010 +010101000011 +011001100101 +100001110110 +011101100110 +011101100110 +011001010100 +010101000010 +010101000010 +011001000010 +010100110010 +010100110010 +010101000011 +011101100101 +011001010100 +010100110010 +001100100010 +001000100011 +001000110100 +001000100010 +001100100001 +001100100001 +010000110010 +010100110010 +010100110010 +010101000011 +010001000011 +010000110010 +010000110010 +001100100001 +001100100010 +001000100001 +001000010001 +010000110011 +001100110011 +000000000000 +000100010001 +001100110010 +010001000100 +010001000100 +001100110011 +001000100010 +001100100001 +001100100010 +010000110011 +010000110010 +010000110010 +001100100010 +010000110011 +010100110011 +010000110010 +010000110010 +010101000011 +011001010101 +010000110011 +010000110011 +011001000100 +011001010101 +011101100101 +011101100110 +100001110111 +100001110110 +011101100110 +011001010101 +011101100101 +101010000110 +101010000110 +101010000110 +100101110101 +100101110110 +100001110101 +100101110110 +100001110101 +100101110110 +101010010111 +100101110110 +011101100101 +011001010100 +011001010100 +010000110011 +001000100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100110010 +010001000011 +011001010101 +010001000011 +000100010001 +011101010011 +011001000011 +011101100110 +011101111000 +010000110010 +001100100001 +010000110000 +011001000001 +010000110001 +100001100001 +110010010010 +110110010001 +110110010001 +101001110001 +011001010001 +001100110001 +001000100001 +011101100010 +011101010001 +010101000001 +100001010001 +100101100010 +011101000001 +010000100000 +011101000001 +100001010001 +011000110001 +010000100000 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001000010000 +000100000000 +001100010000 +010000100000 +010000100000 +010100100000 +010100100000 +010100110000 +010100110000 +010000100000 +001000010000 +000000000000 +000000000000 +000000000001 +000000100100 +000000000010 +000000010010 +000000010011 +000100100101 +000000000011 +000100110101 +000100110111 +000000110101 +000000010001 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010001010110 +001101000100 +001100110011 +001000110011 +001000110011 +001000100010 +001100110010 +010000110011 +010000110010 +010000110001 +010100110001 +011001000001 +011000110001 +010000100000 +010000100001 +010000100000 +010100110001 +010100110001 +010100100001 +011000110010 +011000110010 +011101010011 +010100110010 +011001000011 +100010001000 +011101110111 +001100100010 +010000110011 +100001110110 +100001110110 +011101100110 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110001001 +100010001001 +011110001000 +100010001001 +100010011010 +100010011001 +100010011001 +100010001001 +100010001001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010001001 +100010011001 +100010001000 +100010001000 +100010001001 +100010001000 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +100001110111 +100010001000 +100001110111 +100001110111 +100010001000 +100010011001 +100010001000 +100001110111 +100001110111 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +011110001000 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +011110001000 +100010000111 +100001110111 +100010000111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001110110 +100001110110 +100101110110 +100101110111 +100010000111 +100010001000 +100010001000 +100010001000 +100001110111 +011101110110 +100001110110 +100010000111 +011101100101 +010101000011 +011101110110 +100001110110 +010101000010 +001100100001 +010101000011 +010101010100 +011001010100 +011001010011 +010100110010 +010100110010 +011001010100 +100001110110 +011101100101 +011001010100 +011101100101 +011101100101 +010101000011 +010000110010 +011001010100 +011101110110 +100010001000 +100010000111 +100001110111 +100001110111 +100010001000 +011101110111 +100001110111 +100010001000 +011001100110 +010000110011 +010001000011 +011001100101 +011001100110 +011101100110 +011101100101 +011101100100 +011001000011 +001100100001 +010000100001 +010000100000 +010000100001 +011101100101 +011101100110 +011001010100 +010101000100 +001100110011 +001000100011 +001000100010 +010001000011 +001100110001 +010000110010 +011001000011 +010101000011 +011001010100 +011001010100 +011101010100 +011101100100 +011001010011 +010101000010 +010000110010 +001100100001 +001100100010 +000100010001 +000000000000 +000100010000 +000100010000 +001100100010 +010101000100 +010101010101 +010001000100 +010101010101 +010001000101 +010101010101 +011001010101 +011001010101 +010101000011 +010000110010 +010101000011 +011001010100 +010001000011 +010000110010 +010000110011 +010101000011 +010000110011 +010101000100 +011001010101 +100001110110 +011101100110 +011001010101 +011101100101 +011101100110 +011001010100 +011101100101 +100001110101 +101010000110 +100101110101 +100001100101 +100101110101 +100110000110 +100101110101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100101 +100101110101 +100101110110 +100001110101 +100001110101 +011101100101 +011101100100 +010101000011 +001100110010 +001000010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010101000011 +100110000110 +011101010101 +010101000100 +011001010101 +010101000011 +011101100110 +100110000111 +100001110111 +010101010101 +010101000101 +010001000100 +010101000100 +010100110001 +010000110000 +001000010001 +001100110010 +011101100011 +011101100010 +011101100001 +011001010001 +001000100001 +000000010001 +000000010001 +010001000010 +011101100011 +011001010010 +100101100010 +100101100010 +100101010001 +011001000001 +010000100000 +011001000001 +011000110000 +011101000001 +010000100000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100100000 +001100100000 +001100100000 +001100100000 +001000010000 +000100000000 +000100000000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110000 +011000110000 +011000110001 +010000100000 +001100010000 +000000000000 +000000000000 +000000000001 +000000010100 +000000000010 +000000010010 +000000010100 +000100010100 +000000000010 +000100110110 +000101000111 +000000010011 +000100100010 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010001010110 +001101000101 +001100110100 +001100110011 +001000110011 +001000110011 +001000110100 +010001010101 +010101100110 +010101010101 +010101010100 +010101000011 +011001000010 +010100110001 +001100100001 +001100010000 +010000100001 +010100100001 +010100110001 +010100110001 +011000110010 +010100110001 +011101000011 +011101010011 +100001110110 +100010001000 +001100100010 +001100100001 +100001100101 +100001110110 +011001010100 +100010000111 +011101110110 +010101010100 +011101100110 +011101110110 +011101110110 +011110001000 +100010001001 +100010001001 +011110001001 +100010011001 +100010001001 +011110001001 +100010011001 +100010011001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001111000 +100010001000 +100010000111 +100001110111 +100010001000 +100010001001 +100010011001 +100010011001 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001001 +100010001000 +100001110111 +100010000111 +100010001000 +100010000111 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +011110001000 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010011001 +100010001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100110011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100110000111 +100001110110 +100010000111 +100001110111 +100010001000 +100010000111 +011101100100 +011101100101 +100001110110 +100010001000 +100010001000 +100010001000 +100110001001 +011101110111 +011101110110 +100010001000 +100010001000 +011101110111 +100010001000 +100010000111 +011001010100 +011001010011 +011101100101 +011101100101 +011001010100 +011001000011 +011101010100 +011101010100 +011001010100 +011001010100 +011101100101 +011101010100 +011101100101 +011001010100 +011101010100 +011101010100 +011001000011 +010101000011 +011001010100 +011001000011 +011001010100 +100001110110 +011101110110 +011001100101 +011101100101 +011110000111 +100001110111 +011101100101 +100010001000 +100010001000 +011101110111 +100001110111 +011001100101 +011001010100 +011001010100 +010101000011 +010000100001 +010000110001 +010000100001 +011001100101 +011101100110 +011101100110 +100001110111 +010001000100 +000100010001 +010000110011 +010101000011 +010000110010 +010000110010 +010100110010 +010000110001 +010000110010 +011101100101 +011101010100 +011101010100 +011101010011 +011101010011 +011101100100 +011001000011 +010100110010 +001000010001 +000100000000 +001100110010 +001100100010 +001000010000 +001100100010 +010000110010 +010000110010 +001100110010 +001000100001 +001100110011 +010001000011 +010000110011 +010000110011 +010101000011 +011001010100 +011001010101 +011001010101 +010101000100 +010000110011 +010000110011 +010101000011 +010101000100 +011101100101 +011101010101 +011001010101 +010101000100 +011101100101 +011101100101 +100001110110 +011101100101 +011001010100 +100001110101 +100101110101 +100001110101 +100101110101 +100110000110 +101110011000 +101010011000 +101010000111 +100101110110 +100101110101 +100101110101 +100101110101 +100101110101 +100001100101 +100101110101 +100001100100 +100001100101 +100101110101 +100001100100 +100001100101 +011101100100 +011001010100 +010101000011 +001100110010 +001000010001 +000100010000 +011101100101 +100110000110 +100001110101 +011001010101 +011101100110 +011101110110 +100110000111 +100001110110 +011101100110 +010101010101 +001100110100 +001100110100 +010001000101 +001100110011 +001000010000 +000100010000 +000100010001 +001100110011 +001101000011 +001101000011 +001000100001 +000100100001 +000000010001 +000000010001 +000000010001 +000100100001 +010001000011 +010001000011 +011101010010 +100101100010 +100101100010 +100001010001 +010101000001 +010000100000 +010100110001 +100101100010 +011101010001 +010100110000 +010000100000 +001100010000 +001000010000 +001000010000 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000000000 +000100000000 +000100000000 +001100100000 +010000100000 +010100100000 +010100110000 +010100110000 +011000110000 +010100110000 +010000100000 +001100010000 +000100000000 +000000000000 +000000000000 +000000100011 +000000000010 +000000010010 +000100100100 +000100010011 +000000010010 +000100110110 +000101000110 +000000010010 +001000100010 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001000110011 +001101000100 +001100110011 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010101100110 +010001010110 +001101000101 +001100110100 +001000110011 +001000110011 +001000110011 +001000110011 +010001010101 +010001100110 +010101100110 +010101100110 +010101100110 +010101100101 +010101010100 +010000110011 +001100100001 +010000100001 +001100100001 +010000100001 +010000100001 +010100110010 +010100110001 +011101000010 +011101100011 +100001110110 +100010001000 +011001010100 +011001000010 +100001100101 +100001110110 +100001110110 +100110001000 +010101000100 +001000010001 +011101100110 +100010000111 +011101100101 +011101100101 +011110001000 +100010001000 +100010001001 +100010001001 +100010001001 +011110001001 +100010001001 +100010011001 +011110001001 +100010011001 +011110001001 +011110001000 +011110001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100010001000 +100010001001 +100010001001 +100010001001 +100010011001 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010011001 +100010000111 +100001110110 +100010001000 +100010001000 +011110001000 +100010011001 +100010001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001001 +100010011001 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100001110111 +100010001000 +100110001000 +100010011001 +100110011000 +100110000111 +100001110111 +100001110111 +100110001000 +100010001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100010001000 +100010011001 +100010001000 +011101100101 +011001000011 +011101100101 +011101100101 +011001010100 +011001010100 +011101100101 +100101110111 +100001110111 +100001110110 +011101100101 +011001000011 +010101000010 +011001010100 +011101010100 +011101010100 +011001000011 +010100110010 +010100110010 +011001000010 +011001000011 +011001010011 +011001010100 +011001010100 +011101110110 +100001110111 +011101100101 +011001010100 +011110001000 +100010001001 +011001110111 +100010001000 +100010001001 +100010001000 +100110001000 +100001110111 +010101010100 +010000110010 +001100100001 +011001010100 +011001100110 +011001100101 +011101100101 +010101000100 +000000000000 +001000100010 +010101010100 +010000110011 +010100110011 +010101000011 +011001000011 +010101010100 +011001100101 +011001010101 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001000011 +001100100001 +000000000000 +010101010100 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000100010 +001100100010 +001100100001 +001000010001 +000100000000 +000100010000 +001000010001 +001000010001 +001100100010 +001100110011 +001100110010 +010000110011 +010101000100 +010101010100 +011001010101 +010000110011 +010101000100 +010000110011 +010101000100 +011101100110 +100001110110 +011101100110 +011101100110 +011001000100 +100001100101 +101010000111 +101010000111 +100101110101 +100110000110 +101010010111 +101110101000 +101110101000 +101010000110 +100101110110 +100101110101 +100101110101 +100001100101 +100101110101 +100101110110 +100001100101 +100001100100 +100001100100 +100001100101 +100101110101 +100101110101 +100001100101 +100101110101 +100101110110 +100001110110 +011101100100 +100101110101 +100001110110 +001100110100 +001000110011 +010001000100 +010101010101 +010001000101 +001100110011 +001100110100 +001100110100 +001000100011 +000100010010 +000100010010 +001000100010 +000000000001 +000000000000 +000000000000 +001000110010 +001101000100 +001001000011 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000000100010 +001000110011 +001101000100 +010001000010 +011101000001 +100001100001 +100101100010 +100001010001 +010100110001 +010100110001 +100001100010 +100001100010 +011001000010 +011000110001 +011000110001 +010100110001 +001100010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000000000 +001000000000 +001000000000 +001000000000 +001100100000 +010000100000 +010000100000 +010100100000 +010100110000 +010100110000 +010100100000 +010000100000 +001100100000 +000100000000 +000000000000 +000000000000 +000000010011 +000000000001 +000000010010 +000100100101 +000000010010 +000100010011 +000100110111 +000100110110 +000100010001 +000100110011 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001000110011 +001100110100 +001000110100 +001000110100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001010110 +001101010101 +001001000100 +001000110011 +001000110011 +001000110011 +001000110100 +001101010101 +010001100110 +010101100110 +010001100110 +010101100110 +010101100111 +010101100111 +010101100110 +010101010101 +010001000100 +001100110011 +001100100001 +001100010000 +010000100001 +011000110001 +011101000010 +011101010011 +100001100100 +011101100101 +011001010100 +011001010011 +100001110101 +100001110110 +100001110110 +100001110110 +010101000011 +010000110010 +100001110110 +100001110111 +011101100100 +011101010100 +011101110110 +011101110110 +010101000100 +011001010101 +011110001000 +011110001001 +011110001001 +100010001001 +011110001001 +011110001001 +100010001000 +011101111000 +011110001000 +011110001001 +100010001001 +100010001001 +100010011001 +100010001001 +011110001001 +100010001001 +100010001001 +011110001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010011001 +100010001001 +100010001001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100001110111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010000111 +100010000111 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010001001 +100010000111 +100010001000 +100010001000 +100001110110 +100001110110 +100001110110 +100010000111 +100010001000 +100010001000 +100010011001 +100010000111 +100010000111 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100110001000 +100110001000 +100010000111 +100110011000 +100110011001 +100010011001 +100110011000 +100110011000 +100010001000 +100110011001 +100110011001 +100010000111 +100010000111 +100010001001 +100010001000 +100010000111 +100010001000 +100010001000 +100001110111 +011101110110 +100001110110 +100001110110 +100001110110 +011101100101 +011101100100 +011101100101 +011101100101 +011101010100 +100001110110 +011101110110 +011101100100 +010100110010 +010101000010 +011001000011 +011101010011 +011101010011 +011001000011 +010000110010 +011001000011 +011001010011 +011001010011 +011001000010 +010100110010 +010101000011 +011101100101 +100010000111 +011101110110 +011101100101 +011101110111 +011110001000 +100010001000 +011110001000 +100010001000 +011110000111 +011101110111 +100010001000 +011101110111 +011101110110 +011001100101 +100010000111 +100010000111 +011101100110 +011001100101 +010101000100 +000100010001 +000000000000 +001100110011 +010101010101 +011001100101 +010101000011 +010101000011 +011001010100 +010101000100 +011001100110 +011101110111 +011101100110 +011001010100 +011101110110 +011101100101 +011101100101 +010101000011 +001000010001 +010000110010 +011001000011 +011001000010 +010101000011 +010101000011 +010100110010 +010101000011 +010000110010 +010000110010 +001100100001 +001100100010 +001000010001 +001000100001 +001000010001 +000100000000 +000100000000 +000100010001 +001000100001 +001100100010 +001100100010 +010000110011 +001100100010 +010000110011 +011001010101 +011001010101 +010101000100 +011001000100 +011001010100 +011001000100 +011001010100 +011001010101 +100001110110 +101010000111 +101110010111 +101010000110 +100101110110 +100110000110 +100101110110 +100001100101 +100001100100 +100001010100 +011101010100 +100001100100 +100101110110 +100101110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100100 +100001100100 +101110010111 +011001010101 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000000010001 +001000100011 +001000110011 +001000110011 +000100100010 +000000000000 +000000000000 +000100010001 +001101000100 +001100110011 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +001000110011 +001101000100 +010001010100 +010001000010 +011101000001 +100001100001 +101001100010 +011101010001 +011001000001 +100001010010 +011101010010 +010001010011 +010100110001 +011101000001 +011101000001 +011100110001 +010100100000 +010000100000 +010000010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000010000 +001000010000 +001000010000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100110000 +001100100000 +001000000000 +000000000000 +000000000001 +000000010010 +000000000000 +000100010010 +000100010100 +000000000000 +000100100100 +000101000111 +000100110101 +000100100010 +000100110011 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +010000110010 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001000110011 +001100110100 +001000110100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001010101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110100 +001101000101 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101010110 +001100110011 +001100110010 +010000110010 +010100110010 +011001000010 +011101000010 +010100110001 +010100100001 +010000100001 +011101100100 +100001110110 +011101100101 +100001100101 +011001000010 +010100110010 +100001100101 +100010000111 +100001110101 +100001110110 +010101000011 +010000110010 +010000110001 +010101000010 +100010000111 +100010001001 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +011110001000 +100010001000 +011101111000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010001001 +011110001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110110 +100001110110 +100001110111 +100010001000 +100010001000 +100010000111 +100010000111 +100001110111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100001110111 +100010000111 +100001110110 +100001110110 +100010000111 +100010001000 +100010011001 +100010011001 +100010001000 +100001110111 +100001110111 +100001110111 +100001110111 +100010001000 +100010000111 +100001110111 +100010001000 +100010001001 +100001110111 +100001110110 +100001110111 +100001110111 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010000111 +100010000111 +100001110111 +100010001000 +100010001000 +100010000111 +100010011001 +100110001000 +100010001000 +100010001000 +100010011000 +100110011001 +100110011010 +100110011010 +100110011001 +100110011001 +100110011010 +100110011010 +100110011001 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010000111 +100001110110 +011101010100 +100001110110 +100001110111 +011101100110 +100001110110 +100001110110 +011101010100 +010101000011 +010000110010 +010101000010 +010100110010 +010101000010 +011101010100 +011101010011 +010100110010 +011001010011 +010000110001 +010100110010 +011001000011 +011001010100 +011001000011 +010100110010 +011001010100 +010101000011 +011001010100 +010101000011 +010101000011 +011001010101 +011001100110 +011001100110 +011110001000 +100010001001 +011101110111 +011101100101 +011101110110 +011101110110 +100001110111 +100001110110 +100010001000 +100010001000 +011110001000 +100010001000 +011101110111 +010101010101 +000000000000 +001000100010 +010101010101 +011001100101 +010101010101 +010101000100 +010100110010 +010100110010 +011101110110 +011110001000 +011110001000 +011001100110 +011001100110 +011001100110 +011001100110 +011101110110 +011101100100 +011001000010 +010100110010 +011001000010 +011101100101 +011001100101 +011101010100 +011001000011 +011001010100 +011101010100 +011001010011 +011001000011 +010000110010 +010000110011 +010000110010 +001100100001 +001100100001 +001000010001 +000100010000 +001000010001 +001000010000 +001000010001 +001000010001 +001100110011 +010001000011 +001100110010 +001100110011 +001100110011 +001100110011 +010000110011 +010101000100 +011001010101 +100001110110 +101010000110 +101010000110 +101010000111 +101010000110 +100101110101 +100101110101 +100101110101 +100001100100 +100001100100 +100001100100 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100101110101 +100001100101 +100001100100 +100001100100 +100101110110 +100110000110 +010101000011 +000100010001 +000100100010 +000100100011 +001000100011 +001000100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000110011 +001000110100 +001000110011 +001000100010 +000100010010 +000100010000 +000000000000 +000100010001 +001101000011 +001000110011 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +001000110011 +001101000100 +001101010100 +010001010100 +010101000010 +011101010001 +100101100001 +100101100010 +011101000001 +100001010001 +011101000001 +010101100100 +001000110010 +010100100001 +100001000001 +100001000001 +011100110001 +010100110000 +010100100000 +010100100000 +010000100000 +010000100000 +001100010000 +001100010000 +001100010000 +001100010000 +010000100000 +010000100000 +010000100000 +001100100000 +001100100000 +010000100000 +010100110000 +010100110000 +001100100000 +001000010000 +000000000000 +000000010001 +000000000001 +000000000000 +000100010011 +000000010001 +000000000000 +000100100101 +000101000110 +000100100011 +000100100010 +000100110011 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +011101100100 +010101000011 +010000110010 +001100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001101000101 +010001010110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101010100 +010101000011 +010101000011 +010100110010 +010000100001 +010100110001 +011001010011 +011101100100 +011101010011 +100001100101 +011101100100 +011101100101 +100001110110 +100010001000 +100001110110 +100001110110 +011001010100 +001100100001 +011101010100 +100001100101 +100010001000 +100010011001 +100010011001 +011101110111 +100001110111 +011101110110 +011101110111 +100010001000 +011110001000 +011110001001 +011110001000 +100010001000 +100010000111 +100010000111 +100010000111 +100001110111 +011101100110 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001000 +011110001000 +011110001001 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110000111 +100010001000 +100010001001 +100010001001 +100010001001 +100010011001 +100010001001 +100010011001 +100010011010 +100010011001 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100001110111 +100001110110 +100010000111 +100010000111 +100010000111 +100010000111 +100001110111 +100001110110 +011101110110 +100010000111 +100001110111 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100001110110 +100001110110 +011101110110 +100001110111 +100001110111 +100001110111 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110110 +100010000111 +100010001000 +100010001000 +100001110110 +100010000111 +100110001000 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110011001 +100110011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100001110110 +100010000110 +100010000111 +011101110111 +100010001000 +100010000111 +011101110110 +011101110110 +011101100101 +010101000011 +011001010100 +011101010101 +100001110101 +011101010100 +011001000010 +010100110010 +011001010011 +010101000011 +001100010000 +001100100001 +001100010001 +010000100001 +010000110001 +010101000011 +001100100001 +010101000011 +001100100001 +001000010000 +010000110010 +010101000100 +011001100101 +010101010101 +011101110110 +100010000111 +011101100101 +011101100101 +011101100101 +100001110111 +011101110110 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +010101010110 +010101010101 +011001100110 +011101110111 +011101110111 +011001100110 +011001010101 +010101000100 +011101100101 +011101110110 +011101110111 +011001100110 +011001100110 +011101110110 +011001100110 +011101110111 +100001110111 +011001000011 +010101000011 +011001100101 +011101110110 +011101100101 +011101010100 +011101100100 +011101100100 +011101010100 +011001010011 +011001000011 +010101000010 +010101000011 +011001010011 +011001010011 +011001000011 +010100110011 +010101000011 +010000110011 +010000110010 +001100110010 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +000100010000 +000100000001 +001000100010 +001100100011 +010000110011 +010000110011 +011101010101 +100001110110 +100001110110 +100001110110 +100101110101 +100101110101 +100101110101 +100001100100 +100001100100 +100101110101 +100101110101 +100001100100 +100001100100 +011101100100 +100001100100 +100001100100 +100101110101 +100101110101 +100001100100 +100001100101 +100001100100 +101010000110 +100001110110 +010001000100 +000100010010 +000100010001 +000100010010 +000100100011 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001000110100 +001100110101 +001000110100 +000100100010 +001000100010 +011001010011 +010000110010 +010001000011 +010101000100 +001100110010 +000100100001 +000000010000 +000000000001 +000000010001 +000000010001 +000100100010 +001000110011 +001101000100 +001101010100 +001101010100 +010001010100 +010000110001 +010101000001 +011101010001 +011101010001 +100001010001 +011000110000 +011001010011 +001000110011 +001000010001 +010100110001 +100101010010 +100001010001 +011101000001 +010100110000 +010100100000 +010100100000 +011000110001 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +001100100000 +001100100000 +001100010000 +001100010000 +010000100000 +010100110000 +010100100000 +001100010000 +000100000000 +000000000000 +000000010001 +000000000001 +000000010010 +000000010010 +000000000000 +000000000001 +000000110101 +000100110101 +000100100010 +000100100010 +000100110011 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +010101000011 +010101000011 +011001000011 +011001010100 +011001010100 +010101000011 +001100110001 +001000010000 +000000000000 +000000000000 +000000000000 +000000010001 +001000100011 +001100110100 +001101000100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101010101 +001000110100 +001000110011 +001000110100 +001000110100 +001000110100 +001101000100 +010001010101 +010001010110 +010001100110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010101 +010101010100 +011001010100 +011001010100 +011101110110 +011101100101 +011101110101 +100001110110 +011101100101 +011101110110 +010101010100 +011001010100 +100001110101 +011101100101 +010100110010 +100001110110 +100001110110 +100010001000 +011110001001 +100010000111 +010101000011 +100001110110 +010101000100 +011001010101 +011101110110 +011101100101 +011110001000 +011110001000 +011110001000 +100001110110 +011001010100 +100001100101 +011101110101 +011101100100 +011101100100 +011101100100 +011101100101 +011101110110 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +011110001000 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +011110000111 +011110001000 +100010001000 +100010001000 +011110001001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010001001 +100010001000 +100010001000 +100001110111 +100010000111 +100010000111 +011101110111 +100010000111 +011101110111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100010000111 +100001110111 +100001110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010000111 +011101110110 +011101110110 +100001110110 +100001110110 +011101110111 +100010001000 +100010000111 +100001110110 +100001110111 +100001110111 +100010000111 +100010000111 +100010001000 +100010000111 +100001110110 +100001110110 +100010000111 +100010000111 +100001110110 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +011101100101 +100001110111 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100110011010 +100110011010 +100110101010 +100110101010 +100110101010 +100110011010 +100110011010 +100010011000 +100110011000 +100010001000 +100010000111 +100010001000 +100010001000 +100001110110 +011101110110 +011101110111 +100010011001 +100010011001 +100010011001 +100010001000 +100010000111 +100001110111 +011001010100 +011001010011 +011101100101 +100001110110 +011101100101 +010101000010 +010000110001 +010101000010 +010000110010 +001100010000 +010100110010 +001100010000 +010000100001 +010100110010 +001100100001 +010100110010 +010100110010 +010100110010 +010100110010 +010000110001 +010101000011 +011101100110 +011101100110 +011001010101 +011101100101 +011101100101 +011101110110 +100001110110 +011101110110 +011001010100 +011001010100 +100010001000 +011101110110 +100001110110 +100010001000 +100010011010 +011110011001 +011110001000 +011101110111 +011110001000 +011110001001 +011110001000 +011110001000 +100010001000 +011101110111 +011101110110 +011001110111 +011001100110 +011001100101 +011001100110 +011101100110 +011101110111 +011001110110 +011101110110 +011001100110 +011001100111 +011001100110 +011101100101 +011001010100 +011101100101 +100001110110 +100001100101 +011101100100 +011101010100 +011001000011 +011001000011 +011001010011 +011001010011 +011101010100 +011001000011 +011001010100 +010101000100 +010101000100 +010000110011 +010000110011 +011001010100 +010101000011 +010000110001 +001100110001 +000100010000 +000000000000 +000100010001 +001000010001 +001000100010 +001100100010 +001100100010 +001100100010 +010000110011 +010101000100 +011001010100 +011101100101 +100001100101 +100001110101 +100101110101 +100101110101 +100101110101 +100001100101 +100001100100 +100001100101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100101 +100001100100 +101010000110 +011101100100 +000100010010 +000100100011 +000100010001 +000100010001 +001000110100 +001100110100 +001000100011 +000100100010 +000100100010 +000100100010 +000100100011 +001000110100 +000100100011 +000100100010 +000100010001 +000100010000 +001000100001 +010101000010 +011001010010 +011001000011 +100101110101 +100001100011 +011001010011 +010001000010 +001100100010 +000100010001 +000000010001 +000100100010 +001000110011 +001101000011 +001101010100 +001101010100 +010001010100 +010001000011 +001100110001 +010100110001 +011101000001 +100101010010 +011000110001 +011101000010 +010001000011 +000100100001 +000100010000 +010100110001 +011101000001 +100101010010 +100001000001 +011000110001 +011000110000 +011101000001 +011000110000 +011100110001 +011000110001 +010000100001 +010101000011 +010000100001 +001100010000 +001000010000 +001100010000 +010000100000 +010100100000 +010000100000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010010 +000100010011 +000000010010 +000000000001 +000000010001 +000100110101 +000100110100 +000100100010 +000100100010 +001000110010 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +010101000011 +010101000011 +011001010100 +011101100100 +011101010100 +011001010100 +011001010011 +011001010100 +010101000010 +001100100001 +000100010000 +000100010000 +001000100010 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110100 +001101000100 +001101000100 +001101000101 +001101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101010101 +001101000101 +001000110100 +001000110101 +001000110101 +001000110100 +001101000100 +001101010101 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010101 +010001010101 +010101010101 +011101110110 +011001100101 +011001000011 +100001110110 +001100100001 +010000100001 +011101100101 +011101100100 +011101010100 +100001110110 +100001110111 +100010000111 +100010001000 +100010001000 +011101110110 +100110000111 +011001000011 +001100010001 +010000100001 +010000100001 +011101100101 +100010000110 +100010000111 +011101100101 +010000100001 +010100110001 +011101100101 +100001110101 +011001000010 +010100110001 +010100110010 +011101010011 +100001100100 +011101010100 +011101100100 +100001110111 +100010001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +100010001001 +100010001001 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010001001 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100001110111 +100010001000 +100001110111 +100001110110 +100001110111 +100001110111 +100001110110 +011101100101 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +100001110110 +011101110110 +100001110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110111 +100010000111 +100001110111 +100001110110 +100001110111 +100010000111 +100001110111 +100010000111 +100010001000 +100010000111 +100010000111 +100001110111 +100001110111 +100001110110 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +011101100101 +100001110110 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100110011010 +100110011010 +100110101010 +100110011010 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +100110011010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +011101100101 +100001100101 +011101110111 +100010011001 +100010001001 +100010001000 +100010011001 +100010011001 +100010001000 +100110000111 +100001110110 +011101100110 +100001110110 +100010000111 +011101010100 +010100110001 +010100110010 +011001010100 +010000110010 +100001100101 +010101000010 +001100100001 +011001000011 +010101000010 +010100110010 +011101010100 +010101000010 +010000110010 +010000110010 +011101100101 +011110001000 +011101110111 +011101100101 +011101010100 +011001000011 +011101100101 +100001110110 +100001110110 +011101100101 +011101100101 +100010001000 +100001110111 +011101100101 +100010001000 +011101110111 +011101110111 +100010001001 +011110001000 +100010011001 +100010011001 +011101110111 +011101110111 +100010001000 +100010001000 +100010011001 +100110011001 +100010001001 +011101110111 +100010001000 +011101110111 +011001100110 +011001100101 +011001010101 +011001100110 +010101010101 +011001100110 +011101110110 +011001100101 +011101100110 +011101110111 +011101100110 +011001010100 +011001010011 +011101100100 +011001010100 +011001010100 +011001010100 +010101000011 +010101000011 +011001010100 +010101000011 +011001010100 +011101110110 +011001010100 +011101100101 +011101100110 +011001010101 +011001010100 +010000110010 +000100010000 +000100010001 +001100110010 +001100100001 +001000010001 +000100010000 +000100000000 +001000010001 +001000100001 +010000110010 +010101000011 +010101000100 +010101000011 +010101000011 +011001000100 +011101010101 +100001100101 +100001100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +101110010111 +011001010101 +001000100010 +000000000001 +000000000001 +000000000000 +001000100011 +001100110100 +001000100011 +001000110011 +001000100011 +000100100010 +000100010010 +001000110011 +001100110100 +000100010001 +000000000000 +000000000000 +000100010000 +001000010001 +001100100001 +010000110010 +010000110001 +011001000010 +100101110011 +100101110011 +100101110011 +100001100011 +011101010011 +011001010011 +011001010011 +010101010011 +010101010100 +010001010100 +010001010100 +001101010100 +001101000100 +001101000011 +001100100001 +011001000001 +100001010001 +011000110001 +011101010001 +011101100100 +000100100010 +000100100001 +000100010000 +001000010000 +011101000001 +100101010010 +011101000001 +011000110000 +011101000001 +011001000001 +011101000001 +010000100001 +001100110100 +001100110100 +001100100010 +001100010000 +001000010000 +001100010000 +010000100000 +010000100000 +001000010000 +000000000000 +000000000000 +000100000000 +000000000000 +000000010010 +000100010011 +000000010010 +000000010010 +000000010010 +001000110101 +000100100010 +000100100010 +000100100010 +000100110010 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +011001000011 +011001010100 +011101100100 +011001010100 +011001010100 +011001010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +010101010100 +010001000011 +001101000011 +001100110011 +001000110100 +001100110100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000100 +001101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001001000101 +001000110101 +001000110101 +001000110100 +001001000100 +001101000101 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010101 +001000110011 +001000100001 +001100110011 +010001010100 +011001010100 +011101110110 +011001000011 +011000110010 +011001000011 +011101000010 +100001100011 +011101100100 +011101100101 +100001110101 +011101110110 +100010001000 +100010001000 +100010000111 +100001100101 +010100110001 +010100110001 +011001000010 +100001100101 +011101010011 +100001100100 +100110001000 +011101100110 +001100010001 +010101000011 +011101100101 +010100110010 +001000000000 +001100010000 +011000110010 +011101000010 +010000100000 +010100110001 +100001100101 +100001110110 +100001110111 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +100010011001 +100010001000 +011110001000 +100010001001 +100010001000 +011101110111 +011101110110 +100001110111 +100010001000 +100010001000 +011101110111 +011101110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +011101100101 +011101110110 +011101110110 +011101110111 +100001110111 +100001110110 +011101110101 +011101110101 +100010000111 +100010000111 +100010001000 +100010001000 +100010000111 +100001110111 +100010000111 +011101110111 +011101110110 +100001110110 +100010000111 +100001110110 +100001110110 +100010000111 +100010001000 +100001110111 +100001110110 +100001110110 +100010000111 +100001110111 +100010000111 +100010001000 +100010001000 +100010000111 +100110001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110111 +100001110110 +100001110110 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011001 +100110011001 +100110011010 +100010011010 +100010011010 +100110011010 +100110011010 +100110101010 +100110101010 +100110101010 +100110011010 +100110101010 +100010011001 +100010011001 +100110011010 +100110011001 +011101110111 +100010001000 +100010000111 +011101100101 +100010001000 +100010011001 +100010011010 +100010011010 +100010001001 +100010001000 +100010000111 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100001110110 +011101010100 +011101100100 +100001110110 +011101110110 +100001110101 +010101000010 +001000010000 +001100010001 +010101000011 +010000110010 +010000100010 +001100100001 +001100100001 +010000110001 +011001010100 +100010001000 +011101110111 +011101100100 +011101100100 +011001000011 +011001000011 +011101100101 +100001110111 +100010000111 +011101110110 +100001110110 +100001110110 +011101100101 +100001110110 +011001010011 +011001010100 +011101100110 +011101110111 +100010000111 +011101110110 +011001100101 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +011110001001 +011110001000 +100010001000 +100010001000 +100110011001 +100010001000 +011101110111 +011001100110 +011001010101 +011001010101 +011001100101 +011001100101 +011001100110 +011101110111 +011001100110 +011001010101 +010101000011 +011001010100 +011101100101 +011101100101 +011001010101 +010101000011 +011101100101 +011001100101 +011001010100 +011101100101 +011001100110 +011001100101 +011101100110 +011101100110 +100001110110 +011101100110 +011001010100 +010100110010 +001100100001 +010101000010 +010101000010 +001100100001 +001100100001 +001000010001 +001100100001 +001000010001 +001000010000 +001000010000 +000100000000 +000100010001 +000100010001 +001000100010 +010000110011 +010000110011 +001100100010 +010000110010 +010101000011 +011101010100 +100001100101 +100101110101 +100101110110 +010101000100 +001000100011 +000000010010 +000000000000 +000100010001 +010001000100 +001100110100 +000100100010 +001000100010 +001000100011 +001000100011 +000100000001 +000000000000 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +001000100001 +010000110010 +010000110010 +011101010010 +101001110100 +101001110100 +100001100011 +100001100011 +100001100011 +011101010010 +100001100010 +100001100011 +100001110100 +011101010011 +010101010011 +001100110010 +001000110010 +001000010001 +011001000001 +100101010010 +011100110001 +100001010001 +100101110011 +001101000010 +001000110010 +000100010001 +000100010000 +010000100000 +100001000001 +011000110000 +010000100000 +001100010001 +000100000000 +001000100001 +001000010001 +000100010010 +000100100011 +001000100011 +001000010001 +001000010000 +001000010000 +001100010000 +001100100000 +000100010000 +000000000000 +000000000000 +000100010000 +000000000000 +000000010010 +000000010011 +000000010010 +000000010010 +000100010010 +001000110100 +000100100010 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +010101000011 +011001010100 +011001010011 +011001000011 +011001010100 +010101000011 +011101100100 +011101100101 +011001010100 +011001010011 +011101100100 +100001110101 +011101100100 +011101010100 +011101010011 +011001010100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110100 +001101000100 +001101000100 +001101010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +001101000110 +001000110101 +000100110100 +001000110100 +001000110011 +001000110100 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010110 +010001010101 +001100110011 +000100100010 +000100100011 +001101000100 +010001010101 +010001010110 +010101000100 +010001000011 +010101000011 +010000100001 +011101010011 +011101010011 +011101100100 +011101100011 +011001010011 +100010001000 +100010001000 +100010001000 +100001110111 +011101100101 +100001100100 +011101100101 +100001110111 +100001100101 +011101100101 +100010001000 +100010001001 +010000110011 +100001110111 +100001110111 +001100010001 +000000000000 +000100000000 +010000100001 +010100110010 +001100010000 +001100010000 +010100110010 +011001000010 +011101100101 +100010001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +100010001000 +100010000111 +100010000111 +100010000111 +011101110111 +100001110110 +011101100110 +011101100110 +100001110110 +100001110111 +100001110110 +100001110110 +100001100101 +011101100110 +100001110111 +100001110111 +011101110111 +011101110110 +100001110110 +100001110110 +011101100101 +100001110110 +100010000111 +100010001000 +100010001000 +100001110110 +100001110110 +100010000111 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100001110111 +100001110111 +100010000111 +100001110111 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +011101110110 +100001110110 +100010000111 +100001110111 +011101110110 +100001110111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100110011010 +100010011010 +100110011010 +100010011001 +100110011001 +100110011010 +100110101010 +100110101010 +100110101010 +100110011010 +100010011010 +100110011010 +100010011001 +100110011001 +100110011001 +100110011001 +100001110110 +100010000111 +100110011001 +100001110111 +100010011000 +100010011001 +100010001000 +100110011001 +100010011001 +100110011001 +100010001000 +100110011001 +011001110110 +100010001000 +100010001000 +100001110111 +011101100101 +100010000111 +100001110111 +100001110111 +100001110110 +011101110101 +011001010011 +010000100010 +010000100010 +010100110010 +010000110010 +001000010000 +001100100001 +010101000011 +010000100001 +001000010001 +010101000100 +010000110011 +010101000011 +011101100101 +100001110110 +011001010011 +010101000011 +100001110111 +100001110111 +100001110111 +011101010100 +011001010100 +011101100101 +011001010011 +011101100100 +011101100101 +011101010100 +011001010100 +011001010100 +011101010100 +011101100110 +011101110111 +011110001000 +011110001000 +011101100110 +011101110111 +011110001000 +011101111000 +011101110111 +100001110111 +100010001000 +100010001001 +100010001001 +100010001000 +011101110111 +011101110111 +011001100110 +011001100110 +011101100110 +011001100110 +011001010101 +011001010101 +010101010101 +011001100101 +011001010100 +011001010100 +011101100110 +011001010101 +011101100101 +011001100101 +011001010101 +010101100110 +011001100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010011 +011101010011 +011001010011 +010100110010 +010100110010 +010000110010 +010000110010 +001100100001 +001100110010 +010000110010 +001100100001 +001000010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000000000000 +000100000000 +000100010001 +001000010001 +010101000011 +010000110010 +000100010010 +000100010010 +000000000000 +000100000000 +010001000100 +001000100011 +000100100010 +000100010010 +001000100011 +000100010010 +000100010001 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001000100010 +001100100010 +010001000011 +010000110010 +010000110011 +010101000011 +010101000010 +010000100001 +011101010011 +100101110100 +100101100011 +100001100011 +100001100011 +100001100100 +100001100011 +100001100010 +100001100010 +100001010010 +011101010010 +010000100000 +001000010001 +001000100001 +010000110001 +011000110001 +010100100000 +011001000001 +100101100010 +011001100100 +001000110010 +000100010001 +000100000000 +001000010000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000100010001 +000100010010 +000100010010 +000100100010 +001000100011 +000100010001 +000100000000 +000100000000 +001000010000 +001000100000 +000100010000 +000000010000 +000000000000 +000100010000 +000100000000 +000100010010 +000100010011 +000000010001 +000000100011 +001000110100 +010001010101 +001000110011 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001001000011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +010000110010 +010101000010 +010100110010 +010000110010 +010101000010 +010100110010 +011001010100 +011001010100 +011001010011 +010101000011 +010101000011 +011001000011 +011001000011 +011001010011 +011001000011 +011001010011 +011101010100 +011001010100 +011001010100 +010101000100 +010001000100 +001100110100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +001101010101 +001101000101 +001000110100 +000100100011 +000100100011 +000100100011 +001000110011 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010110 +010001010101 +001100110100 +000100100010 +001000100010 +001101000100 +010001010110 +010001010110 +010001000101 +001000110011 +000100010001 +000100010001 +001100110011 +010101010100 +011101110110 +100001110101 +011101100101 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110111 +100010001000 +100010001001 +010000110010 +011101010100 +011101010100 +001100010001 +000100000000 +001100100001 +001100100010 +010000100001 +000100000000 +000100000000 +001100100001 +010000100001 +011001010011 +011101110110 +011101110110 +011101111000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011101111000 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101110110 +011101100100 +011101100101 +100001110111 +100001110111 +011101100101 +011101110110 +100001110110 +100001110110 +011101100100 +100001110110 +100010001000 +100010001001 +011110001000 +100001110110 +100001110111 +100001110111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010001000 +100001110111 +100010000111 +100001110111 +100001110111 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100010000111 +100010000111 +100001110111 +100001110110 +100001110111 +100001110111 +100001110110 +100001110111 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110101010 +100110011010 +100110101010 +100110011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110001000 +100010001000 +100010011001 +100010011000 +100010011001 +100010011010 +100010001000 +100110011010 +100110101010 +100110011010 +100010001000 +100010011001 +100010001001 +100010011001 +100010011001 +100010001000 +100010000111 +100010000111 +100001110110 +100001110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101100110 +011001010100 +011001010100 +010101000011 +011001000011 +010000100010 +001100100001 +010100110011 +001000010001 +000100010000 +011001010101 +100001110111 +011101110110 +011101100101 +011101100101 +100001110111 +100010000111 +100001110110 +011001010011 +011101010100 +100001110110 +011101100101 +100001110111 +100010001000 +100001110110 +011101100101 +011001010100 +011101010100 +011101100100 +011001010100 +011101100110 +011101100110 +011101110111 +100010001000 +011110001000 +011110001000 +011101110111 +011101111000 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +011101110111 +100010001000 +011101110111 +100010001000 +100010001001 +100010001000 +011101110110 +011001100110 +011001100110 +010101010101 +010101000011 +010101000011 +010101000100 +011001010100 +010101000100 +011001010101 +011001100110 +011001100110 +010101010101 +011001100110 +011101100110 +011101100110 +011101110111 +011101110111 +011001000100 +011001010011 +011001010011 +011101100100 +011101010100 +010101000010 +010101000011 +010000110010 +001100100001 +010000110010 +010101000011 +011001000011 +010101000011 +001100100001 +001100100001 +001000010000 +001000010000 +001000010000 +000100000000 +000100000000 +000100010001 +000100000000 +010101000011 +001000100010 +000100100010 +000000000000 +000000000000 +000100010001 +001000100011 +001000100011 +000100010010 +000100100010 +001000100010 +001000100010 +001100100010 +010101000100 +010101010101 +011001010101 +010101000100 +010000110011 +001100110011 +010000110011 +001100100010 +010101000100 +011001010100 +011001010100 +011101010100 +010100110010 +001100100000 +010100110010 +100101100100 +101001110100 +100101110100 +011101100011 +011101010011 +100001100011 +100001100010 +100101100011 +100101110011 +100001100011 +100001100011 +001100100001 +001100100001 +001000010000 +001000010000 +001000010000 +001000010000 +001100010000 +001100100001 +001000100001 +001000100010 +000100000000 +000100010000 +001000010000 +001000010000 +001000010001 +000100000000 +000100010001 +001000010010 +000100010001 +000100010010 +001000100011 +000100100011 +000100010010 +000000000000 +000100000000 +001000010000 +010000110001 +001000100001 +000100010001 +000000000000 +000100010000 +000100000000 +001000100010 +001000010010 +000100010001 +000100100011 +011001100110 +010101010101 +001100110011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +011001010100 +010101000010 +010000110001 +010101000010 +011001010011 +011101100101 +100001110101 +011101100100 +011001000010 +010100110010 +010000110010 +010101000011 +011001000011 +011101100100 +011101100100 +010101000010 +011001010011 +011101010011 +011101010011 +011101010100 +011101100100 +010101010100 +010101010100 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +001101010101 +001101000101 +001000110100 +000100100011 +000100100010 +000100010010 +000100100011 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010101 +001101000100 +000100100010 +001000100010 +001101000100 +010001010101 +010001010110 +010001010101 +001000110011 +000100100010 +000100100011 +001000100011 +001000110011 +001100110100 +010001010100 +011001100101 +011101110110 +011101110111 +011110001000 +100010001000 +100010001000 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100010001000 +100010011001 +011001010100 +011101010100 +011101100100 +010100100001 +010000100000 +011001000011 +011101100101 +011101010100 +001000010000 +001100010001 +010000100001 +010000100001 +011001010011 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +100001110111 +011101110111 +011110001000 +100010001001 +011110001001 +011110001001 +011110001001 +011110001000 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +100010001000 +100010001001 +011110001000 +011101110111 +100001110111 +011101110111 +100010001000 +100010001000 +100010001000 +011101110111 +100001110111 +011101110110 +011101100110 +100001110111 +011101100101 +011001010100 +011001010100 +011101100101 +011101110110 +011101100101 +011101100100 +011001010100 +100010001000 +100010000111 +100010000111 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +011101110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001001 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110110 +100001110111 +100010000111 +100001110111 +100001110110 +100001110111 +100001110110 +100010000111 +100010000111 +100001110111 +100001110111 +100010001000 +100010001001 +100110011001 +100010001000 +100010001001 +100110011010 +100110011010 +100010011010 +100110011010 +100010011001 +100010011001 +100110011010 +100110011010 +100010011001 +100110011001 +100010011001 +100010001000 +100010011001 +100110001000 +100010011001 +100010011001 +100010001000 +100010011001 +100010011001 +100010011010 +100010011010 +100110101011 +100110011010 +100010011001 +100010011001 +100110011001 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +011101110110 +011101100101 +100001110110 +011101100101 +010101000010 +011101100101 +011101110110 +011101110110 +011101100101 +010100110010 +010101000011 +010101010100 +011001100101 +011101110110 +011101110111 +100010000111 +011101100110 +011101100110 +011101110111 +100010000111 +100001110111 +011001000011 +011101010011 +011101010011 +011001010100 +100001110111 +100010011001 +011101100101 +011101100101 +011101100110 +011101100101 +011101100100 +011101010100 +011101100101 +011101100110 +011101100101 +011001100101 +011001100110 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001000 +011101110111 +100001110111 +011101110111 +100010001000 +011101110111 +011101110111 +100010001000 +011101110111 +011101110111 +100010001000 +100010001001 +100010001000 +011101110111 +010101010101 +010101000100 +001100110010 +001100110010 +010101000011 +011001010100 +011001010101 +010101010101 +011001100110 +011001100110 +011001100101 +011001010101 +011001010100 +011001000011 +010101000010 +011001000010 +011101100100 +011101110110 +011101110110 +011101100100 +011101100101 +011001010100 +011001000011 +010101000011 +010101000011 +010000110010 +010000100001 +010000110010 +010000110011 +010000100010 +010000100001 +001100100001 +000100010000 +000100010000 +001100100001 +010001000100 +000100100010 +000000000001 +000000000000 +000000000000 +000100100010 +000100100011 +000100100010 +000000010001 +000100010001 +001100100010 +010000110011 +010101000100 +010101000011 +010101000100 +011001010100 +010101000100 +010101000011 +010101000100 +011001010100 +010101000011 +010000110010 +010101000011 +011001000100 +010101000011 +010101000010 +011001000011 +010100110010 +011101010011 +101010000101 +101010000101 +100001100100 +011001000010 +011001000010 +011101010010 +100001100011 +100101110100 +100101110100 +100101110100 +011001000010 +001000010001 +000100010000 +001000010001 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +001000010000 +001000000000 +001000010000 +000100010001 +000100000001 +000100010001 +000100010010 +000100010010 +001000100011 +000100100010 +000100100010 +000100100011 +000000000001 +000000000000 +000100000000 +001100100001 +001000010001 +000100010001 +000000000000 +000100000000 +001000010001 +001100110001 +001000010010 +001000010001 +010001010110 +011101110111 +011001100110 +001101000100 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +010000110010 +010000110010 +010101000011 +011001010100 +011001010011 +011101100100 +011101100100 +100101110101 +100001100101 +010101000011 +010101000011 +010000110010 +010000110010 +010101000010 +011001000011 +011001010011 +011001000011 +010101000010 +010101000011 +011001010011 +011001010011 +100001100101 +100001100101 +011001010100 +010101010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +001101000100 +001000110100 +000100100010 +000100010001 +000000010001 +000100100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010101 +001101000100 +000100100010 +000100100010 +001101000100 +010001010101 +010001010110 +010001010101 +001101000100 +001000110100 +001000110100 +001101000100 +001101000100 +001101000100 +001100110100 +001100110011 +001100110011 +001101000011 +010001000100 +011001100110 +011101110110 +011101110111 +100010001000 +100001110111 +100001100101 +100001100101 +100010000111 +100010001000 +011101100101 +100001100100 +100001110101 +011101010011 +011101010100 +011101110110 +100001110111 +011101010100 +010000100001 +011001000010 +001100010000 +001100010001 +011001010100 +100001110111 +100001110111 +011101100101 +011101100101 +011101100101 +011101100100 +011101100110 +011101111000 +100010001000 +011110001000 +100010001001 +011110001000 +011110001000 +011101111000 +011101110111 +011110001000 +011110001001 +100010001001 +011110001000 +011110001001 +011110001000 +011110001000 +100010001000 +100001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101100110 +011101110111 +011101110110 +011101100110 +011101100101 +011001010100 +011101100101 +100001110111 +100001110111 +011101100101 +100010001000 +100010001000 +100010001000 +100010001000 +011101111000 +011101111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100010001000 +100010000111 +100001110111 +100001110111 +100001110111 +100010000111 +100001110111 +100001110110 +100010000111 +100010000111 +100001110110 +100001110111 +100010001000 +100010011001 +100010011001 +100010000111 +100010011001 +100110011010 +100010011001 +100010011010 +100110011010 +100110011001 +100110011001 +100010011001 +100110011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100010011010 +100010011010 +100110101010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010000111 +100010000111 +011101110110 +011101110110 +011101110110 +011001010100 +011101100101 +100001110111 +100010000111 +100001110111 +011101100100 +010101010011 +011101110110 +100001110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101110110 +100010000111 +011110000111 +011101110110 +011101100101 +011001010011 +011001000011 +010000100001 +011001000011 +100001110111 +011101100101 +011101110110 +011101100110 +011001100101 +011101100110 +011101110111 +011110000111 +011101110111 +011101110110 +100001110111 +011101110111 +011001100110 +011001100101 +011001010101 +100010001000 +011110001000 +100010001000 +011101110110 +011101110110 +011101100110 +100001110111 +011110001000 +100010001000 +100010000111 +011101110110 +011001100110 +011101110111 +011110001000 +011101111000 +011101110111 +011101111000 +011101111000 +011101110111 +010101010100 +010000110011 +010101000011 +010000110010 +001000100001 +001100110010 +010001000011 +010000110010 +010100110010 +011001000010 +011001000010 +011001010100 +011001000010 +011001010011 +100001110110 +011101110111 +011101100110 +011001010101 +011101100101 +011001010101 +010001000011 +010101010101 +010101010100 +010101010100 +010001000011 +010101000011 +010101000011 +010100110010 +010000100001 +001100100001 +001100100001 +001100110010 +001000100010 +000000000001 +000000000000 +000100000000 +000100000000 +000100010010 +000000000001 +000100010001 +000000000000 +000000000000 +001000010001 +001100100010 +001100100010 +001100110010 +001000100010 +010000110011 +010101000100 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +011001000011 +011001000011 +011101010011 +011101010100 +100001110100 +101010000101 +100101110100 +100001100011 +011101010011 +011101010011 +011101010011 +100001010011 +100001010011 +100001100100 +100001100100 +010000110010 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100000000 +001000100001 +001000010001 +000100000001 +000100010001 +001000010010 +000100100010 +000100100011 +001000100011 +000100100011 +000100100011 +000000010010 +000000000001 +000000000001 +000000000001 +001000100010 +001100110011 +000100010010 +000100010010 +001100100010 +001100100010 +001100100001 +001000100001 +010001010110 +011001110111 +011001100110 +001101000100 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100000000 +000100000000 +001000010000 +001000010001 +001000100001 +010000110010 +010101000011 +011101010100 +100001110101 +011001010100 +010101000010 +010101000011 +010001000010 +010000110010 +010101000011 +011001010011 +011001010011 +010101000011 +010100110010 +010101000010 +010101000010 +010101000010 +010101000011 +011101010100 +100001100100 +011101100100 +011101100101 +011001010100 +010101010101 +010101010101 +010001010101 +001101000100 +001000110011 +001000100010 +000100100010 +000100010001 +000100100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010101100110 +010001100110 +010001010110 +010001010101 +001101000100 +000100100010 +000100100010 +001101000100 +010001010101 +010001010110 +010001010101 +001101000101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000100 +001100110011 +001000100010 +000100100010 +000100010010 +000100100010 +001000100010 +001100110011 +010001000100 +010101100101 +011101110111 +100001110101 +011101100100 +011101100101 +100001110101 +011001000011 +100001100011 +100001110101 +100001110110 +100001110110 +100001110110 +100001110111 +011101100100 +011001000011 +011101010100 +010100110010 +010100110001 +011001000011 +011101110110 +100010001000 +100001110110 +011101010100 +011101100101 +011101010100 +011001010100 +011101110110 +011101110110 +011101110110 +100010000111 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +100001110111 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100001110111 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100010001000 +100001110111 +011101110110 +100010001000 +100010000111 +100001110111 +100010000111 +100010001000 +100010001001 +100010011001 +100010001000 +100010001001 +100110011010 +100010011001 +100110011010 +100110011010 +100010011001 +100110011001 +100010011001 +100010011001 +100001110111 +100010001000 +100110011000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110111 +100001110110 +100010000111 +100010000111 +100010001000 +100010011001 +100110011010 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100110011001 +100110011001 +100010011001 +100010011000 +100010011001 +100010001001 +100010001000 +100010001000 +100001110111 +100010000111 +100001110111 +100001110110 +100001110110 +100001110111 +100010001000 +011101110110 +011101110110 +011101100101 +100001110111 +011101110111 +100010001000 +011101110111 +100001110110 +011101110111 +100001110111 +100010001000 +100010000111 +100001110110 +100001110110 +011101110110 +011101100110 +011101010100 +011001000010 +011101100100 +011101010101 +011101110110 +011101100110 +011101100101 +011101110110 +011101110110 +011101100101 +011101110110 +011101110110 +011101110111 +011101111000 +011101110111 +011101110110 +011101100110 +011101110111 +011101110111 +100010000111 +011101110110 +011101100101 +011101100101 +011101110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011101110111 +011101110111 +011101111000 +011101110111 +011101111000 +011001100111 +011001010101 +100001110110 +011001000100 +011001010100 +010101000100 +010101000100 +010101000011 +010000100001 +001100100001 +010101000011 +011101110110 +011101100110 +011001010100 +011101100101 +011001100110 +011001100101 +010101000100 +010101010101 +010001010101 +010101010101 +010101010101 +010101010101 +011001100110 +010101010100 +010101000011 +010100110010 +011001000010 +010100110001 +010000110010 +001100100010 +001000100010 +000100010010 +000000010001 +000100010001 +001100100001 +001000100001 +000000010001 +000000000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000000000000 +000100000000 +001000100010 +001100100010 +001000100010 +001000100001 +001100100010 +001100100010 +010000110010 +010000110010 +010000110010 +010100110010 +010100110010 +010101000010 +011001010011 +011001000010 +100101110100 +100101110100 +100001100011 +011101010011 +011001010011 +011001000010 +011101010011 +011101010011 +011101100011 +100101110100 +011101100100 +001100110010 +001100110010 +001100100001 +001100100001 +001100100010 +001000010001 +001000010001 +001000010001 +000100000000 +000100000000 +000000000000 +001000010010 +001000010010 +000000000000 +000000000000 +000100010010 +000000010001 +000100010010 +001000100011 +000100100011 +001000100011 +001000100011 +000100100011 +000000010010 +000100010010 +000000010001 +001000110011 +010001000100 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +010001000101 +011001100110 +010101100110 +001101000100 +000100010001 +000100100001 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100001 +001000010001 +000100010001 +001000010001 +000100010001 +000100010000 +001000100001 +001100100010 +010000110011 +010101000100 +011001010100 +010001000011 +001100100001 +010101000011 +100001100101 +011001010011 +010101000010 +010000110010 +010101000010 +010101000010 +010000110010 +010000110010 +010000110010 +010101000010 +011001000010 +011001000010 +011001000011 +011001000011 +011001010011 +011101100100 +011101100101 +010101010101 +001100110011 +001000110010 +001000100010 +000100100010 +000100100010 +001001000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001010110 +010001010101 +001101000100 +001000100010 +000100100010 +001000110100 +010001010101 +010001010110 +010001010110 +010001010101 +001101000100 +001101000100 +001101000100 +001100110100 +001000110011 +001000100010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100011 +001000100011 +001000110011 +001100110100 +010001000101 +010101010101 +011001100101 +011001100101 +011101100101 +011101010011 +011101010011 +100001110110 +100010000111 +100001110111 +100001110111 +100010000111 +100001110101 +011101100100 +100001110110 +011101100101 +011101100101 +011101100101 +011101110110 +011110001000 +011101110110 +011101100101 +011101110110 +100010001000 +100010000111 +011101010100 +011101100101 +011101100101 +011101110110 +011101110111 +011110000111 +011101110110 +011101110111 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011101111000 +011101111000 +100001110111 +100010000111 +100010001000 +100010001000 +011101111000 +100010000111 +100001110111 +100001110111 +100001110111 +100010001000 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100001110111 +100010001000 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100010001000 +100010001000 +100001110111 +100001110111 +100010000111 +100001110111 +100001110111 +100010001000 +100010001000 +100001110111 +100110001000 +100010000111 +100001110111 +100001110111 +100010001000 +100010001001 +100110011010 +100010011010 +100110011010 +100110101010 +100110011010 +100010011001 +100010001000 +100010011001 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +011101100101 +100001100101 +011101100101 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +011110000111 +100010001000 +100010001000 +100010011001 +100010011001 +100010011000 +100010001000 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100001110111 +100010000111 +100010001000 +100010000111 +100010000111 +011101100110 +011101110110 +100010011001 +100010000111 +011101110110 +011101110111 +100010001000 +011110000111 +100010001000 +011101110111 +011101110110 +100001110111 +100010000111 +100010000111 +100010000111 +011101100101 +011101110110 +100010000111 +100001110111 +100001110110 +011001000011 +011001000011 +011101100101 +011101110111 +011101110110 +011101100101 +011101100100 +011001010100 +011101100100 +011101100100 +011001010011 +011101100101 +011101110110 +011101110111 +011101110110 +011101110111 +100010001001 +100010001000 +100010001000 +100010000111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +100001110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101111000 +011101110111 +011101110111 +011001010100 +011001010100 +011001010011 +011101010100 +011101010100 +011101100101 +011101100101 +011101010100 +010101000011 +011001000011 +011001010100 +011101010101 +011101100101 +011101100110 +011101100101 +011001010100 +010101000011 +010101010100 +010101010101 +010101010100 +010101000011 +011001010101 +011001010101 +010101000100 +010101000100 +010101000011 +001100100001 +010100110010 +010101000011 +001000100010 +000100010001 +000100010010 +000100010001 +001100100001 +010000110001 +001000010001 +000000000000 +001000100001 +001100100001 +001100100001 +001100010001 +001100100001 +001100100001 +001100100001 +001000010000 +000100000000 +000100010000 +001000010000 +001000010001 +000100000000 +001000010001 +001100100001 +000100010001 +001000100010 +001100100010 +001000010001 +010000110010 +001100100010 +010000110010 +010000110010 +010000110001 +011101010011 +100101110101 +100001100100 +011001000010 +011001000011 +011001010011 +011001010011 +011101010011 +011101010100 +100001100100 +100101110101 +011001010100 +010000110011 +010000110011 +010000110010 +001100100010 +001100100010 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010010 +000000000001 +000000000001 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000000010001 +000100100010 +001000110100 +001000100011 +000100010001 +000100010001 +000100010001 +001000100010 +001000010001 +010000110011 +001100110010 +001100100001 +000100100010 +001100110100 +010101010101 +010101010101 +001100110011 +000000010001 +000100010001 +000100110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000010001 +000100010000 +000100010000 +000100010001 +000100010010 +000100010001 +001000100010 +001101000100 +010001010101 +010001010101 +010001010101 +001100110011 +001100110010 +010101010100 +011101100101 +011001010100 +010101000010 +010101000011 +011001010100 +010101000011 +010000110010 +010101000011 +010101000010 +010100110010 +011001000010 +011001000010 +011001000010 +010101000010 +010100110001 +011001010011 +100001110101 +100110000110 +011101100101 +010101000100 +001000110010 +000100100001 +000100100010 +001000110100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001010101 +001101000100 +001000100011 +000100100010 +001000110011 +001101000100 +010001010101 +010001010110 +010001010101 +001101000100 +001100110011 +001000110011 +000100100010 +000100010010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100011 +001000110100 +001101000101 +001101000101 +001101000101 +010001000100 +010001010101 +010101000100 +010101000011 +011101100101 +100001110110 +100001110110 +100001110110 +100010000111 +100001110110 +011101100101 +100001110111 +100001110111 +100001110110 +100001110111 +100001110111 +011110001000 +011101110111 +100001110111 +011101110111 +011110001000 +100010001000 +011001010100 +011101100101 +100010000111 +011001010100 +011101100101 +100001110110 +011101100101 +011001010100 +011101110110 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +011101110111 +011101110111 +100010001000 +011110001000 +011110001001 +011110001001 +100010001001 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +011101110111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110111 +100010001000 +100010000111 +100001110111 +100001110111 +100001110110 +100001110111 +100001110111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100110011010 +100110011010 +100110011001 +100010001000 +100010011001 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +011101100101 +011101100100 +100001110101 +100001110110 +100001110110 +100001110110 +100010000111 +100001110111 +100010000111 +100110011000 +100010011000 +100010011001 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010001000 +011101110110 +100010000111 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100010011001 +100010001000 +100010000111 +100010000111 +100010001000 +100010001000 +100010011001 +100010001000 +100001110111 +100001110110 +011101110110 +100010000111 +011101110110 +100001110110 +100001110110 +100010000111 +100010000111 +100001110111 +011101110110 +011101100101 +011101110110 +011101110110 +011101110111 +100001110111 +100001110110 +011101100101 +011101100101 +011001010100 +011001010100 +011101010100 +011101100110 +011110001000 +100001110111 +100001110111 +100010011001 +100010001000 +100010000111 +011101110111 +100001110111 +011101110111 +011101110111 +100010001000 +100010001000 +100001110110 +011101100110 +011101110110 +011110001000 +011110001000 +011101110111 +011101110110 +011101110111 +011101110110 +011001100110 +011001100101 +011101100101 +011101100110 +011101100101 +011001100101 +011101100101 +011001010100 +011001000010 +011001000011 +010100110010 +011001000011 +011001010100 +010101000011 +011001010100 +010101010100 +010101010100 +010101000011 +001100110010 +001100100010 +010100110010 +010000100001 +010000100001 +010100110011 +010101000011 +010101000011 +010000110010 +001100110010 +001100110010 +010000110010 +010000110010 +000100010001 +000000000000 +000000010001 +001000100001 +010000110010 +010100110010 +000100010000 +000000000000 +010000110010 +010101000011 +010000110010 +010000100001 +001100100001 +010000100001 +010000110001 +010000110001 +010000110001 +010000110010 +010100110010 +010000110010 +001100100001 +001100010000 +001100100001 +001000010001 +001000100001 +001100100010 +001100110011 +010001000100 +000100000000 +000100000000 +000100000000 +001100100001 +010000110010 +011001000011 +011101010100 +011001000011 +011001000011 +011001000010 +011001000010 +011001000010 +011001010011 +011001010011 +100001100100 +011101010011 +010000110010 +010001000011 +010000110011 +010000110011 +001100100010 +001100100010 +001100110011 +001000100010 +000000010001 +000000010001 +000000010001 +000000000000 +000100010001 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100010001 +000000000000 +000000010001 +000100010010 +000100010001 +000000000001 +000000000001 +000100010001 +000000010001 +000100010001 +000100010001 +001000100010 +001000100010 +000100100010 +001000100010 +001100110100 +001100110100 +001000100010 +000000010001 +000100010001 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100010000 +000100000000 +000100010001 +001100110100 +001101000100 +001101000100 +010001010110 +010001010110 +010101100111 +010001010110 +010001010110 +010001010110 +010001000100 +010101000101 +010101000011 +010000110010 +010000110010 +011001000011 +011001010100 +010101000011 +010101000010 +011001010011 +010000110010 +010000110001 +010101000010 +010101000010 +010101000010 +011001000010 +010101000010 +010101000010 +011001000010 +011001000010 +011101010011 +011101100100 +011001010011 +011001010100 +010001000011 +001100110011 +001101000101 +010001010101 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000100 +001000110011 +000100100010 +001000100010 +001100110011 +001101000101 +010001010101 +010001000101 +001100110100 +001000100010 +000100010010 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +001000100010 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +010001000101 +010101010101 +011001100101 +011101100101 +011101110110 +100001110110 +011101100100 +011101110110 +100010000111 +011101110111 +011101110111 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +011110000111 +100001110111 +100010001000 +010101000100 +011001010011 +011101100101 +100110000111 +011101100100 +011101100101 +011101110111 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +100010001000 +011101111000 +011110001000 +011110001000 +100010001000 +011101110111 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011101111000 +011110001000 +011110001000 +011101111000 +011101111000 +100001110111 +100001110111 +100001110111 +100010001001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100001110111 +100010001000 +100010000111 +011101110110 +100001110111 +100001110111 +100010000111 +100010000111 +100001110111 +100010001000 +100010001001 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011010 +100010011010 +100110011010 +100110011001 +100110001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110110 +100010000111 +100010001000 +100010011000 +100010001000 +100010001000 +100010001000 +100110011001 +100010000111 +100010001001 +100010011001 +100010011001 +100010001000 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +011101110110 +100010000111 +100010011001 +100010001001 +100010001000 +011101110110 +100010001000 +100010001001 +100010001001 +100010000111 +100001110111 +011101100110 +011101100101 +100001110111 +100001110111 +100010000111 +100010001000 +011101110111 +011101110110 +011101110110 +100010000111 +100010001000 +011110001000 +011101110110 +011101110110 +011101110111 +011101110111 +100010000111 +100010001000 +100010001000 +011101110111 +011101110110 +011001100101 +011001100110 +100001110111 +011101100110 +100010000111 +100010000111 +100010000111 +011101110110 +011001010100 +011101100101 +100001110111 +100010001000 +100010001000 +100001110111 +100001110110 +011101110110 +011101110111 +011110000111 +011101100110 +011101100110 +011101100101 +011001010101 +011001010101 +011001010100 +011001010100 +011101100110 +011101110111 +011110001000 +100010001001 +011101110110 +011001000011 +010100110010 +010100110010 +011001010100 +011101100101 +011101100101 +011001010101 +011001100101 +011001010100 +011001010011 +010101000011 +011001010100 +011001010100 +010100110010 +010000100001 +001100100001 +010000110010 +010101000011 +010000110010 +001100100001 +001100100010 +010000110010 +001100100010 +000100010010 +000000000000 +000100010001 +010001000011 +010101000010 +010101000011 +001000100001 +000000000000 +001100110010 +010101000011 +010101000011 +010100110010 +010000110001 +010100110010 +010101000010 +011001000010 +011001000011 +011001010100 +011001000011 +010101000010 +010000110010 +010000110010 +010101000010 +010101000011 +010000110010 +010000110010 +010000110010 +001100100010 +001000100010 +001100100010 +001100100010 +001100110010 +000100010001 +001000010001 +001100100010 +001000100001 +001100110010 +010000110010 +010101000011 +010000110010 +010000100010 +001100100010 +010000110010 +011001000010 +001100100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +000100010010 +000000000001 +000000000001 +000000000000 +000000000000 +000000000001 +000000000001 +000000000001 +000100010001 +000100010010 +000100010010 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000100010010 +000100100010 +000100100010 +001000110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001100110011 +001000100011 +001000100011 +001000100010 +000100010001 +000100010001 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100010000 +000100000000 +000100010001 +001100110011 +001000110011 +001101000100 +010101010111 +010101010110 +010101010110 +001101000101 +010001000101 +010001000101 +001101000101 +010001000101 +001100110011 +001100100010 +001100110011 +010101000100 +010001000011 +010001000011 +010000110010 +010101000011 +010100110010 +010100110010 +011001000011 +011101100100 +011001010011 +011001000011 +011001010011 +010100110010 +010101000010 +010101000010 +010000110010 +010000110010 +010101000010 +011001010100 +011101010100 +011101100101 +011001100110 +010101100101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000100 +001000110011 +001000100001 +000100100001 +001000100010 +001000110011 +001101000100 +001101000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +000000010001 +000000010001 +000100010001 +000100010001 +001000100010 +001000110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +010001000100 +011001010011 +011101100101 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +100010001000 +100001110111 +100001110111 +011101110110 +100001110111 +100001110111 +100010001000 +100010001000 +100010011001 +100010001001 +011110001000 +011110001000 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +100010001000 +011101111000 +011110001000 +011101110111 +100001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +011101110111 +100001110111 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +011110001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010001001 +100010001000 +100001110111 +100010000111 +100010001000 +100001110110 +100001110111 +100010000111 +011101110110 +100001110110 +100010000111 +100001110111 +100010001000 +100010001000 +100001110111 +100010001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010001000 +100010001000 +100110011000 +100010000111 +100010001000 +100010001000 +100010001000 +100001110111 +100001100101 +100001100101 +100001100101 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100010000111 +100001110110 +100010000111 +100010001000 +100010011000 +100010011001 +100010001000 +100010011001 +100010011001 +100010000111 +100001110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010011001 +100010011001 +100010001001 +011101110110 +100010000111 +100010011001 +100010011001 +100010000111 +100010000111 +100001110111 +100001110110 +100001110110 +100010000111 +100010001000 +100010001000 +100010000111 +011101100101 +011101100101 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011110001000 +100001110111 +011101110111 +011101110111 +011101110110 +100001110110 +011001010100 +011101100110 +100001110111 +011101110110 +100001110111 +100001110110 +100001110110 +011101100110 +011101100101 +011101100100 +011101100101 +011101110111 +100010000111 +011101110110 +100001100110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100101 +011001010100 +011001100101 +011101100110 +011101100110 +011101110110 +011101111000 +011110001000 +100001110111 +011001000011 +011000110010 +011101010100 +011101100101 +011001100101 +011101100110 +011001010101 +011101010100 +011101010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101100100 +011001010100 +011001010100 +010101000011 +010101000011 +001100100001 +001000010001 +001100110010 +010101000011 +001100100010 +000100100010 +000100010001 +001000100010 +010101000011 +010000110010 +010101000100 +010001000100 +000100010001 +001100100010 +010100110010 +010101000011 +010101000011 +010000110010 +010101000011 +011001000011 +010100110010 +010100110010 +010000110010 +001100100001 +010100110010 +010000100001 +011001000011 +010101000011 +010000110010 +010000110010 +010101000011 +011001010011 +010100110010 +001100100001 +010101000011 +010101000011 +010101000011 +001000010001 +001100100010 +010001000011 +000100000000 +000100010001 +001000010001 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100001 +001100100001 +000100000000 +000100000000 +001000010001 +001000010001 +001000100001 +001000010010 +000000000001 +000000000000 +000000000000 +000000000000 +000000000001 +000100010010 +000000000000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +001000100011 +001100110100 +001000100011 +001000100010 +001100110011 +001000100011 +001000100010 +001100110011 +001100110100 +001100110100 +001100110011 +001100110011 +001100110100 +010001000100 +010001000100 +010001000100 +001101000100 +001101000011 +001001000011 +001000110011 +001000110011 +001000110011 +000100010000 +000100010001 +000100010001 +001000100010 +001000100010 +001100110010 +001100110100 +010001000101 +010001010110 +001101000101 +010001000100 +001100110100 +010001000100 +010001000100 +000100100010 +001100110011 +001101000100 +001101000100 +001101000100 +010001010101 +001100110011 +001100110010 +010000110010 +010000110010 +010000110001 +010000110001 +010101000011 +010101000010 +010000110010 +010000110010 +011001010011 +011001010011 +010101000011 +010101000011 +010000110010 +011001000011 +011101010100 +100001110101 +101010000111 +100001110101 +011001010100 +011001010100 +010101010101 +010101010101 +010001010101 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000100 +001000110011 +001000100010 +001000100001 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000100011 +000100100011 +000100100010 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010010 +001000110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000100 +001000110011 +000100010010 +000100010010 +000100100010 +001101000100 +010101010101 +011001100110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100001110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +100010001000 +100010011001 +011110001001 +011110001001 +011110001001 +011110001000 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +100010001001 +011110001001 +100010001001 +100010001001 +011110001000 +100010001000 +100010001001 +011110001000 +100010001000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +100010001000 +100010001000 +100010001000 +100001110111 +100010001000 +100010001000 +011101110111 +011101110111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100001110110 +100001110110 +011101100101 +011101100101 +100001110110 +011101100101 +100001110110 +100001110111 +100010000111 +100010000111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100001110110 +100010000111 +011101110110 +011101110111 +100010011001 +100010001000 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100001110110 +100001110111 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100001110111 +011101110111 +011101110111 +011101100110 +011101100110 +011101110110 +011101110111 +100010001000 +011101110111 +100001110111 +011101110110 +011101110111 +100001110111 +011101100110 +100010000111 +100001110111 +011101110111 +100010001000 +011101110111 +011101110110 +100001110110 +100001110110 +011101100101 +011101100101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100101 +011101100110 +011101110110 +011101100110 +011101110111 +011101100110 +011101100110 +011001010101 +011001010100 +011001000011 +011101010100 +011101100100 +011101100101 +011101100101 +011001010011 +011001000011 +011001010100 +011101100101 +011101010100 +010100110010 +011000110010 +011001000011 +011101010011 +011101010100 +011001000011 +010101000011 +010101000100 +010101000100 +010101000011 +010000110001 +001000010001 +000000000001 +000100000000 +001000100001 +010000110010 +010001000011 +010101000100 +010101010100 +010101010100 +010000110010 +010100110010 +011101100101 +011101100101 +010000110010 +001100100001 +010100110010 +010000110010 +010000100010 +010000100001 +010100110010 +010000110010 +010000100001 +010100110010 +011001010100 +011101010100 +011101100100 +011101010100 +011001000010 +011001000011 +011001010011 +010101000010 +010100110010 +010100110010 +001100100001 +001100100001 +010101000011 +010000110010 +001000010001 +001000010001 +001100100010 +001100100001 +001000010000 +000100000000 +000100000001 +000100010001 +001000010001 +000100010001 +000100010001 +001000010001 +001100100001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000100010001 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100011 +000100100010 +001000110011 +001000110100 +001100110100 +001000100011 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110100 +010000110100 +001100110100 +001100110100 +010001000100 +010001010101 +001101000100 +001101000100 +000100010000 +001000010001 +001000100001 +000100010001 +001000100010 +001100100010 +001000100010 +001100110100 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001100110011 +010001000101 +001100110100 +001101000100 +010001010110 +010101100111 +010101010110 +001101000100 +000100010001 +001100100010 +011101100101 +011101010011 +100101110101 +100101110101 +010101000011 +010000110001 +011101100100 +100101110110 +100001110101 +011101100011 +011001000010 +011001010011 +011001010011 +011001000010 +011101010011 +011001000010 +010100110010 +011001000010 +011101010011 +011101100100 +011101100101 +011001010101 +010101010101 +010101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000100 +001000110011 +001000100010 +001000100010 +001000110011 +001100110100 +001000110100 +001000110100 +001000110011 +000100100011 +000100100010 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000100 +001000110100 +000100100011 +000100100010 +000100010010 +000000010010 +000000010010 +000100010010 +000100100010 +001100110011 +010101010101 +011001110111 +011101111000 +011110001000 +011110001000 +011101110110 +011101110111 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +100010001000 +100010001000 +100010011001 +100010001000 +011101110111 +011101110111 +011101110111 +100010001000 +100010001001 +100010001001 +100010001000 +011101110111 +100010001000 +011110001000 +011101110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101111000 +011101111000 +011110001000 +011110001000 +100010001000 +100010001000 +011110001000 +100010001000 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +100010000111 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010000111 +100010000111 +100010001001 +100010001001 +100010011001 +100010000111 +100001110110 +100001110110 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +100001110110 +011101100101 +100001110111 +100010001000 +100010000111 +100001110110 +100001110111 +100001110111 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010000111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010011000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001001 +100001110111 +011110000111 +011101110111 +011101110111 +011101110111 +100001110111 +100001110111 +100010001000 +011101110111 +100001110111 +011101110111 +100001110111 +100001110111 +011101110111 +100010001000 +011101110111 +100001110111 +100010001000 +100001110111 +011101110110 +100001110110 +011101100101 +011001010101 +011101100101 +011101100101 +100001110110 +100001110110 +011101100101 +100001110110 +100001110110 +011101100101 +011101110111 +100001110111 +100001110111 +100010001000 +011101110111 +011101110110 +100001110111 +100001110111 +011101110110 +011001010100 +010101000011 +011001000011 +011001000011 +011001000011 +011101010011 +011101010100 +011101100101 +011101110110 +011101100100 +011101010100 +011001010100 +011101100110 +011101010100 +011001000011 +011001000011 +010101000010 +011001000011 +011001010011 +011001000011 +010101000010 +011001000011 +010101000011 +011001010011 +010101000010 +000100000000 +000000000000 +001100100001 +001100100001 +010000110010 +010000110010 +010001000011 +010001000011 +001100110010 +001100100001 +010000100001 +010000110010 +011001010100 +010000110010 +001100100001 +001100100001 +010000100001 +010100110010 +011001000010 +011001000011 +010101000010 +010101000011 +010101000011 +010000110010 +011001000100 +010101000011 +011001000011 +011101010011 +011101010100 +100001100101 +011001010011 +010101000010 +011001000011 +011001010100 +010101000011 +011001000011 +011001000011 +010000100010 +001100100010 +010000110010 +001100100001 +001100100001 +000100000000 +000100010001 +001000010001 +001000010001 +000100010000 +000100010001 +000100010000 +001000010001 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +001000100010 +001000100011 +000100100010 +001000100010 +001000100001 +000000000000 +001000100010 +001000110011 +001000100011 +001100110100 +001000110100 +001000110011 +001000100011 +001000100011 +001000110100 +001100110100 +010001000100 +010001000100 +001101000100 +001100110100 +001100110011 +001100100011 +001000100011 +001000100010 +001000100011 +001100110011 +010001000100 +010001000101 +010001000100 +001100110011 +001100110011 +001100110100 +001100110011 +001100110100 +010001000101 +010001000100 +001100110011 +000100010000 +001000100001 +001000010001 +001000010001 +001000100010 +001100100010 +001000100010 +001100100010 +001000100010 +001100110011 +001100110100 +001100110011 +000100010001 +001000010001 +001100100011 +001100110011 +001100110011 +001100110100 +010001010101 +010001010110 +010001000101 +001101000100 +001000100010 +001000100010 +001000100010 +001100110010 +011001010100 +011001010011 +010000110010 +010000110010 +011101100100 +011001010011 +010101000010 +010000110010 +010100110010 +010101000010 +010101000010 +011001010010 +011001000010 +011001000010 +010100110001 +010100110001 +010101000010 +011101010011 +011101010011 +011101010011 +100001110101 +011101110101 +011001100110 +010101010101 +010001010101 +010001010110 +010001010110 +010101010101 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001000110011 +000100100010 +001000100010 +001101000100 +010001000101 +001101000101 +001101000100 +001000110011 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010001 +001000100010 +001000110011 +001000110011 +001000110010 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001100110100 +001000110011 +001000110011 +000100100010 +000100100010 +000100010001 +000100010010 +000100010010 +000100100010 +000100010010 +000100100010 +001000100011 +001000110011 +001101000100 +010001010101 +011001100110 +011001100110 +100010001000 +100010001000 +011110001001 +011101111000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011101110111 +100010001001 +100010011001 +100010011001 +100010000111 +100001110110 +100001110110 +100001110110 +011101110110 +100001110111 +011101110111 +100010001000 +011110001001 +011110000111 +011101110110 +011101100101 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001001 +011110001000 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +100010001001 +100010001001 +011110001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +011110001000 +100010001001 +100010001001 +100010001000 +011110001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001001 +100010001000 +011110001000 +011110001001 +100010001001 +100010001001 +100010011001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010011001 +100110011001 +100010000111 +100001110111 +100001110110 +011101100101 +100001110110 +100001110111 +100001110110 +100001110110 +100001110111 +100001110110 +100001110110 +100001110101 +100001110110 +100010000111 +100010000111 +100001110110 +011101100101 +011101100101 +011101100110 +100001110110 +100001110110 +100010000111 +100001110110 +100001110110 +100010000111 +100001110110 +100001110110 +100001110110 +100001110111 +100010001001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110110 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010000111 +100010001000 +100010001000 +011101110111 +100010000111 +100010001000 +100010001000 +011101110111 +100001110111 +011101110111 +011101110110 +100001110111 +100010000111 +100010000111 +100010000111 +011101110110 +100001110111 +011101110111 +011101110110 +011101100101 +011101100110 +011001100101 +011101100100 +011101100101 +011101100101 +011101110110 +011101100110 +011101100110 +011101100101 +011101100101 +100010000111 +100010000111 +011101100110 +011101100110 +011101110111 +100001110111 +011101110111 +011101110111 +011101100110 +011101110110 +011001100100 +011001010011 +011001000011 +010100110010 +010100110010 +011001000011 +011001000100 +011001010100 +011001000011 +011101010011 +011001000011 +011001000011 +011001000011 +011001010100 +011101010100 +011001000011 +011101010100 +011001010011 +011001000010 +010100110010 +010101000011 +010000100001 +010000110001 +010000100001 +000000000000 +000100000000 +001100100001 +010100110011 +011101100110 +011101110110 +011101110110 +011101100101 +010101000100 +001100100001 +001100100001 +001100010001 +001100100001 +001000010001 +010101000011 +011001010100 +010100110010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010101 +010000110010 +010000110010 +010101000100 +010101000100 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001100101 +011001010011 +011001010011 +011001010100 +010101000010 +011001000011 +010100110010 +010100110010 +010000110010 +001100100001 +001000010001 +001100100001 +001000010000 +000100000000 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000001 +000100100010 +000100100010 +000100010010 +000100010001 +000100000000 +000100000000 +001000010010 +001000100010 +001000110011 +001100110011 +001100110100 +001100110100 +001100110100 +001100110100 +001000110011 +001000100011 +001100110100 +010001000101 +001101000101 +010001000100 +010001000100 +001100110100 +010000110100 +010000110100 +001100110011 +001100110100 +001100110011 +010001000100 +010000110100 +010000110100 +001100110011 +001100110011 +001100110100 +001100110011 +001100110100 +001100110100 +001100110011 +000100010000 +000100010000 +001000100001 +001000100010 +001100100010 +001100100010 +001000100001 +001000100001 +001100110011 +001101000100 +010001000101 +001000100010 +000100010001 +001000100010 +001000100010 +001000100010 +001100110100 +001101000101 +010001000101 +001101000101 +001000110011 +000100010001 +000100010010 +001000110011 +000100100010 +010001000101 +010101010110 +010101010101 +010101010100 +010001000011 +010000110001 +010000110001 +010100110010 +010000110010 +010100110010 +010100110010 +011001000010 +011001010010 +011001000010 +011001010010 +011101010011 +011001000010 +011001000010 +011101010011 +011101010011 +011001010010 +011101010011 +011101100011 +100001100100 +011101100101 +011101100101 +011001100110 +011001010101 +010101010110 +010001010101 +010001010101 +010001010110 +010001010101 +001101000101 +001000110011 +000100010010 +000100100010 +001100110100 +010001010101 +010001010101 +001101000101 +001000100011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100100001 +000100100010 +000100100001 +000100100001 +000100100001 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +000100100001 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +001000100010 +000100100010 +001000100011 +001000110011 +001000110011 +001101000100 +010101010101 +011001100111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +100010001001 +011110001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010000111 +011101010011 +100001010011 +011101100100 +011101100101 +011110000111 +100001110111 +011101100100 +010000110001 +010101000011 +011101100110 +011101110111 +011101100110 +011101110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +011110001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +011110001000 +011110001001 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +011110001000 +100010001001 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010001000 +100010001000 +100010011001 +100010011001 +100010001000 +100010001000 +100010000111 +100001110110 +100001110111 +100010000111 +100001110111 +100010000111 +100001110110 +010000110010 +011001010100 +100001110110 +011101100101 +100001110111 +100010000111 +100001110111 +100001110111 +100001110110 +100001110110 +011101100101 +100001110110 +100001110111 +100001110110 +100001110110 +100001110101 +100001100100 +100001100101 +100001100101 +011101100101 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100001110111 +100001110110 +100001110110 +011101100101 +100001110111 +100010001000 +100010001001 +100010011001 +100010001000 +100001110111 +100001110111 +011101110111 +011101110111 +100010001000 +011101110111 +011101110111 +100010001000 +100010001000 +011101110111 +100010001000 +011101110111 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +011101110110 +100001110111 +011101110110 +011101110101 +011101100101 +011101010100 +011101100101 +011101100101 +011101100110 +011101100101 +011101100101 +011101100101 +100001110110 +100010000111 +100001110110 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011101110111 +011101100110 +011101110110 +011101110110 +011101100110 +011101100101 +011101100101 +011001010100 +011101100101 +011101010100 +010100110010 +010100110001 +010100110010 +010100110010 +010100110010 +011001000011 +011001010101 +010101000100 +010100110010 +010100110010 +010100110010 +010100110001 +010101000011 +011101100101 +011001010100 +010100110010 +001000010001 +000000000000 +001100100001 +010100110010 +011001100110 +011110000111 +011101100110 +011101100101 +011001010100 +100001110111 +011101110111 +010000110011 +010000110010 +001000010001 +001100100001 +001100100001 +001100100001 +001100100001 +010000110010 +010000110010 +011001010100 +011001100101 +010101010100 +011001010100 +010000110011 +010001000011 +011001010101 +011101100110 +010101000011 +010100110010 +010101000011 +010100110010 +010101000011 +010101000100 +011001010101 +011001100101 +010101000011 +010101000011 +011001000011 +011001010011 +010101000010 +010101000011 +011101100101 +010101000011 +010000110010 +010000110010 +010000100010 +001100100001 +001000010000 +001000010000 +001000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000100010010 +000000010001 +000100010001 +000000000000 +000100010000 +000100010001 +000100010001 +001000100010 +001100110011 +001100110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010001000101 +010001010110 +010001000101 +001100110100 +001100110100 +010001000101 +010000110100 +001100110011 +001100100011 +001100100010 +001100100010 +001100100010 +001100100011 +001100100011 +001100100011 +001100110011 +001100110100 +001100110011 +001000100011 +000100010000 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001100110010 +001100100011 +001000100010 +001000100001 +001000100001 +001000100010 +000100010001 +001000100010 +001100110011 +001000100011 +001100110011 +001100110100 +001100110100 +000000000000 +000000010001 +010001000101 +010001010101 +001100110100 +001101000100 +010001010101 +010001010101 +001101000100 +010001000011 +010101000100 +001100110010 +001100100001 +010100110010 +010101000010 +011001000010 +011001000010 +011001010011 +011101010011 +011101010011 +011101010011 +100001100011 +011001000010 +011001000010 +011101010011 +011001000010 +011101010011 +100001110101 +100001100100 +100001100100 +011101100100 +100001100100 +100001100101 +011001100101 +010101010110 +010001010101 +010001010101 +001101000100 +001000110011 +000100010001 +000100100010 +001100110100 +010001000101 +010001010101 +001101000101 +001000100011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010001 +000100010001 +000100010001 +000000010001 +000100010001 +000100100001 +000100100010 +000100100001 +000100100010 +001000100011 +001000100011 +000100100010 +000100100010 +001000100011 +001000110011 +010001000011 +010101010100 +011001100110 +011101110111 +011101111000 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +100010001001 +011110011001 +011110001001 +100010001001 +100010001000 +100010000111 +100110000111 +100001100101 +011001000011 +011101100011 +100001110101 +011001010011 +010000100001 +010100110010 +011101010100 +100001110110 +011101110110 +011101110110 +011101110110 +100001110111 +100010001000 +100010001001 +100010001001 +100010001001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101110111 +011110001000 +011110001000 +011110001001 +100010001001 +011110001001 +100010001000 +100010001000 +011110001001 +100010001000 +100010001000 +011110001000 +011110001001 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +011110001000 +100010001001 +100010011010 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +100001110111 +011101100110 +100010000111 +100010001000 +100010001001 +100010011010 +100010011001 +100110011001 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +010100110001 +010101000010 +100001110110 +100001110110 +100001110110 +011101110110 +011101100110 +100001110111 +100001110110 +100001110110 +011101100101 +100001110110 +100001110111 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +011101100100 +100001110110 +011101110110 +100010000111 +100001110111 +100010001000 +100010000111 +100001110110 +100001110110 +100010000111 +100001110111 +100001110110 +100010000111 +100010001000 +100010011001 +100010001001 +100010000111 +100001110111 +100010000111 +100010001000 +011110001000 +011110001000 +011101110111 +011101110110 +011101100110 +011101110111 +011101110111 +011101111000 +011110001000 +100010011001 +100010001001 +100010011001 +100010001000 +011101110111 +011101110111 +100001110111 +011101110110 +100001110111 +100001110111 +011101110110 +011101100101 +011101100101 +011101110110 +011101100110 +011101100101 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011101100101 +011101100110 +011101110110 +100001110111 +011101100101 +011101010101 +011101100110 +011101100101 +011001010101 +011101100110 +011001100110 +011101110111 +011101110111 +011101110110 +011101110111 +011001100101 +010101010100 +011001010100 +011001000100 +010101000011 +010100110010 +010100110011 +011101100110 +011101100110 +011001010100 +010100110010 +011000110010 +011101010100 +010101000011 +011101100101 +011101100101 +011101100101 +001100100010 +000000000000 +001100100001 +010100110010 +011001010101 +011101110111 +011101100101 +011001000011 +010101000010 +011101110111 +011110001000 +011101100110 +011001010100 +000100000000 +000100000000 +001100100001 +001100010001 +010000110010 +010100110010 +010000110010 +001100100010 +001100110010 +010101000100 +010101000100 +010101000100 +010101010101 +011001010101 +010101000100 +010101010101 +010000110011 +010000100010 +010000100001 +010101000011 +011101100110 +011101110111 +011101110111 +011001100101 +010101000010 +010100110010 +010000110010 +010100110010 +010100110010 +011101010100 +010101000011 +010000110010 +010101000010 +010101000011 +010101000010 +010000110001 +001100100001 +001100100001 +000100010001 +000000000000 +000000000000 +000000000000 +000100010001 +000100010010 +000100010001 +000000000000 +000000000000 +000100010000 +000100000000 +000100010001 +001000100010 +010001000100 +010101000101 +011001100110 +010001000101 +001100110011 +001100110011 +001100110100 +001100110100 +001100110011 +001100110011 +001100110100 +010001000101 +010001000101 +010101010110 +010101010110 +001100110100 +001100100011 +001000100010 +001100110011 +001100110011 +010000110011 +001100100010 +001000100010 +001100100010 +001100100010 +001100110011 +010001000100 +010101000101 +010000110100 +000100010001 +000100010001 +001000010001 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +001000100001 +000100010000 +000100010001 +001100100010 +001000100001 +001000100010 +000100010001 +001000100001 +001000100010 +000100010001 +001000100010 +001000100010 +000100010001 +000000000000 +001000100010 +010001010110 +001101000100 +001000100010 +001000100010 +001100110011 +001101000101 +010001010101 +010001010110 +010001010101 +010101010110 +010001000100 +010000110010 +011001000010 +011101010011 +011101100100 +011001010011 +010101000010 +011001000010 +011001000010 +011001000010 +010101000010 +011001000010 +011101010010 +011101010011 +100001100100 +100001100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010011 +011101100100 +100001100101 +011101100101 +010101100101 +010001000100 +001000110011 +000100010001 +000100010010 +001000110011 +001101000101 +001101000101 +001101000101 +001000100011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100100010 +000100100010 +001000100010 +001000110010 +001000110010 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000000010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100001 +001000100001 +001100110010 +010001000011 +011001010101 +011101110111 +011101111000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100001110111 +100001110110 +100001100101 +100110000111 +100001110110 +011101100100 +011001000010 +010000110001 +011001010100 +011101100101 +011101100101 +100001110110 +100001110110 +100001110111 +011101111000 +011110001001 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +100010001000 +011101111000 +011101110111 +011101110111 +011101110111 +100010001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +100010001000 +100010000111 +011101110111 +011110001000 +011110001000 +011110001000 +100010001001 +011110001000 +011110001000 +011110001000 +100010001001 +100010011001 +100010011001 +100010001001 +011110001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100001110111 +100001110110 +011101100110 +100001110110 +011101100110 +100010001000 +100010011001 +100010011010 +100010011010 +100010001000 +100010000111 +100001110111 +100010000111 +100010000111 +100010001000 +100010000111 +011001000011 +010100110001 +011101100101 +100001110110 +100001110110 +011101100101 +100001110110 +100001110110 +011101100101 +011101100110 +100001110110 +100001110110 +100001110110 +011101100100 +011101100101 +100001100101 +100001110110 +100010000111 +100001110101 +100001100101 +011101100101 +100110000111 +100001110110 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +011101100110 +100001110110 +100001110111 +100001110111 +100010001000 +100010011001 +100010001000 +100010001000 +011110001000 +011110001001 +011110001000 +011101110111 +011101110111 +100010000111 +100010000111 +100001110111 +011101110111 +010101100110 +011001100110 +100010001000 +100010001001 +100010011010 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100010000111 +100001110111 +100001110111 +011101110111 +011101110111 +100010001000 +011101110110 +011101110110 +011101110110 +011101100110 +011101100101 +100001110110 +011101100110 +011001100101 +011101100101 +011101100101 +011101100101 +010100110010 +011001010100 +011101110110 +011101100101 +011001010101 +011001010100 +011101100101 +011001100101 +011101100110 +011101110110 +011001010011 +010101000011 +011101100110 +011101100110 +011101100101 +011001010100 +010000110001 +010100110010 +010101000011 +011001010101 +010101000011 +010101000010 +010101000011 +010101000010 +010000110010 +010101000011 +010101000011 +001100100010 +000000000000 +001100100001 +011001000010 +010100110010 +010101000011 +011001010101 +010100110010 +011001000011 +011101110110 +011001110111 +011101100110 +010101000011 +010000110010 +001100100001 +001000010000 +001100010001 +010100110010 +010100110010 +011001010100 +011001010100 +010000110010 +010101000011 +010101010100 +010000110011 +010000110011 +001100110011 +010001000100 +011001100101 +011001100101 +011001010101 +010101010100 +010101000100 +011001010101 +010101010101 +011001100111 +011001100110 +010101000011 +010000110011 +010101000011 +010101000011 +010000110001 +010100110010 +010000100001 +010000100001 +010100110010 +010101000011 +010100110010 +010000100001 +001100100001 +001100100001 +001100100001 +000000000000 +000000000000 +000100000000 +000100010001 +000100010001 +001000100001 +000000000000 +000000000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010001 +001101000011 +010101010101 +010001000100 +010001000100 +010001000101 +010001000101 +010001000101 +010001000100 +001100110011 +001100100011 +001100110011 +010001000101 +010001010101 +010001000101 +001100110011 +001000100010 +001000100010 +010001000100 +010000110011 +001100100010 +001100100011 +001100110011 +001100100011 +010000110011 +001100110011 +001100110011 +001100110011 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000010001 +001000100010 +000100010001 +001000010001 +000100010001 +001000100001 +001000100001 +001000010001 +000100010001 +001000100010 +000100010001 +000000000000 +001100110011 +001101000100 +001000100010 +001100100011 +001100110011 +001100110011 +001100110100 +001100110011 +001101000100 +010001010110 +010001010110 +010001000101 +001100110010 +010000110010 +011101010100 +100001110110 +011001010100 +010100110010 +011001010011 +011001010011 +010101000010 +010101000010 +010101000010 +011001000010 +011101010011 +011001000010 +011001000011 +011001000011 +011001000011 +011001000010 +011101010010 +011001010011 +011001000010 +011101010011 +011101100100 +011101100100 +011101100100 +010101010100 +001100110010 +000100010001 +001000110011 +001101000101 +001101000101 +001101000101 +001000110011 +000100010000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100011 +001000100010 +001000110010 +001000100010 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100010 +001000100010 +001000110011 +001000110011 +001000110100 +001000110011 +001000100011 +000100100010 +000100100010 +000100100001 +000100010001 +000100010000 +000100010000 +001000100001 +001100110011 +010101010101 +011001100111 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +100010001001 +011110001001 +011110001001 +011110001001 +011110001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010000111 +100010000111 +100010000111 +100001100100 +010100110010 +010100110010 +010100110010 +010100110010 +011101100101 +011101110110 +100001110111 +011101110110 +011101110111 +011110000111 +100010001000 +011101110111 +011101111000 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +100010001000 +100010000111 +100010001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001001 +011110001001 +011110001001 +100010001001 +100010011010 +100010011010 +011110001001 +100010001000 +100010001000 +100010011001 +011110011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010000111 +100010000111 +100001110110 +011101110110 +011101100101 +100010000111 +011101110111 +100010011001 +100010011001 +100010001001 +100010001000 +100001110111 +100010000111 +100001110111 +100010000111 +100001110110 +010100110001 +010000100001 +011001000011 +100001110110 +100001110111 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101100101 +011101100101 +011101010100 +011101100100 +011101100100 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +011101110110 +100001110111 +100001110111 +011101100110 +100001110111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +011110001000 +011101111000 +011110001000 +100010001001 +100010001000 +011101110111 +011101110111 +011001100111 +011101110110 +100010001000 +011110001000 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +011101110111 +011110001000 +100010001000 +100001110111 +011101110111 +011101110111 +011101110110 +011101110101 +011001010101 +011101100110 +011101100101 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011001010100 +010101000011 +011001010100 +011001010100 +010101000010 +010101000010 +010101000010 +010101000011 +011101110111 +011101110111 +010101010100 +010100110010 +010000100001 +010000100001 +010000100001 +010101000010 +011101100100 +010100110010 +010101000010 +010101000010 +010101000011 +010100110010 +010000100001 +010000110010 +001000100001 +010100110010 +010101000010 +010100110001 +010100110001 +010100110010 +010000100001 +010100110010 +010101000011 +011101100110 +011001010100 +011001010100 +011101100101 +011001010101 +010000110011 +001100100001 +010000110010 +010101000011 +011101100101 +011101100101 +011001000100 +011101100110 +011101110111 +011101110111 +011101100110 +011001100101 +011001100110 +010101010110 +010101010101 +010101010101 +010101010101 +011001010101 +011001010101 +010101010101 +010101010101 +010101010101 +010101010110 +011001100111 +011001110111 +011001100110 +010101010100 +010000110010 +010000100001 +001100100001 +010000100001 +010000110010 +010100110010 +010100110010 +010000110001 +010000100001 +010000100001 +001100100001 +001000010001 +000100000001 +000100010001 +000100010000 +000000000000 +000100000000 +000100000000 +001000010000 +001100100001 +001000010000 +001000010000 +001000010000 +000000000000 +000100000000 +001000010001 +001100100010 +010000110011 +001100110011 +010000110011 +001100110011 +001100110100 +010001000100 +001000100010 +001000100010 +001100100010 +001100110011 +001100110100 +001100110011 +001000100010 +001000100010 +001100110011 +001100100011 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +000100100010 +000100100010 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +000100010001 +000100010000 +000100000000 +000100010000 +001000010001 +001000010001 +001000100001 +000100010000 +000100010000 +000100010001 +000000000000 +000000000000 +000100010001 +001100110011 +001100110011 +001100110100 +001101000100 +010001000101 +010001000101 +001000110011 +001100110011 +010001000101 +010001010110 +010001010101 +001000100010 +001100100010 +001100100010 +010000110010 +010000110010 +010000100010 +010101000010 +010101000010 +010000110010 +010100110010 +010100110010 +010101000010 +011001000010 +010101000010 +011001000010 +011001010011 +010101000011 +010101000010 +010101000010 +011001010011 +011101010011 +100001100100 +011101010011 +011101010010 +011101010010 +100001100011 +100001100100 +011101010100 +011001010100 +010101010100 +010001000101 +001101000101 +001000110011 +000100010001 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010010 +000100100010 +000100100010 +000100100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +001000100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001100110010 +010001010100 +011001100101 +011101110110 +100001110110 +100001110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +100010001001 +011110001000 +100001110111 +011110001000 +100001110111 +011101110111 +011101110110 +011101010100 +011001010100 +011101010100 +011101010011 +100001110110 +100001110110 +011101110110 +011101100101 +011101100101 +011101010100 +011101100100 +011101100101 +011101100101 +011101110111 +011101111000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +011110001001 +011110011001 +011110011010 +100010011010 +011110001001 +100010001001 +100010001000 +100010001000 +100010001010 +100010011001 +100010011001 +100010001001 +100010000111 +100010001000 +100010001000 +011101110110 +011101010100 +011001010100 +011001100101 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100010000111 +011101110111 +100001110110 +011101100100 +011101010100 +011101100101 +011101100101 +011101100110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +100001110110 +011101100101 +011101100100 +011001010011 +011101100100 +011101100100 +011101100101 +100001110110 +100001110110 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +011101110110 +011101100101 +011101110110 +100001110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101110110 +011001100101 +011001010100 +011101110111 +100010001000 +100010001000 +100010001001 +011110001000 +011101111000 +011101111000 +011001100110 +011101110111 +100001110111 +011101110111 +100010011001 +100010011010 +100010001001 +100010000111 +100010000111 +100001110111 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +011110001000 +100001110111 +011101100110 +011101100110 +011101100101 +011101100101 +011001010100 +011001010101 +011001010101 +011001010100 +100001110110 +011101110110 +011101100110 +011001010101 +011001010100 +010000110011 +011001010100 +011001100101 +010101000011 +010101000011 +011101100101 +010101000011 +011001010100 +011001100101 +011001010100 +010101000011 +010101000010 +010101000010 +010100110010 +010000110010 +011001010100 +010101000100 +011001100101 +010101010100 +010101000011 +010101000011 +010000100001 +010000100001 +010100110010 +011001000010 +010100110010 +010100110010 +010100110010 +010100110001 +010100100001 +010100110010 +010100110011 +011001010101 +011101100101 +011101100101 +011101100101 +011001100101 +011101100101 +010101000011 +001100100010 +010101000100 +011101100110 +011001010100 +010101000100 +011101110110 +010101010101 +011001100110 +011101100110 +011101110111 +100010001000 +100010001001 +100010001001 +011110001000 +011101110111 +011001100101 +011001100101 +010101010100 +010000110011 +010001000100 +010001000100 +010101010110 +011001100110 +011001110111 +010101010110 +001100110011 +001000100010 +001100100010 +001100100001 +010000100001 +010100110001 +010100110010 +010000100001 +010000110010 +010000110011 +010001000100 +001100110011 +000100010010 +000000010001 +000000000000 +000000000000 +001000100001 +001100100010 +001100100001 +010000110001 +010000110010 +001100100001 +001100100001 +001100100001 +001100100001 +001000010001 +001000010001 +001000010001 +000100000000 +000100010000 +000000000000 +000000000000 +000100010001 +001000010001 +001100100010 +010001000011 +010000110011 +010101010100 +001100110011 +001000100011 +001000100011 +001101000100 +001100110100 +001000110011 +001100110011 +001000100011 +001000100011 +001100100011 +001100100011 +001100100011 +001100110011 +000100010010 +000100100010 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000010001 +000100010001 +000100010000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000001 +000100000001 +000000000000 +000000000000 +000100010000 +001000100010 +001100110011 +010001000101 +010001000101 +001101000100 +001101000100 +001000100010 +000100010001 +001100110011 +001100110011 +001000100010 +000100010001 +001000100010 +000100010001 +001000010000 +001000010001 +001000100001 +010000110010 +010001000011 +010101000011 +010101000011 +010100110010 +010100110010 +010100110010 +010101000010 +010101000010 +011001010011 +011001010011 +010101000011 +010101000010 +010101000011 +010101000010 +010101000010 +011001000011 +011101010011 +100001100011 +011001000010 +011001000011 +011101010011 +011101010011 +011101010011 +011101100100 +011001100101 +001100110011 +000100010001 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000010001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +001100100001 +010101000011 +010101000010 +010101000011 +011101100110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101110110 +100001110111 +011101110111 +011101110110 +011101100101 +011101100101 +011101100101 +100001110110 +011101110110 +011101100101 +100001110110 +011101010100 +011101010011 +010100110010 +010000100001 +010100110010 +011001010011 +011101100101 +011101110111 +011110001000 +011001110111 +011101110110 +011101111000 +011110001001 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101110110 +011101110111 +011110001000 +011101111000 +011110001000 +011110001000 +011101111000 +011101110111 +011101111000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +100010001001 +011110001001 +011110001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010000111 +011101100101 +011001010011 +011001010011 +011001000010 +011001010100 +011101110110 +011101100110 +011101110111 +011101110110 +100001110110 +011101110111 +100010000111 +100001110111 +100001110111 +100001110110 +011101100101 +011101110110 +011101100110 +011101100110 +011101100110 +100001110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100101 +011101100100 +011101010011 +011101100100 +011101100101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110111 +100001110110 +100010000111 +100001110111 +100001110111 +100010001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +100001110111 +011101100101 +011101100101 +011101100101 +010100110010 +011001100101 +100010001000 +011101110111 +011101111000 +011110001000 +011110001001 +011101111000 +001101000100 +011001110111 +100010001000 +011110001000 +100010001001 +100010011001 +100010001000 +011101110111 +100001110111 +011101110111 +011101110111 +011101110111 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +011110001001 +011101110111 +011001100101 +011101110110 +011101110111 +011101110110 +011101100101 +011001100101 +011101100110 +011101100101 +011001010100 +011101100110 +011001100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011001010100 +010101000011 +011001010100 +010101000011 +010101000011 +011001000011 +011001010101 +011001010101 +010101000011 +010101000010 +011001010100 +011001100101 +011001010100 +010000100001 +010000100001 +010000100001 +010000100000 +010000100001 +010100110010 +011001000011 +010100110010 +010100110010 +010100110010 +011001000010 +010101000010 +011001010011 +011101100101 +011101100110 +011101100101 +010100110010 +010000110010 +010000110010 +011001010100 +011001010100 +010101000100 +011001010100 +010101000010 +010000110010 +010101000011 +010101010100 +010001000011 +010000110010 +010000110011 +011001010101 +011101110111 +011101111000 +011101111000 +011101110110 +011101110111 +100010001001 +011101110111 +010000110010 +010101000011 +011001100110 +010001000100 +010001000011 +010101000100 +010001000100 +001100110011 +000100010001 +001000100010 +010000110011 +001100100010 +010000110010 +010000100001 +001100010000 +001100100010 +010101000100 +010001000100 +000100010010 +000100010010 +000000000001 +000000000000 +000100010001 +001100110011 +010001000100 +001100100010 +001100100001 +010000100001 +001100100001 +001100100001 +010000100001 +010000110010 +010101000011 +010101000100 +010000110010 +001000010001 +001100100010 +001100100001 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +001000100001 +001100100010 +010000110010 +010000110011 +010001000101 +010101100110 +010001010101 +001000100011 +010001000100 +001000110011 +001000100011 +001000100010 +001000100011 +001100110011 +001100110011 +000100010001 +000100100010 +000100100010 +001000010001 +001000010000 +001000010001 +001000010000 +001000100001 +000100100010 +000100100010 +000100010001 +000100000000 +000100000000 +000100000000 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000100000000 +000100010000 +001000100001 +001000100010 +001100110100 +001100110100 +010001000100 +010001000101 +001100110100 +001000100010 +001000100010 +001100110010 +001000100010 +001000100001 +001000010001 +000100010001 +001000010001 +001000100001 +001000010001 +001100110011 +001100110011 +001100100010 +010000110010 +010000110010 +010000110010 +010101000010 +011001010011 +011101010100 +011001010011 +010101000010 +010101000010 +010101000011 +011001010100 +010101000011 +010100110010 +011001000011 +010101000010 +011101010011 +011101010011 +010100110001 +010101000001 +010101000010 +011001000010 +011101010011 +100001100100 +011101010011 +010101000010 +001000100001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010010 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010000110010 +011101100101 +010101000011 +001100100000 +001000010000 +010000110010 +011001100101 +011101110111 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101100110 +011101110110 +011101110110 +011101110110 +011001010101 +011101110110 +011101110111 +011101110111 +011101100110 +011101110110 +100001110110 +011101010100 +100001100101 +011001000011 +010100100001 +001100010000 +001100010000 +001100100001 +011001010100 +011101110110 +011101110110 +010101010100 +011001010101 +011101110111 +011110001000 +011110001000 +011101111000 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110110 +011101110110 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001001 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +011110001001 +100001110111 +011101110110 +011101100101 +100001110110 +011001010100 +010100110001 +011101100101 +011101110111 +011001010100 +011001010011 +011101110110 +011101110110 +011101100101 +011101110111 +011001100101 +011101110110 +011101110111 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110111 +011101110110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011101010100 +011101010011 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +100001110110 +011101110110 +100001110111 +100010001000 +011101110111 +100010001000 +011101110110 +011101100101 +011101100101 +011101100110 +011101100101 +011101100110 +011101100101 +011001010100 +010000110010 +011001010100 +011101100101 +011001100101 +011101110110 +011101110110 +011101110111 +011101111000 +011110001000 +011101111000 +010001010101 +011001111000 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011110001000 +011101111000 +100001111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011101110111 +100001110111 +011101110110 +011101100101 +011101100110 +011101110110 +011101100110 +011001100101 +011101100101 +011001100101 +011001100101 +010101000100 +010101010100 +010101000011 +011001010100 +011001000011 +010101000011 +010101000100 +011001100101 +011101110110 +011101110111 +010101000100 +010000110010 +010101000011 +010101010100 +011001010100 +011001010100 +011001010100 +010000110010 +010100110010 +010000110010 +010000110010 +010000110001 +010000110001 +010100110001 +010000100001 +010000100001 +011001000011 +100001110110 +011101100101 +010101000011 +010000100001 +010100110010 +010100110010 +010101000011 +010101000011 +010101000011 +010101000011 +001100100001 +010000110010 +011001010100 +010101000100 +001100100001 +010000100010 +010000110010 +010100110010 +010100110010 +010101000011 +010001000011 +010101010101 +010001000011 +001100100010 +010101000011 +010101010100 +011001100110 +011001100110 +010101000011 +011001100110 +011101110111 +011001100110 +010000110010 +010100110010 +011001100101 +011101110111 +011001100111 +011001100110 +010101010101 +010001000100 +001000100010 +001000100010 +001000100010 +001100110011 +001100110010 +001100100010 +010000110011 +010001000100 +010001000101 +001100110100 +000100010001 +000000000000 +000000000000 +000000000000 +001000100010 +001000100010 +001100110100 +000100010001 +000100010000 +001100100010 +001100110010 +010000100001 +010000110010 +010100110010 +010001000011 +010101010100 +010001000010 +010101000010 +010000110010 +010000110010 +010001000011 +001100110010 +001000010001 +001000010000 +000100010001 +001000100001 +001000010001 +001000000000 +001000010000 +000100010001 +001000100010 +001000100010 +000100010001 +001000100010 +000100010001 +000100000001 +000000000000 +000100010001 +000100010010 +001000100010 +000100100010 +000100100010 +000100010010 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001000100010 +000100100010 +000100010001 +000100010000 +000100000000 +000100010000 +000100010001 +001000010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +001000010001 +001000100001 +001000100010 +001100110011 +001101000100 +010001000100 +001101000100 +001000110011 +001100110011 +010001000100 +001100100010 +001000010001 +000000000000 +000100000000 +001000100001 +001000100001 +001000100001 +001100110010 +001000100010 +001000100001 +001000100001 +001000100001 +001100100010 +010000110010 +010101000011 +010101000010 +010101000011 +010101000010 +011001000010 +011101010011 +011101010011 +011001000010 +010101000010 +010101000010 +010000110001 +010000110001 +010000110001 +011001000010 +011001000010 +011001010011 +011001000010 +011001000010 +011001000010 +011101010010 +011101010010 +011101010010 +011001010011 +010101000010 +001100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010001 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010010 +000100010001 +000000010001 +000000010001 +000000010001 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001100110011 +011101110110 +011101100101 +011001000010 +010000100000 +001100100000 +010101000010 +010101000011 +010001000011 +011001100110 +011101110111 +011101100110 +011101100101 +011101110110 +011101100101 +011101100101 +011101100110 +011101110110 +011001100101 +011101110110 +011101110111 +011110001000 +011101111000 +011101110111 +011101110111 +011101100101 +011101100101 +011101100100 +011101010100 +010100110010 +001000000000 +000100000000 +010000110010 +011001010100 +011001010011 +011001000011 +010100110010 +010000110010 +011101100110 +011101110111 +011101110111 +011001100101 +011101100101 +011101110110 +011101100110 +011101100101 +011101100101 +011101110111 +011101111000 +011101110111 +011101110111 +011101110110 +011101110110 +011101100101 +011101100100 +011101100101 +011101100101 +011101110111 +011101110111 +011101111000 +011101110111 +011101100110 +011101110111 +011110001001 +011101111000 +011101111000 +011110001000 +011101111000 +011110001000 +011110001001 +011101110111 +011101100101 +011101100110 +011101110111 +011101110110 +011001010100 +011101010100 +011101100110 +011101100110 +011101100101 +011101110111 +011101110110 +011101100101 +011101110110 +011001010100 +010101010011 +011101100101 +011101100101 +011101100101 +011101110110 +011101110110 +011101100110 +100001110110 +011101110111 +011101110110 +011101100110 +011101100101 +011101100100 +011001010100 +011101010100 +011001010011 +011101100100 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101110110 +100010000111 +011101110111 +011101110111 +011101100110 +011101100101 +011101100101 +011101100110 +011101100110 +011101110110 +011101100101 +011101100101 +011001000011 +011001100101 +011101100110 +011101110110 +011101100110 +011001010101 +011101110110 +011101110111 +011001110111 +011001110111 +010101010101 +011101111000 +011110001001 +011110001001 +011110001000 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101100110 +011101100110 +011101110110 +011101100110 +011101110110 +011101100110 +011101100110 +011101100110 +011001100101 +010101000011 +010101010100 +011001010100 +010101010100 +011001010101 +010101000011 +010101000011 +011001100101 +011001100110 +011101110111 +011001100110 +010101000011 +010101000100 +010101010100 +011001010101 +011001010100 +010000110011 +010101000100 +011001010100 +011001010100 +010101000011 +011001010100 +010101000011 +010000110001 +010000100001 +010100110010 +010101000011 +011001010100 +011001010100 +011001000011 +010000100001 +010000100000 +010000100001 +010000110001 +011001010100 +010101000011 +001100100001 +001100100001 +001100010001 +010000110011 +010101000100 +001100100001 +010000110010 +010100110010 +010100110010 +010101000011 +010101010100 +010101000011 +010000110010 +010001000011 +010000110010 +010100110010 +010101010100 +011001100110 +011001100110 +010101000011 +010000110010 +010101000100 +010101000011 +010000110010 +010000110010 +010001000011 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +010101000101 +010001000100 +010000110011 +001000100010 +001000010001 +001000100001 +001100110010 +001100110011 +001100110100 +000100010001 +000000000000 +000000000000 +000000000000 +001000100011 +001000110011 +001100110100 +001100110011 +001100110011 +010001000100 +010001010100 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010001000010 +010101000100 +010001000011 +010001000011 +010001000100 +010101000100 +010000110010 +010000100010 +010000100010 +001100100001 +010000110010 +001000010000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100010 +000100100010 +001000100010 +001000100001 +001000010001 +000100010001 +001000100001 +001000100010 +001000100010 +000100100010 +001000100010 +000100010001 +000100010000 +000100010001 +001000010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000100010001 +001000100010 +000100010001 +000100010001 +000100010001 +001000100010 +001100110011 +001100110011 +001000110011 +001101000100 +010001000100 +001100110011 +001100100010 +000100000000 +000000000000 +000100010000 +001000010001 +001000100001 +001000100010 +001100110011 +001100110010 +001000100010 +001000100001 +000100010001 +001100100010 +001000100001 +001000010001 +001100110011 +010000110011 +001100100001 +010000110001 +010100110001 +010100110001 +010100110001 +011101010011 +010101000010 +010000110010 +010100110010 +010101000010 +011001000010 +011001000010 +010100110010 +010100110010 +010101000010 +011001000010 +011001000010 +011001000010 +011001000010 +011001000010 +011101010011 +011101010011 +011101010011 +010001000010 +000100010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110011 +010101000011 +010101000010 +010100110001 +010100110001 +011001000010 +011001010100 +010101010100 +001100110010 +001100100010 +010001000100 +010101010100 +011001010101 +011001100101 +011001100100 +011001010100 +011101100100 +011101110101 +011101110110 +011001100101 +011001100101 +011101110111 +011101110111 +011101110110 +011101110110 +011101100110 +011101110110 +011101100101 +011101100101 +011001010100 +001000010000 +001000000000 +011001000100 +100001110110 +011101010011 +011001010100 +011101100101 +010100110011 +011001010100 +011101100110 +011101100101 +011101100100 +010101000010 +011001100101 +011101100101 +011101010100 +010100110001 +011001100101 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101100101 +011101100100 +011101100101 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100110 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110110 +011101110111 +011101110110 +011101100101 +011101010100 +011001010100 +011101110111 +011101110111 +011101110111 +011101110110 +011101100101 +010101000011 +010101010100 +010000110010 +011001010011 +011101010100 +011001000011 +011001010100 +011101100110 +011001010101 +011101110110 +011101100110 +011101110110 +011101100110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +100001110111 +011101110111 +011101100110 +011101100101 +011101100110 +011101100101 +011101100110 +011101100110 +011101100101 +011001100101 +011101100110 +011001100101 +011101100110 +011101100110 +011001100110 +011101100110 +011101100110 +011101100101 +011001100101 +011101110111 +010101010101 +010001000100 +011101111000 +011110001000 +011110001000 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011001100111 +011101110111 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011001100101 +011101110110 +011101100110 +011001100110 +011001010101 +010101000100 +010101000100 +011001100110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100110 +011001100110 +011101110111 +011001100101 +011001010100 +011001010100 +011001010101 +011001100101 +011001100101 +010101000011 +010101000011 +011101100101 +011001010100 +010000110010 +011001010100 +010101000011 +010000100001 +001100010001 +010000110010 +010101000010 +010100110010 +010100110010 +010100110010 +010101000010 +010101000010 +010000110010 +010000110001 +011001100101 +010101010100 +010000110010 +010000100001 +010000100001 +001100100001 +010000110010 +010101000011 +010000110010 +010000100001 +010101000011 +010101000011 +010100110010 +010100110010 +010000110010 +010000110010 +001100100001 +001100100001 +010101000100 +011101110111 +011101100110 +011001010100 +010101000011 +010101000011 +010100110010 +010100110010 +010101000011 +011001010101 +011001100110 +010001000100 +011001010101 +011001100111 +010101010110 +010101010110 +010101010110 +011001100110 +010101010101 +011001010101 +010101010101 +010001000100 +010001000100 +001100110011 +001100110011 +000100010001 +000000010001 +000000000000 +000000000000 +001100110011 +010001000101 +010001010101 +010001000101 +010001000100 +010101010110 +010101100110 +010001000100 +010001010101 +010001000100 +001100110010 +010000110010 +010101000011 +010000110010 +010000110010 +010101000011 +010101000011 +001100110010 +010000110010 +010101000011 +010101000011 +010001000011 +011001010101 +010000110011 +001000010000 +001100100000 +001100110001 +001100100001 +001000010000 +000100000000 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000100000000 +001000100010 +000100100010 +000100100010 +001000100001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010001 +000100010001 +000100000000 +000100010000 +001000010001 +001000100001 +001000100001 +001100110011 +010001000101 +001101000100 +001100110011 +001000100010 +001000100010 +000100010001 +000100010000 +001000100001 +001000100010 +001100110100 +001101000100 +001000100010 +001100100011 +001000100001 +000100010001 +001100110011 +001100110011 +001000100010 +001101000100 +001000110011 +001000100010 +001100100010 +001100110010 +001100110010 +010000110010 +010101000010 +010101000010 +010100110010 +010101000010 +011001010011 +011001000010 +011001000010 +011001000010 +010100110001 +010000110001 +010100110010 +010101000010 +010100110010 +010101000010 +010101000010 +011001000010 +011001000010 +100001100100 +100001100100 +100001100100 +010101000011 +001100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110011 +010100110010 +010100110001 +010100110001 +010100110001 +010100110010 +011101100101 +011001100110 +010101000100 +010001000011 +010101000100 +010101010101 +010101010110 +010101010101 +010001000010 +010001000010 +010101000010 +011001100100 +011101110110 +011101110110 +011101100110 +011101110111 +011101100110 +011001010100 +011001010100 +011001010011 +011001010100 +011101100101 +011101100110 +011101100101 +010000110010 +001100010000 +010100110010 +011101100101 +011001010100 +011101100101 +011101110110 +010100110011 +010000100010 +011101100101 +011001010100 +010101000010 +010100110010 +010100110010 +011001010100 +011001010100 +010000010001 +010101000011 +011101100110 +011101100110 +011001111000 +011001110111 +011001111000 +011001110111 +011001100101 +011101100110 +011101100110 +011001110111 +011001110111 +011001110111 +011101110111 +011001100110 +011101100110 +011001110111 +011001110110 +011101110111 +011101111000 +011101110111 +011101111000 +011101111000 +011101111000 +011101111000 +011101110111 +011101110110 +011101100110 +011101100101 +011101100101 +011101100101 +011101110111 +011101110111 +011001100110 +011001010100 +011101100110 +011001100101 +011001100110 +011001010101 +011001010100 +010100110010 +010100110001 +011001000010 +011101100101 +011001100101 +011101100110 +011101110111 +011101110110 +011101100110 +011101100110 +011101100101 +011101100101 +011001010100 +011101100101 +011101100110 +011101100100 +011101010100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100110 +011101100101 +011001100101 +011101100101 +011101100101 +011001010100 +011101100110 +011001010101 +011001010101 +011101100101 +010101000011 +011001100110 +011101110110 +011001100101 +011001010101 +011001010101 +011001010101 +010101100101 +011001111000 +011001110111 +011101110111 +011101100111 +011101111000 +011110001000 +011101111000 +011110001000 +011101111000 +011101110111 +011101111000 +011101110111 +011101110111 +011001100111 +011101110111 +011101110111 +011101110111 +011001110111 +011101110111 +011001100110 +011101100110 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011101100101 +011001010101 +010101000100 +011001100101 +010101010100 +010001000100 +010101010101 +011101100110 +011001100110 +011001010101 +011001100110 +011001110111 +011110001000 +011101111000 +011001100110 +010101000011 +011001010101 +011101110110 +011101110110 +011101100101 +011001100101 +011001100101 +011001100101 +011001010100 +010101000011 +010001000011 +010001000011 +001100100010 +010000110010 +011001010100 +010101000011 +010101000011 +010101010100 +010101000011 +011001100101 +011001100101 +010101000100 +010101000011 +011001100101 +011001010100 +010101000011 +010101000010 +010101000010 +010000110010 +010101000011 +010101000011 +010000100010 +001100100001 +010000110001 +010000100001 +010000100001 +001100100001 +001100100001 +010101010100 +011001010101 +010101000100 +011001010101 +011001110111 +011001010101 +010101000100 +010000110010 +010000110010 +010000110010 +010000110001 +010000110001 +010000110011 +011001100110 +010101000011 +010000110010 +010000110011 +010001000100 +010101010101 +010101010101 +010101100110 +010101010100 +010101000011 +010101010101 +010101100110 +010101100110 +010101010110 +010001000101 +000000000000 +000000000000 +001100110011 +001000110011 +001000100010 +001100110010 +001000100010 +001101000100 +010001000100 +010001010101 +010101010110 +010101010101 +010001000101 +010101010101 +010101010100 +010101000100 +010101000100 +010101010100 +010101000011 +010101000011 +010101010100 +010101000100 +010000110010 +010101000011 +010101000010 +010101000100 +011001100110 +010101010101 +010001000011 +001100110010 +010000110001 +010000110001 +001100100001 +010000100001 +001100100001 +001100100001 +001100100001 +001000010000 +000100010000 +000100010000 +000100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000010001 +001000100001 +000100010001 +000100010001 +000100100010 +000100100010 +000100010001 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000100000000 +000000000000 +001000100001 +001000100010 +001000010001 +001000100010 +001100110011 +001101000100 +001101000100 +001100100010 +001000100010 +001000010001 +000100010001 +001000100010 +001000100010 +001101000100 +001100110011 +001000100010 +001000100010 +001000010001 +001000100001 +001100110011 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100001 +001000100010 +001100100010 +001100100001 +010000110010 +010000110010 +010100110010 +010000110001 +010000110001 +010101000010 +010000110001 +010000110001 +010000110001 +010000100001 +010000110001 +010100110001 +010100110010 +010000110001 +010100110010 +011001000010 +011001010011 +011101010100 +100001100100 +100001100100 +011101100011 +011001000011 +010000110010 +001000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000000010001 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100100010 +011001000011 +011001000010 +011000110010 +011001000010 +011001000010 +011101100101 +011001100101 +010101010100 +010101010100 +010101010101 +010101010101 +010101010110 +010101010101 +001100110010 +001000110000 +001000110001 +001100110001 +010001000010 +011001010100 +011001110110 +011101110111 +011101100110 +011101100101 +011001010011 +011001000010 +011001010011 +011001100100 +011101100110 +011101100110 +011001010100 +010101000011 +011001010100 +011001100101 +011001100101 +011101100101 +011101100101 +010101000011 +001100100010 +011101100100 +010000100001 +010000100001 +010100100001 +001100100001 +010000110010 +010100110010 +001100010000 +010101000011 +011101100101 +011001100100 +011101100110 +011001110111 +011001110111 +011001110111 +011001100101 +011101100110 +011001100110 +011001110111 +011001110111 +011101110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011001110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101100110 +011001100101 +011001100101 +011001100101 +011101100101 +011001010101 +011101100110 +011001100101 +011001100101 +011101110110 +011101110110 +011001010100 +010000100001 +010100110010 +011101100110 +011101100110 +011001100110 +011101110110 +011001100101 +011101100101 +011001100101 +011101100101 +011001100100 +011001010100 +011101010100 +011101010101 +011101100101 +011101100100 +011101010100 +011101100101 +011101100101 +011101100100 +011001010100 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011001100101 +011001010100 +011001010100 +011001100101 +011101100110 +011101110110 +011001100110 +011101100101 +010101010100 +011001100101 +011001110110 +011101100110 +011001100101 +011001100101 +011001100101 +010101100101 +011001110111 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001110111 +011101110111 +011001110111 +011001110111 +011001100110 +011001100110 +011101110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011001010100 +011001010100 +010101010100 +011001010101 +011001010101 +011001010101 +011001100101 +010001000011 +010101010100 +011001100110 +011001100110 +010101000100 +010101010101 +011101110111 +011101111000 +011101111000 +011001110111 +011001010101 +010101010100 +011001100110 +011001100110 +011001100101 +011001100110 +011001100110 +011101100110 +011001010101 +011001010100 +010101000011 +010101010100 +010001000011 +010001000011 +011001010100 +010101000011 +010101000011 +011001100101 +010101000011 +011001010100 +010101000011 +010101010100 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +010101000011 +011101100101 +011101100101 +010101000100 +010101000011 +010100110010 +010000100001 +010000110010 +010000110010 +010000110010 +010001000011 +010101010101 +011001010100 +010001000011 +011001100110 +010101010101 +010000110011 +010101000011 +010101000011 +010000110010 +010000110001 +010000100001 +010101000011 +011001100110 +011001100101 +010000110010 +010000100001 +001100100001 +010000110011 +010101010101 +010101010101 +010001000011 +010000100001 +010001000011 +010101010101 +010101010101 +010001000101 +010001000100 +000000000000 +000000010000 +010001010101 +010001010110 +010101010110 +010001000101 +001100110011 +001100110011 +001000100010 +001100110011 +001100110100 +001100110011 +001100110100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010101000011 +010101000011 +010101000100 +010101010100 +010101000011 +010000110011 +010000100001 +010101000011 +010101000011 +010101010101 +010001000100 +010000110010 +010000110010 +010000110001 +010000100001 +010100110010 +010000110001 +010000100001 +010000100001 +010000100001 +001100100001 +001000010001 +000100100010 +000100100010 +001000100010 +001000100010 +001000100001 +000100010001 +001000100010 +001000100010 +001000100011 +000100100010 +000100010010 +000100010001 +001000100010 +001100100010 +001100100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100000000 +000100000000 +000000000000 +000000000000 +000100010000 +000100000000 +000100010000 +001000100001 +001000010001 +000100010000 +000100010001 +001000100010 +001100110011 +001000100010 +001000100010 +001100110011 +010001000011 +001000100010 +001000100010 +001100110011 +001000100010 +001100110011 +001100110011 +000100010000 +001000100001 +001000100010 +001000100010 +001101000100 +010001000100 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100110011 +001000110010 +000100010001 +001100110011 +010000110010 +010000110010 +011001010100 +100001110110 +011001010100 +010001000011 +010000110010 +001100100001 +001100100000 +010100110010 +011101100100 +011001010100 +010100110010 +011001000010 +010101000010 +010101000010 +011001010011 +011001010011 +011001010011 +011001000010 +011001010011 +011001010100 +011001010100 +010000110010 +001000100001 +000100010000 +000000000000 +000100010001 +000100100001 +000000000000 +000000000000 +000000010000 +000000000000 +000100010001 +001000100010 +000100010001 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010001 +000100010001 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100001 +011101010011 +011001010011 +011001010100 +011001010011 +011001000010 +011001000011 +011001010101 +010101010101 +010101010110 +010101010101 +010001000100 +010101010110 +010101010110 +001101000010 +001100110001 +001101000001 +001100110001 +001000110001 +001000100001 +001000110001 +001101000011 +010101010101 +011001100101 +011001010100 +011001010011 +011001010011 +011001100100 +011001100101 +011001100101 +011001100101 +011001010100 +011001010100 +011001010100 +011101100100 +011001100100 +011001100101 +011001100101 +011001010100 +011001010100 +010000100001 +010100110010 +010100110010 +000100000000 +000100000000 +000100000000 +001000010001 +010101000011 +010101000011 +010101000011 +011001010100 +011001100101 +011001100110 +011001100101 +011001100100 +011001100101 +011001100101 +011001100110 +011001100111 +011001110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110110 +011101100110 +011001100110 +011101110110 +011001100110 +011001100101 +011101100101 +011101100110 +011101110111 +011001100110 +011101100110 +011101110111 +011001100110 +011001100101 +011101110110 +011101110111 +011001100101 +011001010101 +011001100101 +011101100110 +011001100101 +011001010100 +011001100101 +011101100110 +011001100110 +011001100110 +011001110110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +011001100110 +011001110111 +011001100110 +011001100110 +011001110111 +011001110111 +011001111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100101 +011001100110 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +011101110110 +011001100110 +011001010101 +011001010101 +011001100110 +011001010101 +010101010100 +010101000011 +010101000100 +010101000100 +010101010100 +011001010101 +010101010101 +010101010101 +010101010101 +011001100110 +011001110111 +011001100110 +011001010101 +010101010101 +011001100110 +011001100110 +011001100110 +011101100110 +011001100110 +011001100110 +011001010101 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000100 +011001010100 +011001010100 +010101010011 +011001010100 +010101000011 +010101000011 +010101000011 +011001010101 +011101100101 +011001100101 +011001010101 +011001100101 +011001100101 +011001010101 +011101100110 +011101100110 +001100100010 +010000100010 +011001100101 +011001100101 +010101000100 +010101000100 +010000110010 +001100100001 +010101000011 +010101010101 +010101000011 +010101000010 +010101000011 +010101000011 +010101000010 +010101000011 +010101000011 +010000110010 +010101000100 +010101000100 +010000110011 +010000110010 +010000110010 +010000110010 +010101010101 +010101010110 +010101000100 +010000110010 +010000110011 +010101010101 +010001000100 +001100110011 +010001000100 +000000000000 +000000000000 +001101000100 +010001010101 +010001010101 +010101010110 +010101100110 +010101010101 +010101010101 +010101010101 +010101010101 +001100110011 +001100110010 +001000100010 +001000110010 +001100110011 +001101000011 +010001000100 +010101010100 +010101010101 +010101010100 +010101000100 +010101010100 +010000110011 +010000110010 +010000110011 +010001000011 +010001000100 +010001000100 +010000110010 +001100100001 +010000110001 +001100100001 +001100100001 +010000110010 +010000110010 +010000110010 +010000110010 +010000100001 +001100100001 +000100100010 +000100010010 +010001000100 +100110011001 +000100010001 +000100010001 +000100100010 +100010001000 +010101010110 +000100010010 +000100010010 +000100010001 +101010101010 +101010011001 +100110011001 +010101010100 +001000100001 +000100010001 +010000110011 +100010001000 +000000000000 +000100000000 +000100010001 +100110011001 +001000010001 +000100000000 +000000000000 +010001000011 +100010000111 +000100010000 +000000000000 +011001100110 +010101010101 +000100000000 +011101110110 +101110111011 +101010101010 +100010001000 +001100110011 +001000100010 +011001100110 +101110111011 +101010011001 +011101100110 +001000010001 +001000100010 +011110001000 +101110111011 +101010101010 +101110101010 +010001000011 +001000110010 +001000100010 +000100010001 +001100110100 +010001000101 +100110011001 +101010101010 +101010101010 +011001010101 +000100010001 +100110011001 +101110111011 +101110111010 +100110011000 +011101100100 +011001000100 +101110111010 +110011001010 +110011001011 +101010011000 +010101000010 +010100110010 +010000100001 +100001110110 +100001110110 +010000110010 +011001000010 +010101000011 +101110111010 +101110111010 +110010111010 +011101100101 +010101000010 +011001010100 +110011001011 +101010011001 +100010000111 +000000000000 +000000000000 +001100110011 +101010101010 +100110011001 +101010101010 +010001010100 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100001 +011001000011 +011001010100 +011101100101 +011001010101 +011001010100 +011001010100 +011001010100 +010101000100 +010101010101 +010101010100 +010101010101 +010101010110 +010101100110 +001101000010 +001100110001 +001100110001 +001000110001 +001000110001 +001000110001 +001000100001 +000100100000 +000100010001 +000100100010 +001100110011 +010001000011 +011001010100 +011001010101 +011001010101 +011001010101 +011001100101 +011001010100 +010100110010 +010000100001 +011001000010 +011001000010 +010100110001 +011101010011 +011001010100 +011101100101 +011001010100 +011001010011 +010101000010 +001100010000 +000100000000 +000100000000 +010000100010 +010000100010 +001000010001 +010000110011 +011001010100 +011001010100 +010101000011 +010000110001 +010100110010 +010100110001 +011001010100 +011001100101 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011101100110 +011001010101 +011001010101 +011001010100 +011001100110 +011001100101 +010101010100 +011101100110 +011101110111 +011101100110 +011001100101 +011001100110 +011001100101 +011001100101 +011001100110 +011101100110 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011001100101 +011101100110 +011001010100 +011001100101 +011001100101 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +010101010101 +011001100110 +011001100110 +011001100110 +010101010100 +010101010101 +011001100110 +011001010101 +011001100101 +011001100110 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +010101010101 +011001010101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100110 +011001100110 +011001100101 +010000110011 +010001000011 +010101000100 +010101000100 +010101010100 +010101010100 +010001000100 +010001000100 +011001100110 +011001100110 +010101010101 +011001010101 +010101010100 +010101010101 +010101010100 +010101000100 +011001100110 +011101100110 +011001100110 +011001100110 +011001010101 +011001010100 +010101010100 +010101010101 +010101000100 +010101000011 +010101000100 +011001010100 +011001010100 +011001010101 +011001010100 +010101000100 +010001000011 +010101010100 +010101000100 +011001100101 +011001100101 +011001100101 +011001010100 +011101100110 +011001100101 +010101010100 +011001100110 +011001100101 +011001010100 +010101010100 +010101010100 +011001100110 +011001010101 +010001000011 +010101000011 +010000110010 +001100100001 +010000110010 +010000110010 +010000110001 +010100110010 +010000110010 +010000110010 +010001000011 +010101000011 +010000110010 +010000110010 +010101000100 +010101000011 +010001000011 +001100110010 +010101000011 +010101010101 +010101010101 +010001000100 +010101000011 +010101010100 +010001000011 +010000110010 +010001000011 +010001000100 +000100010001 +000000000000 +000000000000 +000100100010 +010001000100 +010001010110 +010101010110 +010101010101 +010101010101 +010001000011 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001000100 +010001000100 +001100110100 +001100110011 +001100110011 +010001000100 +010101000100 +010001000011 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010001000011 +010000110010 +010000110010 +001100100010 +001000100001 +010000110010 +010101000100 +010000110010 +010000100001 +001100100001 +001100100001 +000100100010 +000100010001 +100010011001 +110011001100 +001100110011 +000100010001 +000100010001 +100110011001 +011001100110 +000100010010 +000100010010 +000100100010 +101010101010 +001000100010 +000100010000 +001000100001 +001000100001 +000100010001 +010001000011 +100110011001 +000000000000 +000000000000 +010101010100 +110111011100 +010101010101 +000000000000 +000000000000 +010001000100 +111011101110 +011101110110 +000000000000 +011101110111 +011001100101 +000100000000 +100010000111 +011001100110 +001000100001 +100010001000 +100110011001 +001000100010 +011101110111 +011101110110 +001000010001 +101110111011 +001000100010 +010101010110 +101111001100 +010001010101 +001000100001 +010101010100 +101010101010 +001000100010 +001000110011 +001100110100 +001101000100 +100110011001 +100010000111 +001000010001 +010001000100 +001100110011 +000000000000 +100010001000 +010001000100 +001000100010 +010000110011 +011001010101 +101110111010 +101110101001 +011001010100 +011001010100 +100001110101 +010101000011 +010101000010 +010101000010 +110010111010 +101110111010 +010000110010 +010101000010 +010101000010 +110010111010 +010101000011 +100010000111 +101010011000 +010000110001 +100001100101 +101110101010 +010101000011 +110011001011 +010000110011 +000100010000 +101010101010 +001100110011 +000000000000 +001000100001 +101110111010 +001000100001 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +001000100010 +011001010100 +011001010101 +011001100110 +011001100101 +011001010101 +011001010100 +011001010100 +010001000011 +010101000011 +010101010101 +010101010101 +010101010110 +010101010110 +001100110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000000010010 +000100010010 +000100010010 +001000100010 +010001000011 +010101010100 +011001010101 +011001010100 +010101000010 +010100100000 +011001000010 +011001000010 +010100110001 +011001000010 +011001010011 +011001010100 +011001010100 +011001010011 +011101010011 +010100110010 +001100010001 +010100110010 +010101000010 +001000010000 +001000000000 +010000110010 +010000110010 +011101100101 +010101000011 +000100000000 +001000010000 +001000010000 +010000100010 +011001010101 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100101 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001010101 +011001100101 +011001010101 +011001100101 +011001010100 +011001100101 +011001010101 +010101010100 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001010101 +011001100110 +011001110111 +011001110111 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001010101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100110 +011001100101 +010101000011 +011001000011 +011001000011 +011001100110 +011001100110 +011001100101 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001100101 +011001100110 +011001010101 +011001010101 +011001010100 +011001100101 +010101000011 +010000110010 +011001010101 +011001100110 +011001100110 +010101000100 +010101000100 +010000110010 +010101010100 +011001100101 +011001010101 +011001010101 +010101010101 +011001010101 +010101100101 +011001100110 +010101010101 +011001010101 +010101010100 +011001010101 +010101010101 +011001100110 +010101010101 +010101010101 +011001010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001010101 +011001010101 +011001100101 +011001100101 +011001010101 +011001010101 +011001100110 +011001100110 +011001100101 +010101010100 +010001000100 +010101010100 +011001010101 +011001010101 +010101000100 +010001000011 +010001000100 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +011001010101 +011001100110 +011001100110 +010101010100 +010101000100 +010101010100 +011001010101 +010101010101 +010101010100 +010101010100 +010101010100 +010001000011 +010101010101 +011001010101 +011001010101 +011001010101 +011001010101 +010101010101 +011001010101 +011001100110 +011001010100 +010101000011 +011001010101 +011001010100 +011001100101 +011001100110 +011001100101 +011001010100 +010101010100 +011001010100 +011001010101 +011001010101 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000100001 +010000100001 +010000110001 +010000100001 +010101010100 +010101100101 +010101010101 +010000110010 +010000110010 +010101000100 +010101010100 +010101000011 +010000110010 +010000110011 +010101000100 +010001000011 +010101000100 +010101010101 +010101010100 +001100100010 +001100100010 +010101000100 +010001000011 +000100010001 +000000000000 +000100010001 +001100110011 +010001010101 +010001010110 +010001010101 +010001000100 +010001000100 +010001010100 +010001000100 +010001000100 +010001010101 +010001010101 +010101010101 +010101010101 +010101000100 +010001000100 +001100110010 +001100100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110011 +010001010100 +010101010100 +010101010101 +010001000100 +010001000100 +010001000100 +010101000100 +010001000011 +010000110011 +010001000011 +010000110010 +001000010001 +001000100001 +001100110010 +000100010010 +001100110100 +100110011001 +010101010101 +100010001000 +000000010001 +000000010001 +100010001001 +011001100110 +000100010010 +000100010010 +000100010001 +101010101011 +101010101010 +100110011001 +010001000100 +001000100010 +000100010001 +010001000011 +100110011001 +000000000000 +000100010000 +101010011001 +010001000011 +101010101001 +000100010000 +000000000000 +010101000100 +100010001000 +100110001000 +011001100110 +011101110110 +010101010101 +000000000000 +011101110111 +011001100101 +000100010000 +001100110011 +101010101010 +000100100010 +011101110111 +101110111011 +101010011001 +100010000111 +000100100010 +011110001000 +100010011001 +001000100010 +001000100001 +001000100001 +101010101010 +010001000100 +001100110011 +001000110011 +001000100010 +101010101010 +001100110010 +000100010001 +001100110011 +000100100010 +000000010001 +100110011001 +101110111010 +100110011001 +010001000100 +000100010001 +101110111011 +010101010101 +011001100101 +101110101010 +101010101010 +011001100101 +010101000010 +100001110111 +100010001000 +100110000111 +011101100110 +010000110010 +011001010011 +110011001011 +101010101001 +101110111010 +011101100101 +010000110001 +011001010100 +110111001100 +101110101001 +101110101010 +011001010011 +011101100101 +101010101010 +000000000000 +000000000000 +000000000000 +100010000111 +010101010101 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100000 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +001100110010 +011001010101 +011001100101 +011001100110 +011001100101 +011001010100 +011001010101 +010000110011 +010000110010 +010101000100 +010101010101 +010101010110 +010101010110 +010101010110 +001000110010 +001000100000 +001000100000 +001000100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010010 +000100010010 +000000010001 +000000000001 +000000000000 +000000010001 +001000100001 +001100110010 +010101000011 +011001000011 +011001010011 +011001010011 +011001010011 +010101000010 +010101000010 +010100110001 +011001000010 +011001000010 +011001010011 +011001000011 +010101000010 +011001010011 +011001010011 +010100110010 +001000010000 +010101000010 +010100110010 +010100110010 +001000000000 +000000000000 +000100000000 +000100000000 +000100000000 +001100100010 +010101000100 +010101010101 +010101100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001010101 +011001100101 +011001100101 +011001100110 +011001100110 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010101010101 +011001010100 +011001100101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010101 +010101000100 +011001010100 +010101000011 +010100110010 +010100110010 +010101000011 +011001100110 +011001100110 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010101 +010000110011 +001100010000 +010000110010 +011001010101 +010101000100 +010000110010 +010000110001 +010000100001 +001100100001 +010101000011 +011001100110 +010101010101 +011001100110 +010101010110 +011001100110 +011001100110 +010101100110 +011001100110 +011001010101 +011001010101 +010101010101 +010101100101 +010101100101 +010101010101 +011001010101 +011001010101 +011001100110 +011001100110 +011001100101 +010101010100 +010101010100 +011001010101 +011001010101 +011001010101 +010101010100 +010101010101 +011001010101 +010101010101 +010001000100 +010101010100 +010101010101 +011001010101 +010101010100 +010101000100 +010101010101 +010101010101 +010101000100 +010001000100 +010001000100 +010101010100 +010101010100 +010101010100 +010001000011 +010000110011 +011001010100 +010101010100 +011001100101 +011001100101 +010101000100 +010001000011 +010001000011 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101010101 +010101010101 +011001010101 +011001010101 +011001100110 +010101010101 +011001010101 +011001100110 +011001100101 +011001010101 +011001100101 +010101010100 +011001100101 +011001010101 +011001100101 +010101010100 +011001010101 +011001010100 +011001010101 +010101010100 +010101010100 +011001010100 +010101000011 +010101000011 +010101000011 +010000110011 +001100100001 +001100100001 +010000110001 +010000100001 +010101010101 +011001100111 +011001100110 +010001000011 +001100100001 +010001000011 +010000110011 +001100100001 +010000100001 +001100100001 +010000110010 +010000110010 +010101000011 +010101010100 +010001000011 +010000110010 +010000110010 +010001000011 +010101010101 +010101010101 +010001000011 +010000110011 +010000110011 +010001010101 +010101010110 +010001000100 +001100110011 +010000110011 +010001000100 +010001000100 +001100110011 +010001000100 +010001010101 +010001000101 +010001010101 +010101010101 +010000110011 +010000110011 +010000110011 +001100110010 +001100100010 +001100100001 +001100110010 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110010 +010001000011 +010101000100 +010101000100 +010101000100 +010000110011 +001100100010 +001100100010 +001100100010 +001100100010 +000100010001 +100010001001 +101110111011 +100110011001 +110011001100 +001000100010 +000000000001 +100110011001 +011001100110 +000100010001 +000100010010 +000100010001 +101010101010 +001100110011 +001000100010 +001000100010 +001100110011 +000100010001 +010001000100 +101010011001 +000000000000 +010001000100 +110011001100 +100010001000 +110011001100 +010101010100 +000000000000 +010101010100 +100010001000 +000100000000 +100110011000 +110011001100 +010101010101 +000000000000 +011101110111 +010101010101 +000000000000 +010101010100 +100110011001 +000000000000 +011001100110 +100110011000 +101110111010 +010101010101 +001100110100 +011001110111 +101010101010 +001000010001 +001000100010 +010001000100 +101110111011 +001100110011 +001000100010 +001000010001 +001000010001 +100110011001 +011001010101 +000100010001 +001100110011 +001000100011 +000100010010 +100110011001 +010101010100 +001000100001 +001000010001 +000100010001 +101010101001 +011001100111 +001101000100 +010101010110 +110011001100 +011001100101 +010000110010 +110011001011 +101010101010 +101110101001 +101110111010 +001100100001 +010000110010 +101110111010 +100110011000 +101110111010 +010000110010 +010000110001 +011001010101 +101110111010 +101110101010 +100110000111 +010100110010 +011101010100 +110011001011 +010101000011 +010000110001 +001000010001 +101010101010 +001100110011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100100000 +001000100001 +001000100001 +001000100001 +001000100000 +001000100000 +001000100001 +000100100001 +000100100000 +001100110010 +011001010100 +011001010101 +011001010101 +011001010101 +011001010100 +011001010101 +010101000011 +001100100010 +010101000100 +010101010101 +010101010110 +010101010110 +010101010110 +001000100010 +000100100000 +000100100001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100010 +010000110011 +010101010100 +011001010101 +011001010100 +011001000011 +010100110001 +010100110010 +011001010011 +011001010011 +011001010011 +011001010011 +011001000011 +011001010011 +010101000010 +010000100001 +011001000011 +011001000010 +010100110010 +001000010000 +000100000000 +001000010000 +000100000000 +000100000000 +000000000000 +000100000000 +010101000100 +011001100110 +010101010101 +010101000011 +010101000100 +010101010101 +010101010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100110 +011001100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001100111 +011001110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010101010100 +010101000010 +010101010100 +011001010101 +011001010101 +011001010101 +010101010100 +011001010100 +010101000011 +010000110010 +010100110010 +010000110001 +010100110010 +010101000010 +010101000011 +010101010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010100 +011001010101 +011001100101 +011001010101 +011001100101 +011001100101 +010000110010 +010000100001 +010000110010 +010000100001 +010000110001 +010000100001 +001100100001 +010000100001 +010000100001 +010101010101 +010101010101 +010101010110 +010101100110 +011001100110 +011001100110 +010101100110 +010101010101 +011001100110 +011001100110 +011001100110 +010101100110 +010101100110 +010101010110 +010101000100 +010001000100 +010101100110 +010101010110 +010101010101 +010101010100 +011001010101 +010101010100 +010101010101 +011001010101 +011001010101 +010101010101 +010101010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010001000100 +010001000100 +010101010101 +010101010101 +010101010101 +010001000100 +010001000100 +010001000011 +010001000100 +010101000100 +010001000011 +010101000011 +010101010100 +011001010101 +011001010101 +010101010100 +010001000011 +010101000011 +010101000100 +010101010100 +010101010100 +010101000100 +010101000100 +010101010100 +011001010101 +010101010101 +010101010100 +010001000101 +010101100111 +010101100110 +010101010101 +011001100110 +011001100110 +011001100101 +011001100101 +010101010101 +010101010100 +010101010100 +011001010100 +010101010101 +010101010101 +010101010100 +010101000011 +010101000011 +010101010100 +010101010100 +010101000011 +010101010100 +010001000100 +010101000100 +010000110010 +010000110010 +010000100001 +001100100001 +010101000100 +010101100110 +010101100110 +010001000011 +010000110010 +010101000100 +010001000011 +001100100001 +010000100001 +001100100001 +001100100001 +001100100001 +010001000011 +010101000011 +010000110010 +010000110010 +010000110010 +001100110011 +010101010101 +010101010101 +010101010101 +010001000011 +010000110011 +010001010101 +010001010101 +010000110011 +010000110010 +010000110011 +010001000011 +010101010100 +010001000100 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +001100110011 +010000110011 +010101010100 +010000110011 +001000010001 +001000010001 +010001000011 +010101100110 +010101100111 +011001100111 +010101100110 +010001010101 +010001000100 +001100110010 +001000100010 +001100100010 +001100100010 +001100110010 +010001000011 +010000110011 +010000110010 +001100110010 +010001000100 +101010101011 +001100110011 +001100110011 +011101110111 +100010001000 +000000010001 +100110011001 +100110011010 +011001100110 +001100110011 +000000010001 +101010101010 +011001100110 +010101010101 +010001000100 +001100110011 +011001100110 +100110011001 +011101110111 +000000000000 +101010101001 +010001000100 +000100010001 +010000110011 +101010101010 +000100000000 +010101010100 +100010001000 +000000000000 +000100010000 +101110111010 +010101010101 +000000000000 +011101110111 +100110001000 +011101100110 +101010101010 +001100110010 +000100000000 +011101110110 +011001100110 +001100100010 +101110111011 +010001000101 +001100110100 +101010101010 +100110011001 +011101110111 +101110111011 +011101110111 +001000100010 +001000100001 +000100010001 +000100010001 +010001000100 +101110111011 +100010001000 +100010001000 +011001110111 +000000010001 +100110011001 +100010000111 +010101010101 +010001000100 +000100010001 +010001000100 +101110111011 +100010001000 +100010001000 +101110111011 +001100110100 +011101110111 +101010101010 +010101000100 +010101000011 +101010101001 +011101100101 +010101000010 +101110111010 +011001010011 +100110000111 +100110011000 +001100100001 +011001010100 +110010111010 +011001000011 +101110111010 +011101100101 +001100100001 +100001110111 +101110111010 +100110000111 +101110111010 +101010101001 +001100110010 +001000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100001 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +001100110010 +010101010100 +010101000011 +011001010100 +011001010100 +011001000100 +011001010101 +010101000011 +001100100010 +010101000100 +010101010101 +010001010110 +010101010110 +010101010110 +001000100010 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000100100010 +001000110100 +001100110100 +010001000100 +010101000011 +010101000011 +011001010100 +010101010100 +011001010100 +010101010100 +011001010011 +010101000010 +011001010011 +010101000010 +010100110010 +010101000010 +010101000010 +010101000011 +001000010000 +001000000000 +010000110010 +010000100001 +001100010001 +001000010000 +000100000000 +010000110010 +011001100111 +011001100110 +010101000011 +010000110011 +011001010101 +010101010100 +010101000100 +010101010100 +010101010101 +010101010100 +010101010100 +011001100101 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +010101100110 +011001100111 +011001100111 +011001100110 +010101010110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100111 +011001100110 +010101000100 +011001010101 +011001100110 +010101010101 +010101000100 +010101010100 +010101010100 +010101000100 +010101010100 +010101010100 +010101010101 +010101010100 +010101010100 +010101000011 +010000100001 +010000110010 +010101000011 +010101000011 +010101010101 +010101010100 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +011001010101 +010000110010 +001100100001 +010000100001 +010000110010 +010000110010 +010000100001 +001100100000 +010000100001 +010000110011 +010101100110 +011001100110 +010101010100 +010101010101 +010101010101 +010000110010 +010000110010 +010101010100 +010101010101 +010101010110 +010001010100 +011001100110 +011001100110 +010101100110 +010101010101 +010101010101 +010101100110 +010101100110 +010101010100 +010101010100 +010101010100 +010101010101 +011001010101 +011001010101 +010101010100 +010101010100 +011001010101 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010101000011 +001100110010 +010001000011 +010101000100 +010001000011 +010101010101 +010101010101 +010001000100 +010101000100 +010101010100 +010101000100 +010101010100 +010101010101 +010101000100 +010101010101 +010101010101 +010101000100 +010101000011 +010101000011 +010001000100 +010101000100 +010001000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010001000100 +010101010101 +010101010101 +010101000100 +010101010101 +011001010101 +010101010101 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010101 +010101000100 +010001000011 +010101010100 +010101010100 +010101000011 +010101010100 +010001000011 +010101000100 +010101000011 +010101000011 +010000110010 +010101010100 +010101010101 +010101010100 +010101010100 +010101000011 +001100100001 +010101010100 +010101000100 +010000110010 +010000110010 +010000110010 +010101000100 +010001000100 +010101000100 +010101000011 +010000110010 +010000110010 +001100100001 +001100100010 +010001000011 +010001010101 +010001010101 +010001000100 +001100110010 +010001000100 +010001000100 +010001000011 +001100100010 +010000110010 +010001000100 +010001000100 +010001000101 +010001000101 +010001000100 +010101010101 +010101010101 +010001010101 +010101010101 +010001000100 +010001000011 +010001000100 +010000110010 +010000110010 +001100110010 +010101010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101010110 +010101000100 +001000100010 +001000010001 +001000010001 +000100010001 +001000100010 +001100110010 +001100110010 +001100110011 +001100110100 +001101000100 +000100100010 +001000100010 +001000100010 +001101000100 +000100010010 +010001000100 +011001100110 +010101100110 +001100110011 +000000010001 +010001000100 +011001100110 +011001100110 +001100110011 +001000100010 +011001100110 +011001100110 +000100010001 +000100010001 +010001000011 +000100010000 +000100010000 +000100010000 +010001000011 +000100010001 +001000100001 +001100110010 +000100010000 +000100010000 +001000010001 +001000100001 +000100010000 +001100110010 +010101010101 +010101010101 +001000100001 +000100010000 +000100010000 +001100100010 +001100110010 +000100010000 +010000110011 +010000110011 +001000100010 +001000100010 +011001100110 +011110000111 +010101010110 +001000110011 +001000100011 +001000100010 +001000100001 +001000100011 +001100110011 +001100110011 +011110001000 +100010001000 +001100110011 +000100010001 +001100110011 +011001010101 +011001100101 +010001000100 +000100010001 +000100010001 +001100110100 +011001110111 +011001100110 +001100110010 +001000100010 +010101010101 +010001010101 +001100100010 +001100100010 +010000110011 +010101000011 +010000110010 +011001010100 +010000110010 +001100110010 +011001010100 +001100100001 +010000110010 +011001010100 +001100100001 +010000110010 +010101000011 +010000110010 +010000110010 +011001010101 +100010000111 +011101100101 +010000110011 +010101000011 +010101000011 +010101000011 +001100100010 +000100100001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100010000 +000100010000 +001100110010 +011001010101 +010101000011 +010101000100 +010101000100 +010101000100 +010101010100 +010101000011 +010000110011 +010101000101 +010001010101 +010001010110 +010001010110 +010101010101 +001000100010 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010010 +000100100011 +000100110100 +001000100100 +000100100011 +000100010010 +000100010001 +001100100010 +010000110010 +010101000011 +010101010100 +010101010100 +010101000011 +011001010011 +010101000010 +010100110010 +010100110001 +010101000010 +010101000011 +001100100001 +001100010000 +010101000011 +010101000011 +010000110001 +001100010000 +001000010000 +010000110010 +010101010100 +011001010101 +011001010100 +011001100101 +011001100110 +011001010100 +010000110010 +010000110010 +010000110010 +010101000011 +010101010100 +010101010100 +010101010100 +010101010101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100111 +010101100110 +010101010101 +010101010110 +010101100110 +011001100111 +011001100111 +011001100111 +010101100111 +011001100110 +010101100110 +010101010101 +010101010100 +010100110011 +010000110011 +010101000100 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000010 +010101000010 +010101000011 +010101010101 +010101010101 +011001010101 +010101010101 +010101010101 +011001010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010000110010 +010001000011 +010101000011 +010000110010 +010000110010 +010000110010 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010000110010 +010000110010 +010000100001 +001100100000 +001100100001 +010000110010 +010101010101 +010001000011 +001100100010 +010001000011 +010000110011 +010101010101 +010101100110 +010101100110 +010101100110 +010101010101 +010101010101 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010101010100 +010101010100 +010001000011 +010000110011 +010001000011 +010101000011 +010001000011 +001100110010 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010101010100 +010101010100 +010001000100 +010101010101 +010101010101 +010101010101 +010101010101 +010001000100 +010001000100 +010001000100 +010101000100 +010101000100 +010001000100 +010001000011 +010101000100 +010101010100 +010101010101 +010101010101 +010101010100 +010101000100 +010101010101 +010101010101 +010101000100 +010001000100 +010101010101 +010101010101 +010101000100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101100110 +010101000100 +010001000011 +010101010101 +010101010101 +010001000011 +010101000011 +010001000100 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010101 +010101010101 +010101000100 +010101000011 +010101000011 +010101000100 +001100110010 +010000110011 +001100110010 +001100110010 +010001000100 +010001010101 +010001010101 +010101000100 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010001000100 +010001000100 +001100110011 +001100110010 +010001000100 +010001000100 +010001000100 +010000110010 +010000110010 +001100110011 +010001010101 +010001010101 +010101010101 +010001000100 +010101010100 +010101010101 +010001000100 +010001010101 +010101000100 +010001000011 +010001000011 +010000110010 +001000010001 +001000010001 +001100100010 +010001000100 +010001010101 +010101010110 +010001010101 +010001010101 +010001000100 +010101000100 +001100100010 +010001000011 +010101010101 +010001000011 +010001000100 +001101000011 +001100110011 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100010001 +000100010001 +000100010010 +001000100010 +000100100010 +000100010001 +001000100010 +001000100010 +000100010001 +000100010000 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010001 +001000010001 +000100010000 +000100000000 +000100000000 +001000100010 +001000110011 +001000100010 +001000010001 +000100010001 +000100100010 +001000110011 +001000100010 +001100110011 +001000100011 +000100010001 +000100010001 +000100010001 +000000000000 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +000100000000 +000100000000 +000100010001 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +010000110010 +010000110010 +001100100001 +001000100001 +001100100001 +001100100001 +001000010000 +000100010000 +010000110010 +011001010100 +011001010100 +010000110001 +010000110001 +010101000011 +010101010100 +010101000100 +010101000011 +010000110010 +010100110010 +010101000011 +010000110010 +010101000011 +010101010100 +010000110011 +001100100010 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100000 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +001000110010 +010101000100 +010101000011 +010101000100 +010101000100 +010101010100 +010101010100 +010001000011 +010000110011 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +000100100001 +000000010000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010010 +000100010010 +000100100011 +000100100011 +000100100010 +000100010010 +000000010001 +000000000001 +000000000001 +000000000001 +000000000000 +000000010000 +001000100001 +001100110011 +010101000100 +010101010100 +010101000011 +010100110001 +010000100000 +010101000010 +010101000011 +001100100001 +010000110010 +010101000011 +010101010100 +010101000011 +010000100001 +001100010001 +010000110010 +010101010100 +010101010110 +010101010101 +010101010110 +010101100110 +010101010101 +010001000011 +001100100010 +001000010000 +010101000011 +010000110010 +001100100001 +010000100001 +010001000011 +010101000100 +010101000100 +010101010101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101010110 +010101010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010001000011 +010000110010 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101100110 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010000110010 +010000110011 +010101010101 +010101010110 +010101100110 +010101010101 +001100110010 +010101010100 +010001000011 +010100110010 +010000110010 +001100100001 +010000110001 +010000110010 +010101010101 +010101000011 +001100100001 +001000010000 +001000010000 +001100110010 +010001000100 +010101100110 +010101010101 +010101010101 +010101010100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010001010101 +010101010101 +010101010101 +010101010100 +010101000100 +010001000011 +010001000100 +010101000100 +010001000100 +010000110011 +010001000011 +010001000100 +010000110011 +010001000100 +010001000100 +010101000100 +010101000100 +010001000100 +010001000100 +010101010100 +010001000100 +010101010101 +010101010101 +010001010101 +010001000100 +010001000100 +010101000100 +010101000100 +010001000011 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010000110011 +010000110011 +010001000011 +010101000100 +010001000100 +010101010100 +010101010100 +010101000011 +010101000100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001000011 +010001000100 +010101010101 +010101010101 +010101000100 +010101000100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000100 +010101010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010000110011 +010000110010 +010000110010 +001100110011 +010001000100 +010001000100 +010001000011 +010000110010 +010001000100 +010101000100 +001100100010 +010000110011 +010001000011 +010000110011 +010000110011 +001100110011 +010000110011 +010001000100 +010001000100 +010000110011 +001100100001 +001100100001 +010001000100 +010001010101 +010101010110 +010101010101 +010101010101 +010001000101 +010101010100 +010101000100 +010000110010 +010001000011 +010001000100 +010001000011 +001100100001 +001100110010 +001100110010 +001100110010 +010001000100 +010001000100 +010001000100 +010001010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +000100100010 +000100100010 +000100010010 +000100010001 +000100010010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +001000100010 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000010001 +000100010001 +000100100010 +001000110011 +001000100011 +001000110011 +001000100010 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100000000 +000100000000 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001100100001 +001100100001 +001100100001 +010101010100 +011101100101 +011101100101 +010101000010 +010000110010 +010101000011 +011001010011 +010101000011 +010101000011 +010000110010 +010000110001 +010000110001 +001100100001 +010000110010 +010000110010 +011001010100 +010101010011 +010101000011 +010000110010 +001000100001 +000100010000 +000000000000 +000000010000 +000100010000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001000100010 +010101000100 +010101000011 +010101000100 +010101000100 +010101010100 +010101010101 +010101000011 +001100110010 +010001000100 +010001000101 +010001010101 +010001010101 +010001000101 +000100010001 +000000010000 +000000010000 +000000010000 +000100010000 +000100100001 +001000100001 +001000100001 +001000100001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010010 +000100100011 +000100100011 +000100100011 +000100100011 +000100010010 +000000010001 +000000000000 +000000000000 +000000000001 +000000010001 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001100110010 +010000110010 +010000110010 +010101000011 +010101000011 +010000110001 +010101000010 +010101000011 +010101010100 +010101000011 +010100110010 +010000110010 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010000110010 +001100100001 +010101000100 +010000110010 +001000010000 +000100000000 +010000110010 +010001000011 +010000110010 +010001000011 +010101010100 +010001010100 +010001010101 +010101010110 +010101010101 +010101010101 +010101010110 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010101 +010101010101 +010101010101 +010101010100 +010101000100 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010100 +010001000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001000100 +010000110010 +010000110010 +010101000100 +010101010101 +010101010101 +010101010101 +010101000011 +001100100001 +010000110010 +010000110001 +001100100001 +001100100001 +010000100001 +010000110010 +010000110010 +001100100001 +010000100001 +001100100001 +001100100001 +010000110010 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101000100 +010001000011 +010001000011 +010001000100 +010101010101 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010101000100 +010101000100 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000011 +010101010100 +010101010101 +010101010101 +010001000100 +010001000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101010101 +010101010100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +010101000100 +010101010101 +010001000100 +010101000011 +010001000011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110011 +010000110011 +001100110010 +010001000011 +010001000011 +010001000100 +010001000011 +010001000100 +010001000011 +001100100010 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010001000011 +010000110011 +001100100010 +001100110010 +001100110011 +010001000100 +010001000100 +010001010101 +010001010101 +010101010101 +010000110011 +010000110010 +010000110011 +010001000100 +010001000011 +001100110010 +010101010100 +010001010100 +010000110011 +001100110010 +001100100010 +010000110011 +010000110011 +001100110010 +001100110011 +010001000011 +010000110011 +010001000100 +010001000100 +010001000101 +010001010101 +010001000100 +000100100010 +000100100010 +000100010010 +000100010001 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010001 +000100010001 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100000000 +000100000000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000110011 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010001 +000100000000 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +001000010001 +000100010000 +000100000000 +000100000000 +000100010001 +000100010001 +000100010000 +000000000000 +000100010001 +001100110011 +010000110010 +001100100010 +010000110010 +010000110010 +010000110010 +010101000011 +010000110010 +010000110010 +010000110010 +010101000010 +010000110010 +001100110001 +010000110010 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +010000110010 +010000110010 +010101000011 +010101000011 +010001000011 +010000110010 +001000100001 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +001000100010 +010101010101 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101000100 +010000110011 +010001000011 +010001000100 +010001000101 +010001000101 +010001000101 +000100010001 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +001000100001 +001000100001 +000100100000 +000100010000 +000000000000 +000000000000 +000000010001 +000100100011 +001000110100 +000100100011 +000100100010 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001100110010 +010001000011 +010000110010 +010101000011 +010101000011 +010101000100 +010101000011 +010101000010 +010100110010 +010101000100 +010101010100 +010101010101 +010101010100 +010101010101 +010101010101 +010101010110 +010101010101 +010000110010 +010000110010 +010101000100 +010101010100 +010000110100 +001100010001 +010000110011 +010101000011 +001100100001 +010101000011 +010101010101 +010101010101 +010001000100 +010001000100 +010101000100 +010101000100 +010101010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101010100 +010101010100 +010101000100 +010101010100 +010101000100 +010101000100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010100 +010101010101 +010101010100 +010101010101 +010101010101 +010101010100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010101000100 +010001000011 +010000110010 +010000110011 +010001000100 +010000110011 +010000110011 +010101000100 +010101010101 +010101010100 +010101010101 +010001000011 +010000110010 +010000110010 +010000110010 +001100010001 +001100100001 +010000110010 +010000110010 +001100100001 +001100100001 +001100100001 +010000110011 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101000100 +010101010100 +010001000100 +001100100010 +001100100001 +001100100001 +010000110010 +001100110010 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010101010101 +010101010100 +010000110011 +010000110010 +010101000100 +010101010100 +010101000100 +010101000011 +010000110011 +010001000100 +010101010101 +010101010101 +010000110011 +010000110010 +001100100001 +010000110011 +010000110010 +010001000011 +010001000100 +010001000011 +010101000100 +010001000100 +010101000100 +010101000100 +010101000100 +010101010101 +010101010100 +010101010100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000011 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +001100100010 +010000110011 +010000110011 +010000110011 +001100110011 +010001000100 +010001010101 +010101010101 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110010 +010001000100 +010001010101 +010001000100 +010001000011 +001100110010 +001100110010 +001100100010 +001000100001 +010000110011 +010001000100 +010000110011 +001100100010 +010001000100 +001100110011 +001100110011 +010001000100 +000100010010 +000100010010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +000100100010 +000100100010 +000100010001 +000100010010 +001000100010 +001000100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000000000000 +000100010001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000000000000 +000100000000 +000100010001 +000100010000 +000100010000 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001100110011 +001000100010 +001000010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +001000100001 +001100100010 +001100100010 +001100110010 +010000110010 +001000100001 +001100110010 +010000110010 +010000110010 +010000110001 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100100010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110001 +010001000010 +001100110010 +001000100000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +001000100010 +010101010101 +010001000011 +010101000100 +010101010101 +010101010101 +010101010101 +010000110011 +001100110010 +010000110011 +010001000011 +010001000101 +010001000101 +010001000101 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100100000 +000100100000 +000100100001 +000100010000 +000000010001 +000100100010 +000100100011 +001000110100 +000100100011 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000000010001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000100001 +010000110010 +010101000011 +010101000100 +010001000011 +010000110010 +010101000011 +010101000100 +010101010101 +010001010100 +010001010101 +010101010101 +010101010101 +010101010101 +010101000011 +010101000011 +010101000100 +010101010101 +010101000100 +010000100001 +010000110010 +010000110010 +001100100001 +010101000011 +010101010110 +010101100110 +010101100110 +010101010100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010101010101 +010101010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101010101 +010101000100 +010101000100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000011 +010101010100 +010101010100 +010101010100 +010001000100 +010101000100 +010101000100 +010001000100 +010001000100 +010101010100 +010101000100 +010101010100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101000100 +010101010100 +010001010101 +010101010101 +010101010101 +010001000100 +010001000100 +010001000011 +001100100001 +001100100001 +010001000100 +010001000011 +010001000100 +010001000011 +010001000100 +010101000100 +010001000011 +010001000011 +010000110010 +010001000011 +010000110010 +010000100001 +001100100001 +001100100001 +001100100001 +010000110010 +010101000100 +010001000100 +010101010101 +010101010101 +010001010101 +010101010101 +010001010101 +010101010101 +010101010101 +010001000100 +010001000100 +010000110011 +001100100001 +001100100001 +001000010000 +001000010000 +001100100001 +001100100001 +010000110011 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010100 +010101010100 +010001000011 +010001000100 +010101010101 +010001000100 +010001000100 +010101000100 +010001000100 +010001010101 +010001000100 +001100100010 +001000010000 +010000110010 +010000110011 +010000110011 +010001000011 +010000110010 +010000110011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010101010100 +010001000100 +010101010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000011 +010001000011 +010000110010 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010000110011 +001100110011 +001100110011 +010001000100 +010001000100 +010001000011 +001100100001 +001100100010 +010000110011 +001100100010 +010000110011 +010001000100 +010001000100 +010001000100 +010001010101 +010001010101 +010001000101 +010001000100 +010001000011 +001100110011 +001100110010 +010001000011 +010001010101 +010001000100 +010000110011 +010000110011 +001100110010 +001100100001 +001100100001 +001100110010 +001100100010 +010000110011 +001100110011 +010001000100 +001100110010 +001100100010 +010000110011 +000100100010 +000100010001 +000100010001 +000100010010 +000100010001 +000100010001 +001000100010 +001000110011 +001000110011 +001000100010 +001000100010 +000100010010 +000100100010 +001000100011 +001000100010 +001000100010 +000100010010 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100000000 +000000000000 +000100000000 +001000100010 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100010 +001100110011 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000000000000 +000100010001 +000100100001 +000100000000 +001000010001 +001100100010 +001100100001 +001000100001 +010000110010 +010000110010 +010000110010 +001100100010 +001100110010 +010000110010 +001100110010 +010000110010 +001100100001 +010000110010 +001100110001 +001100100001 +001100100001 +001100100001 +010000110010 +001100100001 +001000010000 +001100100001 +010000110010 +010000110010 +010000110010 +001100110010 +001000100001 +000100010000 +000000000000 +000000000000 +000000010000 +000000000000 +001000100010 +010001010101 +010000110011 +010101000011 +010101010101 +010101010101 +010101010101 +010000110011 +001100100010 +010000110011 +010001000011 +010001000101 +010001000101 +010001000101 +000100010001 +000000000000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010001 +000000010010 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +010000110001 +010000110001 +010100110010 +010000110010 +010001000100 +010001010101 +010001000101 +010001000100 +010001000100 +010001000101 +010001010101 +010101010101 +010101000100 +010101000100 +010001000100 +010101000100 +010101000100 +010000110010 +010101000011 +010000110010 +010001000011 +010101000100 +010001010101 +010101010110 +010101010110 +001100110011 +000100010001 +010001000101 +010101010101 +010101010101 +010101010101 +010001000100 +010001000100 +010001000100 +010001010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010001000100 +010101010101 +010101010100 +010001000100 +010101000100 +010101000100 +010101010101 +010001010101 +010101000100 +010001000100 +010001000100 +010001000011 +010101000100 +010101000100 +010001000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101010101 +010101000100 +010101010100 +010101010101 +010001010100 +010101000100 +010001000100 +010001010101 +010001000100 +010001000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000100 +010001000011 +010000110011 +010001000100 +010000110011 +001100110010 +001100100010 +001100100010 +010000110010 +010001000011 +010000110010 +010000110010 +010000100001 +010000100010 +001100100010 +010001000100 +010101010101 +010001010101 +010001010101 +010101010100 +010001010101 +010101010101 +010001000100 +001100110010 +010001000011 +010001000011 +010001000011 +010001000100 +001100110010 +001000010001 +001000010000 +001000010000 +001100100001 +001100100001 +001100100010 +010001000100 +010001000011 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +001100110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010001000100 +010000110010 +001000010000 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010001000011 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010001000011 +010001000100 +010001000011 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010000110011 +001100110011 +010000110011 +001100110011 +010001000011 +001100110011 +001100100010 +010000110011 +010001000011 +001100110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001010101 +010001010101 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010000110011 +010001000100 +010001000011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110010 +001000100001 +010000110011 +010001000011 +001100110010 +001100100010 +001100100010 +001100110010 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +000100100010 +001000100010 +001000100011 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +001000100010 +001100110011 +001100110011 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +001000100010 +001000100010 +000100010000 +000100010001 +001000100010 +000100010001 +000100010001 +001000010001 +001000100001 +000100010000 +001000010001 +001100100001 +001100100010 +001000100010 +001000100001 +001100100010 +001100110010 +010000110010 +001100100010 +010000110010 +001100100001 +001100100001 +001000100001 +001000010000 +001100100001 +001100100001 +001100100001 +001000010001 +001000100001 +001100100001 +010000110010 +010000110010 +010000110010 +010000110010 +001100100001 +001000010001 +000100010000 +000000000000 +001000100010 +010001000101 +010000110011 +010000110010 +010001000011 +010101010100 +010101000100 +010001000100 +001100110011 +001100110011 +001100110011 +010001000101 +010001000101 +010001000100 +000100010001 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010001 +000000010010 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +001100100001 +010000100000 +001100100001 +001100100000 +010000110001 +010000110011 +010001000100 +010001000100 +010001000101 +010001000101 +010001010101 +010001010101 +010001000100 +010001000100 +010001000101 +010001000100 +010101000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001010101 +010001000101 +010001010101 +010001010101 +010001000100 +010001000100 +010001010101 +010001010110 +010101010110 +010101010110 +010101100110 +010101010110 +010101010101 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001010101 +010001000101 +010001000100 +010001000100 +010001000100 +010101000100 +010101000100 +010001010101 +010001010101 +010101000100 +010001000100 +010001000011 +010001000100 +010001010100 +010001010100 +010001000100 +010001000100 +010001000011 +010101000100 +010101000100 +010101000100 +010001000100 +010001000100 +010001010101 +010101000100 +010001000100 +010001010101 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010000110010 +010000110010 +010000110010 +001100100001 +010000100001 +001100100001 +010000110010 +001100100001 +010000100010 +001100110010 +010101000100 +010001000100 +010101010100 +010001000100 +010001000100 +010001010101 +010001010101 +010101010101 +001100100010 +001100100001 +001100100010 +010001000100 +010001010100 +010001000100 +001000010001 +001000010000 +001000010000 +001100100001 +001100100001 +001100010000 +010000110010 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +010000110011 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +010001000100 +010001000011 +001100110011 +001100110011 +001100110010 +010000110011 +001100110011 +010001000011 +001100110011 +010001000100 +010001000100 +010001000101 +010001000100 +010000110011 +010001000100 +010001010101 +010001010101 +010001010101 +010001000101 +010001000100 +010001010101 +010001010101 +010001000011 +001100100001 +001100110010 +010000110011 +010000110011 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +001100110010 +001100100010 +001100110010 +001100110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +001100110011 +010001000011 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110010 +001100110011 +001100110010 +001100100010 +001100100010 +001100100010 +000100010001 +000100010001 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100010001 +000100010001 +000100010000 +000100010001 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +001000100010 +001000100010 +001000010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +000100010000 +000100010001 +000100100010 +001000100010 +001000100010 +000100010000 +000100010000 +000100010001 +001000100010 +000100010001 +000100010000 +000100010001 +001000100010 +001000100010 +000100100010 +000100010001 +000100010001 +000100010000 +000000000000 +000100010000 +000100010000 +001000100001 +001000100001 +001100100001 +010000110010 +001100100001 +001100100010 +001100100001 +010000110010 +010000110010 +001100110010 +001000010001 +001000010000 +001100100001 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +010000110010 +010000110010 +010101000011 +010101000011 +010001000011 +001000100001 +001000100010 +010001000101 +010000110010 +010000110010 +010000110010 +010101000100 +010001010101 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +010001000101 +010001000100 +000100100001 +000000010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010010 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100100001 +001100100001 +000000000000 +010000100000 +010000100000 +001100100001 +001100100001 +010000110010 +010001000100 +010001000100 +010001000101 +010001000100 +010001000101 +010001000101 +010001000101 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001000101 +010001010101 +010001010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010100 +010001000011 +010000110010 +010000110010 +010001000011 +010001000100 +010001000011 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001010101 +010001000100 +010001010100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010000110010 +001100100010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100010 +001100110010 +010000110011 +010000110011 +010001000100 +010001000101 +010001000100 +010001000101 +010000110011 +001000010001 +001100110010 +010001000100 +010001000100 +010001000011 +001000010000 +001000010000 +001100010000 +001000010001 +001000010000 +001100010000 +001000010001 +001100110010 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +001100110011 +001100110011 +010000110011 +010001000100 +001100110011 +001100110011 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010001000100 +010001010101 +010001010101 +010001000101 +010001000011 +010001000100 +010001000100 +010001000100 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010000110011 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +001101000011 +001100110100 +010001000100 +001100110011 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +010001000011 +001100110011 +010001000011 +010001000101 +010001000100 +010001000011 +010001000011 +010000110011 +001100110010 +010000110010 +010000110011 +001100110010 +010000110011 +001100110010 +010000110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110011 +001100110010 +001100100001 +001100110010 +001100110010 +001100110011 +001100110011 +001100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100000000 +000100010000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001000100010 +000100010000 +000100000000 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000000000000 +000100000000 +000100000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +001000100001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010000 +001000010010 +001000100011 +001000100011 +001000100010 +000100010001 +000100010001 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001100110011 +000100010001 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000100010001 +010000110011 +010000110010 +010001000011 +010000110011 +001100100001 +001100100001 +001100110010 +001100100001 +001100100001 +001100100001 +001100100010 +010000110011 +010101000011 +010101000100 +010101000011 +010000110011 +001100110010 +010000110010 +010001000011 +010000110010 +010101000011 +010001000011 +010000110010 +010101000011 +010001000100 +010001000100 +001100110010 +010000110010 +010000110011 +010001000100 +010001000100 +010000110011 +001100110011 +001100110011 +001101000100 +001101000100 +010001000100 +010001000100 +000100010001 +000000010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100100001 +000100010000 +001000010000 +010100110000 +010000100000 +010000100000 +010000100000 +010100110001 +010000110001 +001100100001 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001010101 +010001010101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010101010101 +010101010101 +010001010100 +010101000100 +010001000100 +010001000100 +010001000011 +001100110010 +001100110010 +010000110010 +010000110010 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110010 +010000110010 +010000110010 +001100100001 +001100010001 +010000110010 +010001000011 +001100110010 +001100100001 +001100100010 +010001000100 +010001000100 +010001000100 +010001000100 +001100100010 +010001000011 +010001000100 +010001000100 +010001000011 +001100110010 +001100100001 +001000010000 +001000010000 +001000010001 +001100010001 +001000010000 +000100010000 +001100110010 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +001100110011 +010001000100 +010001000011 +001101000011 +001100110010 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +010001000100 +001100110011 +001100110011 +010001000100 +010000110011 +010001000011 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001010101 +010001000100 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +001100110011 +001100110100 +010001000100 +010001000011 +001100110011 +010001000100 +010001000100 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001000100 +010001000100 +010000110011 +010001000100 +001101000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +010001000100 +010000110011 +010000110011 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +010000110011 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001101000100 +001101000100 +001100110011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010010 +000100010010 +001000100010 +000100100010 +000100010010 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001000100011 +001000100010 +000100010001 +000100010001 +000100010010 +001000100011 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +001000010001 +001100100010 +001100100010 +001000100001 +001000010001 +001000100001 +001100100010 +001100100010 +001100100010 +010000110010 +010001000011 +010101000011 +010101000011 +010001000011 +001100110010 +001000100001 +001100100010 +010000110010 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +010000110010 +010000110010 +010000110011 +010001000011 +010001000100 +001100110010 +001100100010 +001100110011 +001101000100 +001101000100 +010001000100 +010001000100 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100100000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100100001 +000100010000 +010000110001 +010000110000 +010000100001 +010000100000 +010100110001 +010100110001 +001100010000 +010000100001 +010100110001 +010000110001 +010000110010 +001100110011 +001101000100 +010001000100 +010001000100 +010001000100 +010001000101 +001101000101 +010001000101 +001101000011 +010001000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000011 +010000110010 +001100100001 +001100100001 +001100110010 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +010000110011 +010000110010 +001100100010 +001100100010 +010001000011 +010001000100 +010001000011 +010000110011 +010000110011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010000110011 +001100100001 +001100010001 +001100010000 +001000010000 +001000010000 +001000010001 +001100100010 +001100110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +001101000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000011 +010000110011 +010001000011 +010000110011 +001100110010 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000100 +001100110011 +001100110011 +001101000100 +010001000101 +010001000100 +001101000100 +010001000100 +010001000100 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100100010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001101000100 +001101000100 +001101000100 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100010010 +000100010010 +000100010001 +000000000000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100010000 +000100010001 +000100010001 +000100000000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000000000000 +000100000000 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100000000 +000100000000 +000100010001 +001000100001 +001000100010 +000100010001 +000100010000 +001000010001 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000100000000 +000000000000 +000100010000 +000100010001 +001000100001 +001000100001 +001100100010 +001000100001 +001100110010 +010101000011 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +001100100010 +001000100001 +001100110010 +010000110010 +010000110011 +010001000011 +001100110010 +001100110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110011 +001101000100 +001101000100 +010000110100 +001000100001 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +001000010000 +010000110001 +010000100000 +010000100000 +010100110001 +010101000001 +001100100000 +001000010000 +001100100000 +010100110000 +010000110001 +000100010000 +000000010001 +001000100010 +001100110011 +001101000100 +001101000100 +001101000100 +010001000100 +001101000011 +001101000011 +010001000100 +010001000101 +001101000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +001000010001 +000100000000 +001100100001 +010000110010 +001100110001 +001100110010 +010000110011 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110010 +001100100010 +001100100001 +001100010001 +001000010000 +001100100001 +001100100010 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +010001000100 +010001000100 +001100110011 +001100100010 +010000110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001101000100 +001101000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001000100 +010001000100 +001100110011 +001100110010 +001100110011 +010001000011 +010000110011 +010000110011 +001100110011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +001100110011 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010000110011 +001100110011 +010000110100 +001100110100 +010000110100 +010001000100 +010000110011 +010000110011 +010001000100 +010001000100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001101000100 +001101000100 +010001000100 +001101000100 +010001000100 +001101000100 +010001000100 +001100110011 +001100110011 +001100110100 +001101000100 +001100110011 +001100110011 +001100110100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100100010 +001100110010 +001100100010 +001100110011 +001100110011 +001101000100 +001101000100 +001101000100 diff --git a/rtl/Basys3_Top.v b/rtl/Basys3_Top.v new file mode 100644 index 0000000..9a4187b --- /dev/null +++ b/rtl/Basys3_Top.v @@ -0,0 +1,84 @@ +module Basys3_Top ( + input clk, + output [15:0] led, + output [6:0] seg, + output [3:0] an, + output dp, + output Hsync, + output Vsync, + output [3:0] vgaRed, + output [3:0] vgaGreen, + output [3:0] vgaBlue +); + assign led[15:1] = 14'b0; + assign dp = 1'b1; + + wire clk_1Hz; + wire clk_vga; + wire clk_sevseg; + + Clock_divider clock_divider_1Hz ( + .clock_in(clk), + .clock_out(clk_1Hz) + ); + + Clock_divider #(.CLOCK_RATIO(100_000_000/250)) clock_divider_sevseg ( + .clock_in(clk), + .clock_out(clk_sevseg) + ); + + Clock_divider #(.CLOCK_RATIO(100_000_000/50_000_000)) clock_divider_vga ( + .clock_in(clk), + .clock_out(clk_vga) + ); + + Blinky blinky ( + .clock(clk), + .clock_en(clk_1Hz), + .led(led[0]) + ); + + reg [13:0] counter; + wire [1:0] seven_segment_sel; + + always @(posedge clk) begin + if(clk_1Hz) + counter <= counter + 1; + end + + Seven_segment_timing seven_segment_timing ( + .clock(clk), + .clock_en(clk_sevseg), + .sel(seven_segment_sel), + .an(an) + ); + + Seven_segment_bcd seven_segment_bcd ( + .clock(clk), + .value(counter), + .sel(seven_segment_sel), + .seg(seg) + ); + + wire [9:0] vga_x, vga_y; + wire vga_blank; + VGA_timing vga_timing ( + .clock(clk), + .clock_en(clk_vga), + .hsync(Hsync), + .vsync(Vsync), + .blank(vga_blank), + .x(vga_x), + .y(vga_y) + ); + + RAM #(.WIDTH(12), .SIZE(400*300), .INIT_FILENAME("../init/vram.init")) ram ( + .clock(clk), + .reset(vga_blank), + .clock_en(clk_vga), + .addr(400*vga_y[9:1]+vga_x[9:1]), + .din(12'b0), + .dout({vgaRed, vgaGreen, vgaBlue}) + ); + +endmodule diff --git a/rtl/Bin2bcd.v b/rtl/Bin2bcd.v new file mode 100644 index 0000000..f46a059 --- /dev/null +++ b/rtl/Bin2bcd.v @@ -0,0 +1,21 @@ +// parametric Verilog implementation of the double dabble binary to BCD converter +// for the complete project, see +// https://github.com/AmeerAbdelhadi/Binary-to-BCD-Converter + +module Bin2bcd + #( parameter W = 18) // input width + ( input [W-1 :0] bin , // binary + output reg [W+(W-4)/3:0] bcd ); // bcd {...,thousands,hundreds,tens,ones} + + integer i,j; + + always @(bin) begin + for(i = 0; i <= W+(W-4)/3; i = i+1) bcd[i] = 0; // initialize with zeros + bcd[W-1:0] = bin; // initialize with input vector + for(i = 0; i <= W-4; i = i+1) // iterate on structure depth + for(j = 0; j <= i/3; j = j+1) // iterate on structure width + if (bcd[W-i+4*j -: 4] > 4) // if > 4 + bcd[W-i+4*j -: 4] = bcd[W-i+4*j -: 4] + 4'd3; // add 3 + end + +endmodule diff --git a/rtl/Blinky.v b/rtl/Blinky.v new file mode 100644 index 0000000..298a13f --- /dev/null +++ b/rtl/Blinky.v @@ -0,0 +1,16 @@ +module Blinky ( + input clock, + input clock_en, + output reg led +); + + initial begin + led <= 1'b0; + end + + always @(posedge clock) begin + if(clock_en) + led <= ~led; + end + +endmodule diff --git a/rtl/Clock_divider.v b/rtl/Clock_divider.v new file mode 100644 index 0000000..ef0cf10 --- /dev/null +++ b/rtl/Clock_divider.v @@ -0,0 +1,20 @@ +module Clock_divider #( + parameter CLOCK_RATIO = 100_000_000 +) ( + input clock_in, + output reg clock_out +); + + reg [$clog2(CLOCK_RATIO)-1:0] counter; + + initial begin + clock_out = 1'b0; + counter = 0; + end + + always @(posedge clock_in) begin + clock_out <= (counter >= CLOCK_RATIO-1); + counter <= (counter >= CLOCK_RATIO-1 ? 0 : counter + 1); + end + +endmodule diff --git a/rtl/RAM.v b/rtl/RAM.v new file mode 100644 index 0000000..98cbb8a --- /dev/null +++ b/rtl/RAM.v @@ -0,0 +1,33 @@ +module RAM #( + parameter WIDTH = 8, + parameter SIZE = 128, + parameter INIT_FILENAME = "" +) ( + input clock, + input reset, + input clock_en, + input write_en, + input [$clog2(SIZE)-1:0] addr, + input [WIDTH-1:0] din, + output reg [WIDTH-1:0] dout +); + + reg [WIDTH-1:0] ram[SIZE-1:0], dout; + + integer i; + initial begin + if(INIT_FILENAME == "") + for(i = 0; i < SIZE; i=i+1) ram[i] = 0; + else + $readmemb(INIT_FILENAME, ram); + end + + always @(posedge clock) begin + if(clock_en) begin + dout <= reset ? 0 : ram[addr]; + if(write_en) + ram[addr] <= din; + end + end + +endmodule diff --git a/rtl/Seven_segment_bcd.v b/rtl/Seven_segment_bcd.v new file mode 100644 index 0000000..31f5ff1 --- /dev/null +++ b/rtl/Seven_segment_bcd.v @@ -0,0 +1,39 @@ +module Seven_segment_bcd( + input clock, + input [13:0] value, + input [1:0] sel, + output reg [6:0] seg +); + + wire [17:0] bcd; + wire [3:0] bcd_digit; + + initial begin + seg = 0; + end + + Bin2bcd #(.W(14)) bin2bcd ( + .bin(value), + .bcd(bcd) + ); + + assign bcd_digit = bcd[4*sel +: 4]; + + always @(posedge clock) begin + case(bcd_digit) + // GFEDCBA + 4'd0: seg <= 7'b1000000; + 4'd1: seg <= 7'b1111001; + 4'd2: seg <= 7'b0100100; + 4'd3: seg <= 7'b0110000; + 4'd4: seg <= 7'b0011001; + 4'd5: seg <= 7'b0010010; + 4'd6: seg <= 7'b0000010; + 4'd7: seg <= 7'b1011000; + 4'd8: seg <= 7'b0000000; + 4'd9: seg <= 7'b0010000; + default: seg <= 7'bx; + endcase + end + +endmodule diff --git a/rtl/Seven_segment_timing.v b/rtl/Seven_segment_timing.v new file mode 100644 index 0000000..3c14163 --- /dev/null +++ b/rtl/Seven_segment_timing.v @@ -0,0 +1,19 @@ +module Seven_segment_timing ( + input clock, + input clock_en, + output reg [1:0] sel, + output reg [3:0] an +); + + initial begin + sel = 0; + an = 0; + end + + always @(posedge clock) begin + an <= ~(4'b0001 << sel); + if(clock_en) + sel <= sel + 1; + end + +endmodule diff --git a/rtl/VGA_timing.v b/rtl/VGA_timing.v new file mode 100644 index 0000000..16114e3 --- /dev/null +++ b/rtl/VGA_timing.v @@ -0,0 +1,47 @@ +module VGA_timing #( + // VESA 800x600@72 use with 50MHz pixel clock + parameter H_VISIBLE = 800, // Visible area + parameter H_FRONT = 56, // Front porch + parameter H_SYNC = 120, // Sync pulse + parameter H_BACK = 64, // Back porch + parameter H_TOTAL = 1040, // Whole line + + parameter V_VISIBLE = 600, // Visible area + parameter V_FRONT = 37, // Front porch + parameter V_SYNC = 6, // Sync pulse + parameter V_BACK = 23, // Back porch + parameter V_TOTAL = 666 // Whole line +) ( + input clock, + input clock_en, + output reg hsync, + output reg vsync, + output reg blank, + output reg [$clog2(H_TOTAL)-1:0] x, + output reg [$clog2(V_TOTAL)-1:0] y +); + + initial begin + hsync <= 1'b0; + vsync <= 1'b0; + blank <= 1'b0; + x <= 0; + y <= 0; + end + + always @(posedge clock) begin + if(clock_en) begin + hsync <= ~(H_VISIBLE + H_FRONT <= x && x < H_VISIBLE + H_FRONT + H_SYNC); + vsync <= ~(V_VISIBLE + V_FRONT <= y && y < V_VISIBLE + V_FRONT + V_SYNC); + blank <= (H_VISIBLE-1 <= x && x < H_VISIBLE-1 + H_FRONT+H_SYNC+H_BACK) + || (V_VISIBLE-1 <= y && y < V_VISIBLE-1 + V_FRONT+V_SYNC+V_BACK); + //blank <= (x >= H_VISIBLE-1 || y >= V_VISIBLE-1); + if(x >= H_TOTAL-1) begin + x <= 0; + y <= (y >= V_TOTAL-1) ? 0 : y + 1; + end else + x <= x + 1; + end + end + +endmodule diff --git a/sim/Makefile b/sim/Makefile new file mode 100644 index 0000000..eb24220 --- /dev/null +++ b/sim/Makefile @@ -0,0 +1,10 @@ +SOURCES = ../rtl/Blinky.v \ + ../rtl/Clock_divider.v \ + ../rtl/Bin2bcd.v \ + ../rtl/Seven_segment_bcd.v \ + ../rtl/Seven_segment_timing.v \ + ../rtl/VGA_timing.v + +output/testbench.vvp: testbench.v $(SOURCES) + mkdir -p output + iverilog -Wall -tvvp -I../rtl -stestbench -o $@ $< $(SOURCES) diff --git a/sim/testbench.v b/sim/testbench.v new file mode 100644 index 0000000..2f18e64 --- /dev/null +++ b/sim/testbench.v @@ -0,0 +1,54 @@ +`timescale 100ps/10ps + +module testbench (); + + reg clk; + initial clk = 1'b0; + always #5 clk = ~clk; + + wire slow_clk; + wire [1:0] segment_select; + reg [13:0] counter; + + Clock_divider #(.CLOCK_RATIO(4)) clock_divider ( + .clock_in(clk), + .clock_out(slow_clk) + ); + + Blinky uut ( + .clock(clk), + .clock_en(slow_clk) + ); + + Seven_segment_timing seven_segment_timing ( + .clock(clk), + .sel(segment_select) + ); + + Seven_segment_bcd seven_segment_bcd ( + .clock(clk), + .value(counter), + .sel(segment_select) + ); + + VGA_timing vga ( + .clock(clk), + .clock_en(1'b1) + ); + + always @(posedge clk) begin + if(slow_clk) counter <= counter + 1; + end + + initial begin + $display("Hello, World!"); + $display("Simulation started."); + + $dumpfile("output/testbench.vcd"); + $dumpvars(0, testbench); + $display("Writing to output/testbench.vcd"); + + #5000 $finish(); + end + +endmodule diff --git a/tools/birds.init b/tools/birds.init new file mode 100644 index 0000000..6fb030c --- /dev/null +++ b/tools/birds.init @@ -0,0 +1,120000 @@ +001000100010 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100010 +001100110010 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +010000110011 +001100110010 +001000100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +010001000011 +010101010100 +011101100101 +100001110110 +011101100110 +010101010100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010101010100 +010101010100 +011001010101 +011101110110 +100001110110 +100010000111 +101010011000 +110011001011 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110011001100 +101010101010 +101010011001 +101010011000 +101010101001 +101110101001 +101010101001 +100110001000 +011001010101 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010101000100 +010101000100 +010001000100 +010101010100 +010101010101 +010101100110 +011001110111 +011101111000 +011101110111 +011001100110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +011001010101 +011001100110 +011001110110 +011101111000 +011110001000 +011101111000 +011101110111 +011101110111 +011101111000 +011101111000 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001001 +100010001001 +011110001000 +011101110111 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110010111 +101010010111 +101010010111 +101010010111 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110111000 +101110111000 +101110111000 +101110111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110010111001 +110111001001 +110011001001 +110111001001 +110111001001 +110111001001 +110111001010 +110111001010 +110111001010 +110111001010 +110111011011 +110111011011 +110111011100 +110111011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011101 +111011101101 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101111 +111011101111 +111011101111 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101111 +111011101111 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111011101 +110010111010 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110101010 +101110111010 +101110111010 +110010111011 +110011001011 +110011001011 +110011001011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111010 +101110111010 +101110111011 +110011001011 +110011001100 +110011001100 +110011001100 +110010111011 +101110111011 +101010101010 +101010101010 +100110011010 +100110011001 +100110011001 +100110011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100110011001 +101010101010 +101110111011 +101110111011 +101110111011 +101010101010 +100010001001 +011101111000 +011101111000 +011101110111 +011001100111 +011001100110 +011001100110 +011101110111 +100010001000 +101010101010 +110011001100 +110011011100 +110011001100 +110011001100 +110011001100 +101110111011 +101110111011 +101110111010 +101110111010 +101110111010 +101110111010 +101110101010 +101110111010 +101110111011 +110011001011 +110011001100 +110011001100 +110011001100 +101110111011 +101010101011 +100110011010 +100010011001 +100010001001 +100010001001 +100010011001 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +110011001011 +110111011101 +110111101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011011101 +110011011101 +110011011101 +110011011101 +110011011101 +000100100010 +001000110010 +001101000100 +010001010100 +001101000011 +001000110010 +001000100010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001100110011 +001000110011 +001000100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001100110010 +010000110011 +010101000011 +010101000100 +010101000100 +010101000100 +010001000011 +001101000011 +001101000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010100 +010101010100 +011001010101 +011001100101 +011101110101 +100110011000 +110011001100 +111011101110 +111011101111 +111011101110 +111011101110 +111011101110 +111011101110 +110111011101 +110111011110 +110111101110 +110111011110 +110011001100 +100110011001 +100010000111 +100010000111 +100010000111 +100001110111 +100010000111 +100010000111 +100001110110 +011001100101 +010101000100 +010001000011 +010000110011 +010001000011 +010001000011 +010101010100 +010101010101 +010101010100 +010101000100 +010101000100 +010101010101 +011001100110 +011001110111 +011001110111 +011001100110 +011001010110 +011001010101 +011001010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001100110 +011001100110 +011101100110 +011101110111 +011101110111 +011101110110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100110 +011101110111 +011101110111 +011101111000 +011101110111 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001110100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110010111 +101010010111 +101010010111 +101010100111 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110100111 +101110101000 +101110101000 +101110111000 +101110111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110010111001 +110010111001 +110111001001 +110110111001 +110111001001 +110111001001 +110111001010 +110111001010 +110111011011 +110111011011 +110111011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101101 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111011101 +110111001011 +101110101001 +101110101000 +101010101000 +101010101001 +101010101001 +101010101001 +101010101001 +101010101001 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010101001 +101010101001 +101010101001 +101010101001 +101010011001 +101010011001 +101010011001 +101010101001 +101010011001 +101010011001 +101010011001 +101010011001 +100110011000 +100110011000 +100110011000 +101010011001 +101010101001 +101010101010 +101010101001 +100110011001 +100110011001 +100110011000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001000 +100010001000 +100010001000 +100110011001 +100110011001 +100110011001 +100010001000 +011110001000 +011101110111 +011101110111 +011001100111 +011001100110 +011001100110 +011001100101 +011001100110 +011101110111 +100110001000 +101010101001 +101010101001 +101010101001 +101010011001 +100110011001 +100110011000 +100110001000 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100110011000 +100110011001 +100110011001 +100110011001 +100110011001 +100010001001 +011101111000 +011101110111 +011001110111 +011001100111 +011001100110 +011001100110 +011001100111 +011001100111 +011101110111 +100010001000 +101010101010 +101111001011 +110111011101 +110111101110 +111011101110 +111011101110 +110111101110 +110111011110 +110111011110 +110111011101 +110111011101 +110011001100 +110011001100 +110010111011 +101110111010 +101110111010 +101110111010 +101110111010 +101110111010 +101110111011 +110011001100 +110011001100 +110111011101 +110111011101 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011011101 +110011011101 +110011011101 +010001010100 +010001010100 +011001100110 +011101110111 +011101110110 +010101100101 +001101000011 +010001010100 +010101010100 +010101010100 +010001010100 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110100 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +001101000100 +010001000101 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +011101100101 +101010010111 +110111001011 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111011101 +110111011101 +110111011101 +110011011101 +101110111011 +100110011001 +100010011000 +100010011000 +011110000111 +011101110110 +011101100110 +011101100110 +011101100110 +011001100101 +010101010100 +010001000011 +010001000011 +010101000011 +010101000100 +010101010100 +011001010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101010101 +011001100101 +011001100110 +010101010101 +010101010101 +010101010100 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100101 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100110 +011101110111 +011001100110 +011001010101 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001110100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100010000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110010111 +101010010111 +101010010111 +101010011000 +101010101000 +101010101000 +101010101000 +101010101000 +101010101000 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +101110101000 +101110101000 +101110100111 +101110100111 +101110101000 +101110101000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110010111001 +110110111001 +110110111001 +110110111001 +110111001001 +110111001001 +110111001010 +110111011011 +111011011100 +111011011100 +111011011100 +111011011011 +111011011011 +111011011011 +111011011011 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011100 +111011011101 +111011101101 +111011011101 +111011011100 +110111011100 +110111011100 +110111011100 +110111011100 +110111011011 +110111011011 +110111011011 +110111011100 +110111011100 +110111011100 +110111011100 +110111011100 +110111011100 +110111001011 +110011001011 +101110111001 +101110101000 +101110011000 +101010011000 +101010101000 +101010101001 +101010101001 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +100110000111 +100110010111 +101010011000 +101010011000 +101010011000 +100110011000 +100110000111 +100110000111 +100110001000 +100110011000 +100110011000 +100110011000 +100110001000 +100110001000 +100010000111 +100001110110 +100001110111 +100010000111 +100010001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011101110111 +011101110111 +011101110111 +100001111000 +011110001000 +011101111000 +011101110111 +011101100111 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001100101 +011101100110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100110 +011101100110 +011101110110 +011101110111 +011101110111 +011101111000 +011001110111 +011001100111 +010101100111 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +100010001000 +101010101001 +101110111011 +110011001100 +110111011101 +110111011101 +110111011101 +110111011101 +110011001100 +110011001011 +101110111011 +101110111010 +101010101001 +100110011000 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100110001000 +101010011001 +101010101010 +101110111011 +110011001100 +110011001100 +110111011101 +110111011101 +110111011110 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +100010011000 +100010000111 +100010000111 +100110011000 +100110011001 +100110011000 +011101110110 +011001110110 +011101110110 +100010010111 +100010010111 +011101110110 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +010000110100 +001100110100 +001100110011 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100110011 +001100110100 +001101000100 +010000110100 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +010101000100 +010101000011 +010101010100 +010101010100 +010101000100 +010101010100 +100001110101 +101010010111 +101110111010 +110111011100 +111011101110 +111011101111 +111011101110 +110111101110 +110111011110 +110111011101 +110011001100 +101110111100 +101110111011 +101111001100 +101110111011 +101010101010 +100010000111 +011101100110 +011001100101 +011001100101 +011001010100 +010001000011 +010001000011 +010101000100 +011001010100 +011001010100 +011001000100 +011001000100 +011001000100 +011001000100 +010101000100 +011001000011 +010101000100 +010101010100 +010101000100 +010001000100 +010101000100 +010101000100 +010101010100 +011001010100 +010101010100 +010101010100 +010101010100 +011001010101 +011001100101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011001100110 +011001100101 +011001010100 +010101010100 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001100100 +011101100101 +011101100100 +011101100100 +011101100100 +100001100101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100010000110 +100010000110 +100110000110 +100110000110 +100110000111 +100110000111 +100110010111 +100110010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110101000 +101110101000 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110110111001 +110110111001 +110111001001 +110111001001 +110111001010 +111011011011 +111011011100 +111011011100 +111011011011 +111011011011 +111011011010 +111011011010 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +110111001011 +110111001011 +110111001011 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110010111010 +110010111010 +110010111010 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +101110101000 +101110101000 +101110101000 +101110101000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +100110000111 +100110000111 +100110000111 +100110011000 +101010011000 +100110011000 +100110000111 +100110000110 +100010000110 +100110000111 +100110001000 +100110001000 +100110001000 +100110001000 +100010000111 +100001110110 +100001110110 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +011110001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100111 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100110 +011101100110 +011101100111 +011101100111 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100110 +011001100111 +011001111000 +011001111000 +011001110111 +010101100111 +010101010110 +010101010101 +010101010101 +010101010101 +010001010101 +010101010101 +010101010110 +011001100110 +011110000111 +100010011000 +100110011001 +101010101010 +101110111010 +101110111010 +101110111010 +101110101001 +101010101001 +100110011000 +100110000111 +100010000111 +011101110101 +011001100101 +011001100100 +011001100100 +011001100100 +011101100101 +100001110110 +100010000111 +100110011000 +100110011000 +100110011001 +101010101010 +101010111011 +101110111100 +110011001100 +110011001100 +110011011101 +110111011101 +110111011110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +101110111010 +101110111010 +101010111010 +101110111010 +110011001011 +101110111010 +100110101001 +100010000111 +100010000111 +101010111010 +101110111010 +101110101001 +100010000110 +010001000011 +001100100010 +001100100010 +001100100010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100100010 +001100100010 +001100110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010001000011 +010101010100 +011101100101 +100110000110 +101010101000 +110111011100 +111011101110 +111011101110 +111011101111 +111011101110 +110011011101 +101110111011 +101110111011 +110011001100 +110111011101 +110011001100 +101110111011 +100110011001 +011101100110 +011001010101 +010101010100 +010101000100 +010001000011 +010101000011 +011001010100 +011101010101 +011101010100 +011001000100 +011001000011 +011001000100 +011001000100 +011001000011 +011001000011 +011001000011 +010101000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001010101 +011001100100 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101010011 +010101010011 +011001010100 +011001010100 +011101100101 +011101100101 +011101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100010000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110010111001 +110111001001 +110111001010 +111011011011 +111011011100 +111011011011 +110111011011 +110111001010 +110111001010 +110111001010 +111011011010 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +111011001010 +110111001010 +110111001001 +110111001001 +110111001001 +110111001001 +110110111001 +110110111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111000 +110010101000 +110010101000 +110010100111 +101110100111 +101110100111 +101110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110011000 +100110011000 +100110000111 +100110000111 +100001110110 +100001110110 +100001110110 +100110000111 +100110000111 +100110000111 +100110000111 +100010000110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100001111000 +100001111000 +011101110111 +011101110111 +011101111000 +100001111000 +100001111000 +011101111000 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001100110 +011001100110 +011101100111 +011101100111 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001111000 +011001111000 +011001110111 +011001100111 +010101100110 +010101100110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010110 +010101010110 +010101100110 +011001100111 +011101110111 +011101110111 +100010001000 +100110001000 +100110001000 +100010000111 +100010000111 +100001110110 +011101110110 +011101100101 +011001010100 +010101010100 +010101000011 +010101010011 +011001010100 +011101100101 +100010000110 +100110011000 +101010011000 +100110011000 +100010000111 +011101110110 +011110000111 +100010001000 +100110011001 +100110101010 +101010101010 +101110111011 +110011001100 +110111011101 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011001101 +110011001100 +110011001100 +110011001011 +110011001100 +110111011100 +110111011100 +101110111010 +100110011000 +100010011000 +101110111011 +110111011100 +110111001011 +101010101001 +011001100101 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100100010 +001100100010 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100100010 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010001000011 +010000110011 +010001000011 +011001010100 +100001110101 +101010101000 +110011001011 +110111011101 +111011101110 +111011101110 +110011001100 +101010101010 +100110011001 +101110111010 +110011001100 +101110111100 +101010101010 +100110001000 +011001100101 +010101000100 +010101000100 +010101000100 +010101000100 +011001010100 +011001010100 +011101010101 +011101010100 +011001000100 +011001000011 +011001000100 +011001000011 +011001000011 +011001000011 +011001000011 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001100100 +011001100100 +011001100100 +011001100101 +011001100101 +011001100101 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110110 +100010000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110010111 +100110010111 +101010010111 +100110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +101110101000 +110010101000 +110010101000 +110010100111 +110010101000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111001 +110111001001 +110111001010 +111011011011 +111011011011 +111011011011 +110111001010 +110111001010 +110111001001 +110111001001 +110111001010 +111011001010 +111011011010 +111011011010 +111011011011 +111011011011 +111011011010 +111011011010 +111011011010 +111011001010 +110111001010 +110111001010 +110111001010 +110111001010 +110110111001 +110110111001 +110110111001 +110110111001 +110010111001 +110010111001 +110010111001 +110010111000 +110010101000 +110010111000 +101110101000 +101110100111 +101110100111 +101110010110 +101110010111 +101110010111 +101110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000110 +100001110110 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100010000110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101010100 +010101010101 +011001010101 +011001100110 +011001100111 +011101100111 +011101100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001100111 +011001111000 +011001111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100110 +011001100110 +011101100110 +011101100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +010101010100 +010101000100 +010001000011 +010001000011 +010101010100 +011001100101 +100110011000 +101110111010 +101110111010 +101010101001 +011110000110 +011001100101 +010101010101 +010101100101 +011001100110 +011001110110 +011110000111 +100010011000 +101010101010 +110011001100 +110111011110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011001101 +110011001100 +110011001100 +110011001100 +101110111011 +101110111011 +101110111011 +101110111011 +110011001011 +110011001011 +110111001100 +101110111011 +100110011000 +100010001000 +101110111010 +110111001100 +110111001100 +101110101010 +011101110111 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100100010 +001100100010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010100110011 +010101000011 +010101000011 +011001000100 +010101000100 +010001000011 +001100110011 +010000110011 +010001000011 +010101010011 +011001100101 +100010000111 +101010101000 +110011001011 +110111001100 +101110111011 +100110001000 +011101110110 +100110001000 +100110011001 +100110011001 +100110001000 +011101100111 +011001010100 +010101000100 +010101000100 +011001010100 +010101000100 +011001000100 +011001010100 +011001010100 +011001010100 +011001000100 +011001000011 +011001000100 +011001000011 +011001000011 +011001000011 +011001000011 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +011001100101 +011101100101 +011001010100 +011001010011 +011001100100 +011001100101 +011001100101 +011001010101 +010101010100 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100110 +011101100110 +011101100101 +011001010101 +011101100101 +011101100101 +011101100110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110010111 +100110010111 +100110010111 +100110010111 +100110010110 +100110010110 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010101000 +110010101000 +110010100111 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111000 +110010111001 +110111001001 +110111001011 +111011011011 +111011011011 +110111001010 +110111001010 +110111001001 +110111001001 +110111001001 +110111001001 +110111001010 +110111001010 +111011001010 +111011001010 +111011011011 +111011011011 +111011011011 +111011011011 +111011001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111000 +110010101000 +101110100111 +101110010111 +101110010110 +101110010111 +101110100111 +101110101000 +101110100111 +101010010111 +101010010111 +101010010111 +101010010111 +101010000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000110 +100110000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +100110000110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110101 +011101110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001111000 +100001111000 +100001110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +011001100110 +011001100101 +011001010101 +011001010101 +011001010101 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000100 +010101010101 +011001100110 +011001100110 +011101100111 +011001100111 +011001100111 +011001100110 +011001100111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001100111 +011001110111 +011001110111 +011001110111 +011001100111 +010101100111 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101010110 +010101010101 +011001010101 +011001010101 +011001010101 +010101010101 +010101010100 +010001000100 +010001000011 +010001000011 +010101010100 +011101110110 +100110011001 +101111001011 +110011001100 +101110111010 +100010000111 +010101010100 +010001000011 +001101000011 +010001010100 +010101010101 +010101100101 +011001110110 +100010011000 +101110111011 +110011011101 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111011110 +110111011101 +110011001100 +110011001100 +101111001100 +110011001100 +110111011101 +110111011101 +110111011110 +110111011110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110011011101 +110011001100 +101111001100 +101110111011 +101110111011 +101010101011 +101010101010 +100110011001 +100010011000 +100110011001 +100110011001 +100110011001 +101010101001 +101010101010 +101010101001 +100010001000 +011101110111 +100110011000 +101110111010 +101110111010 +100110011001 +011101100110 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100100010 +001100100010 +010000110010 +010000110010 +010000110011 +010100110011 +010000110010 +010000110010 +001100100010 +010000100010 +010000110011 +010000110011 +010000110011 +010101000011 +010101000011 +010000110011 +010000110011 +010000110011 +010100110011 +010101000011 +011001000011 +010101000100 +010001000011 +010001000011 +010001000011 +010101010100 +010101000100 +010101000011 +010101000011 +011001100100 +100010000111 +101010101001 +101010011001 +100001110111 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001000100 +010101000100 +010101000011 +011001000011 +011001010100 +011001010100 +011001000011 +011001000011 +011001000100 +011001000011 +011001000011 +011001000011 +011001000011 +011001000100 +011001000011 +011001000011 +011001000011 +011001000100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001000100 +010101010100 +011001010101 +011001010101 +011001010100 +011001010011 +011001010100 +011001010101 +011001010101 +011001010101 +010101010100 +010101010100 +010101000011 +011001010100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100110 +100001110111 +100001110111 +011101110110 +011101100110 +100001110110 +100001110111 +100001110111 +100010000111 +100010000111 +100001110111 +100001110110 +100001110101 +100001110110 +100101110110 +100101110110 +100001110101 +100001100101 +100001110101 +100101110101 +100101110101 +100101110110 +100101110110 +100101110110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110010111 +100110010111 +100110000110 +100110010110 +101010010110 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110010111 +101110010111 +101110100111 +101110101000 +110010101000 +110010101000 +101110100111 +101110100111 +110010100111 +110010101000 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111001 +110111001010 +110111001011 +110111011011 +110111001011 +110111001010 +110111001001 +110111001001 +110111001001 +110111001001 +110111001001 +110111001001 +110111001001 +111011001010 +111011011011 +111011011011 +111011011011 +111011011011 +111011011011 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110111001010 +110010111001 +110010111010 +110010111010 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +101110010111 +101110010110 +101010010111 +101110010111 +101110101000 +101110101000 +101010101000 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000110 +100110000110 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101110101 +011101110101 +100001110101 +100001110101 +100001110101 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110110 +100001110111 +100001110111 +100010001000 +100010001000 +100010001000 +100001111000 +100001111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001100101 +011001010101 +011001010101 +011001010100 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101010101 +011001100110 +011001100110 +011001100111 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011001100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100111 +011001100111 +010101100110 +010101100110 +010101010110 +010101010110 +010101100111 +010101100111 +010101100111 +011001100111 +010101100111 +010101100111 +010101100110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010001000100 +010001000011 +010001000100 +010101010101 +011101110110 +100110101001 +110011001011 +110011001100 +101110111010 +100010000111 +010101100100 +010001000011 +001101000011 +010001000011 +010101010100 +011001100101 +011001100110 +011110000111 +100110101001 +101111001011 +110111011101 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111101110 +110111011101 +110011001100 +101110111011 +101010101010 +100110011001 +100110011010 +101010101011 +101110111011 +110011001100 +110011001101 +110011011101 +110111011101 +110111011110 +110111011110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011110 +110111011110 +110111101110 +110111101110 +110111011110 +110111011110 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011001101 +110011001100 +101110111011 +101110111011 +101010101010 +100110011001 +100010011000 +100010001000 +100010001000 +011101110111 +010101010101 +010101010101 +010101100101 +010101100101 +010101100101 +011001110110 +011001110111 +010101100110 +010101100110 +011001110111 +011110001000 +011110001000 +011101110111 +010101010101 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +010000110011 +010001000011 +010101000100 +010101000100 +010101000100 +010000110011 +001100110010 +001100100010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010100110011 +010000110011 +010100110011 +010101000011 +010101000011 +010101000011 +011001000100 +010101000011 +010001000011 +010101000100 +010101010100 +010101010100 +010001000011 +010001000011 +010001000011 +010101010100 +011101100101 +011101100110 +011101010101 +011001000100 +011001000100 +011001000011 +011001000011 +011001000011 +011001000011 +010101000011 +010101000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +010101000011 +010101000011 +010101000011 +011001000011 +011001000011 +011001000100 +011001000011 +011001000011 +010101000011 +010101000100 +011001000100 +011001010100 +011001010100 +011001010100 +011001010100 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001010100 +011001010101 +011001010100 +010101010100 +010101010100 +010101000011 +011001010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110101 +100001110101 +100001110110 +100101110110 +100101110110 +100001110101 +100001100101 +100001110101 +100101110101 +100101110101 +100101110110 +100110000110 +100110000110 +100110000111 +100110000111 +101010010111 +101010010111 +101010010111 +101010000111 +100110000111 +100110000110 +100110000110 +100110000110 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +110010100111 +110010101000 +110010100111 +101110100111 +101110100111 +110010100111 +110010100111 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111001 +110111001010 +110111001011 +110111001011 +110111001010 +110010111001 +110010111001 +110110111001 +110110111001 +110111001001 +110111001001 +110111001001 +110111001001 +110111001010 +111011011011 +111011011100 +111011011100 +111011011011 +110111001011 +110111001010 +110111001001 +110111001001 +110111001001 +110111001010 +110110111010 +110110111010 +110011001010 +110111001010 +110011001010 +110010111001 +110010111001 +110010111001 +110010111000 +101110111000 +101110111001 +110010101001 +101110101000 +101010010110 +101010010110 +101010010111 +101010011000 +101110101000 +101110101000 +101010101000 +101010011000 +101010011000 +101010010111 +101010010111 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +011101111000 +011101110111 +011101100110 +011001100101 +011001010101 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101010101 +010101010101 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001010101 +010101010101 +010101010101 +010001010101 +010001010101 +010001010101 +010101010101 +010101010101 +010101010101 +010001010101 +010001010101 +010001010101 +010101010110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101010110 +010101010101 +010001010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010101010101 +011101110111 +100110011001 +101010101010 +101110111010 +100110011001 +011101110110 +010101010100 +010001000011 +001101000011 +010001000100 +010101010100 +011001100101 +011001110110 +011101110111 +100010011000 +101010101010 +110011001100 +110111011110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +111011101110 +110111101110 +110111101110 +110111011101 +110011001101 +101110111011 +101010101010 +100010011000 +011110000111 +011001110111 +011101110111 +011110001000 +100110011001 +100110101010 +101010111011 +101010111011 +101111001100 +110011001100 +110011001101 +110111011101 +110011001101 +110011001100 +110011001100 +110011001100 +110011001100 +110011001101 +110111011101 +110111011101 +110111011101 +110111011101 +110111011101 +110011011101 +110011001101 +110011001100 +110011001100 +110011001100 +101110111011 +101010101010 +100110011001 +100010011000 +100010000111 +011101110111 +011101110110 +011001100110 +011001100110 +010101010101 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000101 +010001010110 +010001010110 +010101100110 +010101100111 +010101100110 +010101010110 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010000110011 +010000110011 +001100100010 +001100110011 +010001000100 +010101010101 +010101010101 +010101010101 +010001000100 +010000110011 +001100110010 +010000110010 +010000110011 +010101000011 +010000110011 +010000110011 +010000110010 +010000110011 +010101000100 +010101010101 +010101010110 +011001010110 +010101010101 +010101000100 +010000110011 +010000110011 +010101000100 +010101010100 +010101010100 +010101010100 +011001010100 +010101000100 +010001000011 +010001000011 +010101000100 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010101000100 +011001010100 +011001010100 +011001000100 +011001000011 +011001000011 +011001000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001000011 +011001010100 +011001010100 +011001000100 +010101000100 +010101000011 +010101000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +010100110011 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +011001000100 +011001000011 +011001000011 +011001000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010011 +010101000011 +011001010100 +011001100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001100101 +100001110101 +100001110101 +100101110101 +100101110110 +100101110110 +100110000110 +100110000110 +100110000111 +100110000111 +101010000111 +101010010111 +101010000111 +101010000111 +101010000111 +100110000110 +100110000110 +101010000110 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110011000 +101110011000 +101110011000 +101110101000 +101110101000 +101110100111 +110010100111 +110010101000 +110010100111 +110010100111 +101110100111 +101110100111 +110010100111 +110010100111 +110010101000 +110010101000 +110010111000 +110010111001 +110010111001 +110111001010 +110111001011 +110111001010 +110110111001 +110010111000 +110010111000 +110010111000 +110110111001 +110110111001 +110111001001 +110111001001 +110111001001 +110111001010 +111011011011 +111011011100 +111011011100 +111011011011 +110111001010 +110111001001 +110111001001 +110111001001 +110010111010 +110010111001 +110010111001 +110010111000 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +101110101000 +101110101000 +101110101000 +101110101001 +101110101001 +101110101000 +101010010110 +101010010110 +101010000110 +101010010110 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +100001110101 +011101110101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101111000 +100001111000 +100001111000 +011101111000 +011101111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101100110 +011001100101 +011001010101 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010101010100 +010101010101 +010101010101 +010101010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001010101 +010101010110 +010101010110 +010101100110 +010101100111 +010101100111 +010101100110 +010101010110 +010001010101 +010001000100 +001101000011 +001101000011 +010001000011 +010001000100 +010001000100 +010001010100 +010001010100 +010101010101 +011001100110 +011110000111 +100010001000 +100010001000 +011110000111 +011001100101 +010101010100 +001101000011 +001101000011 +001101000011 +010001010100 +010101100101 +011001110110 +011101110110 +100010000111 +100110011001 +101110111011 +110011011101 +110111011110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111101110 +110111011110 +110111011101 +110111011101 +110011001100 +101111001100 +101010101010 +100110011001 +011110000111 +011001100110 +010101100110 +010001010101 +010001010110 +010101100111 +011001111000 +011110001000 +011110001001 +100010011001 +100110011010 +100110101010 +101010101011 +101110111011 +101010101011 +101010101010 +100110011001 +100110011001 +101010101010 +101010101011 +101110111011 +110011001100 +110011001100 +101110111100 +101110111011 +101110111011 +101010101011 +101010101010 +101010101010 +100110101001 +100110011000 +100010001000 +011101110111 +011001110110 +011001100110 +011001100101 +010101010101 +010101010100 +010101010100 +010001000100 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001101000101 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010110 +010001010110 +010101010110 +010001010101 +010001000101 +010001000100 +010000110011 +001100100010 +001100110011 +010001000101 +010101010110 +010101100111 +010101100111 +010101010110 +010001000100 +010000110011 +010000110011 +010001000100 +010101000100 +010101000100 +010000110011 +010000110011 +010001000100 +010101010110 +011001101000 +011001111000 +011001111000 +011001100111 +010101010110 +010001000100 +010001000100 +010101010101 +010101100110 +011001100110 +011001100110 +011001100110 +010101000100 +010000110011 +001100110011 +010000110011 +010000110011 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010101000011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +011001010100 +011001010101 +011001010101 +011001010100 +010101000100 +010101000011 +011001000011 +011001000100 +011001010100 +011001000011 +011001000011 +010101000011 +010101000011 +011001010100 +011001100101 +011001100101 +011001010101 +011001010100 +011001000011 +011001000011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +011001010100 +011001100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100101110110 +100101110110 +100101110110 +100110000110 +100110000110 +100110000110 +100110000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000111 +101010000111 +101010010111 +101010011000 +101010011000 +101010010111 +101010010111 +101010011000 +101110011000 +101110011000 +101110010111 +101110011000 +101110011000 +101110011000 +101110011000 +101110011000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +101110100111 +101110100111 +101110100111 +101110100111 +110010100111 +110010101000 +110010101000 +110010111001 +110010111001 +110111001010 +110111001011 +110111001011 +110111001010 +110010111001 +110010111000 +110010111000 +110010111000 +110110111000 +110110111001 +110110111001 +110110111001 +110110111001 +110111001010 +110111001011 +110111011011 +110111011011 +110111001010 +110111001001 +110111001001 +110111001001 +110111001010 +110110111010 +110010111001 +110010101000 +110010100111 +110010100111 +110010111000 +110010111000 +110010101000 +101110100111 +101110010111 +101110010111 +101110010111 +101110101000 +101110101000 +101110100111 +101010010111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001100101 +011001010101 +011001010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000100 +010001000100 +010001010101 +010101010101 +010101100110 +010101100110 +010101100110 +010001010101 +010001000100 +001101000011 +001100110011 +001101000011 +001101000011 +010001000100 +010001010100 +010001010100 +010001010100 +010001010100 +010101010101 +011001100110 +011001100110 +011001100110 +010101010101 +010101010100 +010001000011 +001100110011 +001100110011 +001101000011 +001101000011 +010101010101 +011001100110 +011101110110 +011101110111 +100010001000 +101010101010 +101110111011 +110011001100 +110011001100 +110011001100 +110011001101 +110011001101 +110011001101 +110011011101 +110011011101 +110111011101 +110111011101 +110111011101 +110011001100 +101111001100 +101110111011 +101010101010 +100110011001 +100010001000 +011001110111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000101 +010001010110 +010101100111 +010101100111 +010101100111 +011001110111 +011001110111 +011101111000 +011110001000 +100010001001 +011110001000 +011101110111 +011001110111 +011001110111 +011101111000 +100010001000 +100010011001 +100110011001 +100110011001 +100110011001 +100110011000 +100010001000 +100010001000 +100010001000 +011110001000 +011101110111 +011001100110 +010101100110 +010101010101 +010101010101 +010101010101 +010101010100 +010101000100 +010101000100 +010001000011 +010001000011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001101000101 +010001010110 +010101010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010110 +010101010110 +010001010110 +010001000101 +010001000100 +010001000100 +001100110011 +001101000100 +010001010101 +010101100111 +010101100111 +010101100111 +010101100111 +010001010101 +010000110011 +010000110011 +010101000100 +010101000101 +010101000100 +010100110011 +010000110011 +010001000100 +010101100110 +011001111000 +011001111001 +011001111001 +011001111000 +010101010111 +010001000101 +010101000100 +010101100110 +011001111000 +011001111000 +011001111000 +011001100111 +010101010101 +010000110011 +001100110010 +001100110011 +001100110011 +010001000100 +010001000101 +010001000100 +001100110011 +010000110011 +010101000100 +011001010101 +011001010100 +011001010100 +011001010101 +011001100110 +010101100110 +010101010101 +010101010100 +010101000011 +010001000011 +010101010100 +010101100110 +011001100110 +011001100110 +011001100110 +010101010100 +010101000011 +011001000011 +011001010100 +011001010101 +011001010100 +011001000011 +010101000011 +011001010101 +011001100110 +011101110111 +011101110111 +011101110111 +011001100110 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010100 +010101010100 +010101000011 +010001000011 +010101000011 +010101010100 +011001010101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001100101 +100001110101 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100010000110 +100110000110 +100110000110 +100110000111 +101010000111 +101010000111 +100110000111 +100110000111 +101010000110 +101010000110 +101010000111 +101010000111 +101010010111 +101010011000 +101010011000 +101010010111 +101010010111 +101010010111 +101110010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +101110101000 +101110101000 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +110010101000 +110010101000 +110010111001 +110010111001 +110111001010 +110111001011 +110111001011 +110011001010 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110110111001 +110110111001 +110110111001 +110111001010 +110111001010 +110111001011 +110111001010 +110111001001 +110111001001 +110110111001 +110110111001 +110110111010 +110010111001 +110010111000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010110 +101010010110 +101010010111 +101110010111 +101010010111 +101010010111 +101010010111 +101010010110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +100001110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100101 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000100 +010001000101 +010001010101 +010101010110 +010001010101 +010001000101 +010001000100 +001101000011 +001101000011 +001101000011 +010001000100 +010001000100 +010001010100 +010001010100 +010001000100 +010001000100 +010001000100 +010101010101 +010101010101 +010001010100 +010001000100 +010001000011 +001101000011 +001100110011 +001100110011 +001100110011 +001101000011 +010001000100 +010101010101 +011001100110 +011001100110 +011101110111 +100010001000 +100110011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101011 +101010101011 +101010101010 +101010101010 +101010101001 +100110011000 +100010000111 +011101110111 +011001110111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +010001010110 +010001010110 +010001100111 +010101100111 +010001100110 +010101100110 +010101100110 +010101100111 +011001100111 +010101100110 +010101010110 +010001010101 +010001010101 +010101010110 +010101100110 +011001100111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101100110 +010101010101 +010101010101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010101000100 +010101000011 +010001000011 +010000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010101010101 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001000101 +010001010101 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010101 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110010 +010000110011 +010101010110 +010101111000 +011001111000 +011001111001 +011001101000 +010101100111 +010001010110 +010101010110 +011001100111 +011001111000 +011001111000 +011001111001 +011001101000 +010101010110 +010001000100 +001100110011 +001100110011 +010001000100 +010101010101 +010101010101 +010101000101 +010001000100 +010001000100 +010101010101 +011001010101 +011001010101 +011001010101 +011001100110 +011001100111 +010101100111 +010101100110 +011001010101 +010101010100 +010101000100 +010101010101 +010101100111 +011001110111 +011001110111 +011001100111 +010101010101 +010101000011 +011001000100 +011001010101 +011001100110 +011001010101 +011001000011 +010101000011 +011001100101 +011001111000 +011110001000 +011110001000 +011001111000 +011001100111 +011001010101 +011001010100 +011001010101 +011001100101 +011001100110 +011001100110 +011001010101 +011001010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101010100 +011101100100 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100101 +011101100101 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100010000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +101010000111 +101010000111 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101010010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010111001 +110010111001 +110111001010 +110111001011 +110111001010 +110010111001 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110010111000 +110110111001 +110110111001 +110110111001 +110111001010 +110111001010 +110111001001 +110110111001 +110110111001 +110110111000 +110110111001 +110010111001 +110010111000 +110010101000 +110010100111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010111 +101110010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010000110 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001100101 +011001010101 +011001010101 +011001010101 +011101010101 +011101010101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010101000100 +010101010100 +010101000100 +010001000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +001101000011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +001101000011 +001101000011 +010001000100 +010001000100 +010001010100 +010001010100 +010001000100 +010001000100 +001101000011 +001101000011 +010001000100 +010001000100 +010001000100 +010001000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +010001010101 +010101010101 +011001100110 +011001110111 +011101110111 +011101111000 +011101111000 +011101111000 +011001110111 +011001110111 +011101110111 +011101111000 +011110001000 +011110001000 +011110001000 +011101110111 +011001110111 +011001100110 +011001100110 +011001100110 +010101100110 +010101010110 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +001101010101 +010001010110 +010001010110 +010101010111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010101010101 +010101010100 +010101010101 +010101010101 +010101000101 +010001000101 +010001000100 +010001000100 +001101000100 +010001000100 +010001000100 +010001000100 +010101000100 +010001000100 +010001000011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110011 +010000110100 +010000110100 +010000110100 +010000110100 +010000110011 +010000110011 +010000110100 +010001000101 +010001010110 +010001010110 +010001010101 +010001010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010001010101 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +010000110011 +010000110010 +010000110011 +010001010101 +010101100111 +011001101000 +011001101000 +010101100111 +010101100111 +010101100110 +010101010110 +010101100111 +011001110111 +011001111000 +011001101000 +011001100111 +010101010110 +010001000100 +010000110011 +010000110100 +010001000100 +010101000101 +010101000101 +010101000100 +010001000100 +010001000100 +010101010101 +011001010101 +011001010101 +011001010101 +011001100110 +011001100111 +011001110111 +011001100111 +011001100110 +011001010110 +010101010110 +010101100110 +011001110111 +011001111000 +011001111000 +011001110111 +011001010110 +010101000100 +011001000100 +011001010101 +011101100101 +011001010101 +011001000011 +011001000011 +011001010101 +011001110111 +011110001000 +011110001000 +011101111000 +011001110111 +011001100110 +011001100101 +011001100110 +011001110111 +011001110111 +011001110111 +011001100110 +011001010100 +010101000011 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100110000110 +100110000110 +100001110110 +100001110110 +100010000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010010110 +101010010110 +101110010110 +101110010110 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110100111 +110010101000 +110010111001 +110110111010 +110111001010 +110111001011 +110010111010 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010111000 +110010111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111000 +110010101000 +110010101000 +110010101000 +110010101000 +110010100111 +110010100111 +101110100111 +101110010111 +101110010110 +101110010110 +101010010110 +101010010110 +101010010110 +101010000110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +101010010111 +101010011000 +100110010111 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101010100 +011001010101 +011001010101 +010101010101 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000100 +010001000100 +010001010100 +010001000100 +010001000100 +010001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001101000011 +001101000011 +010001000011 +001101000011 +001100110011 +001100110011 +001100110011 +001101000011 +010001000100 +010001010101 +010101010110 +010101100110 +011001100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101010110 +010101010101 +011001010110 +011001100110 +011001100110 +010101010110 +010001010101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +010001010110 +010001010110 +010101010111 +010101010110 +010101010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +010001000100 +010001000100 +010101000100 +010101000100 +010101000101 +010001000101 +010001000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000100 +010001000011 +010000110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110011 +010000110100 +010000110100 +010000110011 +010000110011 +010000110011 +010000110100 +010001000101 +010001010110 +010001010110 +010101010110 +010101010110 +010101010101 +010001010101 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101010110 +010001000101 +010000110011 +010000110010 +010000110011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010001000101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010110 +010101010110 +010101100111 +010101100111 +010101100111 +010101010110 +010101010101 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +010101000100 +010101000100 +011001010101 +011001100111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001111000 +011001111000 +011001110111 +011001100110 +010101000100 +011001000011 +011001010100 +011001010101 +011001010100 +011001000011 +011001000011 +011001010101 +011001110111 +011110001000 +011110001000 +011101111000 +011001110111 +011001110111 +011101100111 +011101110111 +011101110111 +011101111000 +011101110111 +011101100110 +011001010100 +010101000011 +010101000011 +010101000100 +010101010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +011101010100 +011101010100 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100101 +011101110110 +011101110111 +011101111000 +100010001000 +100010001000 +100001110111 +100001110111 +100001110110 +100001110110 +100010000110 +100110000110 +100001110110 +100001110110 +100010000111 +100110001000 +100110001000 +100110001000 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010010110 +101010010110 +101010010110 +101110010111 +101110010111 +101110010111 +101010010111 +101110010111 +101110100111 +101110100111 +101110010111 +101110100111 +101110100111 +101110100111 +101110101000 +110010101000 +110010111001 +110011001010 +110111001010 +110111001010 +110010111001 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111000 +110010111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111001 +110110111001 +110010111000 +110010101000 +110010101000 +110010101000 +110010101000 +110010100111 +101110100111 +101110100111 +101110010110 +101110010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010000110 +101010010110 +101010000110 +101010000110 +101010010110 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +101010011000 +101010101001 +101010011001 +101010011000 +100110000111 +100110000110 +100110000101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000100 +011001010101 +011001100110 +011001100110 +011001100110 +011001010101 +010101010101 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +010001000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +010001010101 +010001010101 +010101010110 +010101010110 +010101100110 +010101100111 +010101100111 +010101100111 +010001010111 +010101010111 +010001010111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101010110 +011001100110 +011001100111 +011001100111 +010101100110 +010001010101 +001101000100 +001000110100 +001000110100 +001101000100 +001101000101 +010001010110 +010001010110 +010101010110 +010101010110 +010101010110 +010101010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001101000100 +001101000100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110011 +010001000100 +010101000101 +010101010101 +010101000101 +010001000100 +010001000100 +010101000100 +010101010101 +010101010110 +010101010110 +010101010110 +010101010101 +010101000100 +010000110100 +001101000100 +010001010110 +010001010110 +010101010110 +010001010110 +010001010110 +010001000101 +010000110011 +010000110010 +010000110011 +010101000100 +010101000100 +010100110011 +010000110010 +010000110011 +010001010101 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000101 +010001000101 +010001000101 +010001010110 +010101100110 +010101010110 +010101010101 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010101000011 +010001000011 +010001000011 +010100110011 +010101000100 +010101010101 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +010101100110 +011001100111 +011001110111 +011101111000 +011001111000 +011001110111 +011001100110 +010101000100 +010101000011 +011001000011 +011001010100 +011001010100 +011001000011 +011001000011 +011001010101 +011001100111 +011101111000 +011101111000 +011001111000 +011001111000 +011001110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101100101 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100001110110 +100010000111 +100110001000 +100110011000 +100110001000 +100110001000 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010000111 +101010010111 +101010010110 +101010010111 +101010011000 +101110101000 +101010101000 +101010101000 +101010101000 +101010100111 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110101000 +110010111001 +110011001010 +110111001011 +110111001011 +110011001010 +110010111001 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111001 +110010111001 +110010111001 +110110111001 +110110111001 +110110111001 +110110111001 +110010111000 +110010101000 +110010101000 +110010101000 +110010101000 +101110100111 +101110010111 +101110010111 +101110010111 +101110010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010010110 +101010000110 +101010000110 +101010000110 +101010000101 +101010000110 +101010010110 +101010010111 +101010000110 +100110000110 +100110000110 +101010010111 +101010011001 +101010101001 +101010101001 +101010011000 +100110000110 +100110000110 +100010000101 +100001110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010100 +011001100110 +011001100110 +011001010101 +011001010101 +010101010101 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +001101000100 +001101000011 +001100110011 +001100110011 +001100110011 +001101000100 +010001000100 +010001000101 +010001000101 +010001010101 +010001010110 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100110 +011001100111 +011001100111 +011001100111 +010101100111 +010001010110 +001101000100 +001000110011 +001000110011 +001100110100 +001101000101 +010001010101 +010001010110 +010101010110 +010101010110 +010101010110 +010001010110 +010001010101 +010001000101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000100 +001101000100 +001100110011 +001101000011 +001101000011 +001101000011 +001101000100 +001100110100 +001100110100 +001100110100 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110011 +010101000101 +010101100110 +010101100111 +010101100110 +010101010110 +010101010110 +010101010101 +010101010110 +010101010110 +010101100110 +010101010110 +010101010101 +010001000100 +001100110011 +001100110011 +001101000101 +010001010110 +010101100110 +010101100110 +010101100110 +010001010101 +010001000100 +010000110011 +010101000011 +010101000100 +010101000101 +010101000100 +010000110011 +010000110011 +010101010101 +010101100111 +010101100111 +010101100111 +010101100111 +010001010101 +010001000100 +010000110011 +010000110011 +001100110011 +001101000101 +010001010110 +010101100110 +010101010101 +010101000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010101000100 +010101000100 +010101000100 +010101000100 +010100110011 +010101000100 +010101010101 +010101100111 +010101100111 +011001100111 +011001100110 +010101010101 +010101010101 +010101100110 +011001110111 +011001111000 +011001111000 +011001100111 +010101010110 +010101000100 +010101000011 +011001000100 +011001010100 +011001010100 +011001000011 +011001000011 +010101010100 +011001100110 +011001110111 +011001110111 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001110111 +011001100110 +011001010100 +010101000011 +010101000011 +010101000100 +010101000011 +011001000011 +011001000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101100101 +011101100110 +011101110110 +011101110111 +100001110111 +100001110111 +100001110111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100010000111 +100110001000 +100110011000 +100110001000 +100110001000 +100110001000 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100101110110 +100110000110 +100110000110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101010011000 +101110101000 +101110101001 +101110101000 +101110101000 +101010101000 +101110010111 +101110010111 +101110100111 +101110100111 +101110100111 +101110101000 +110010111010 +110011001011 +110111001011 +110011001011 +110010111001 +101110111001 +101110101001 +101110101001 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111001 +110010111001 +110110111001 +110010111001 +110110111001 +110010111001 +110010111001 +110010101000 +110010101000 +110010101000 +110010100111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010110 +101010010110 +101010010110 +101010010110 +101010000110 +100110000110 +101010000110 +101010000110 +101010000101 +101010000101 +100110000101 +101010000110 +101010010111 +101010010111 +100110000110 +100110000110 +100110000110 +100110010111 +101010011000 +101010011000 +100110011000 +100110000110 +100110000110 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100100 +011101100101 +011101100100 +011101100100 +011101100100 +011101010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010101010100 +011001100110 +011001100110 +010101010100 +010101000100 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000100 +001101000011 +001101000011 +001100110011 +001100110011 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +010001010110 +001101000100 +001000110011 +001000110011 +001000110100 +001101000100 +010001010101 +010001010101 +010001010101 +010001010101 +010001000101 +001101000101 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010101 +001101000101 +001101000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +010000110011 +010001000101 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010101 +010101010110 +010101010110 +010101010110 +010101010110 +010101010110 +010001000101 +010001000100 +001101000100 +010001010101 +010001010110 +010101100111 +010101100111 +010101100111 +010101010110 +010001000100 +010000110011 +010101000100 +010101000101 +011001010101 +010101000100 +010000110011 +010000110011 +010001000101 +010101010111 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000100 +010000110011 +010001000100 +001101000101 +010001010110 +010101100110 +010101010110 +010101010101 +010101010101 +010101010101 +010001000101 +010001000100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010101000100 +010101010101 +011001100111 +011001100111 +011001100111 +011001100110 +011001010101 +010101010101 +010101010110 +011001110111 +011001111000 +011001111000 +011001100111 +010101010110 +010101000100 +010101000011 +011001010100 +011001010101 +011001010101 +011001000100 +011001000011 +010101010100 +010101100110 +011001100111 +011001100111 +010101100111 +010101010110 +011001010101 +011001010101 +011001010101 +011001100111 +011001100111 +011001110111 +011101100110 +011001010101 +011001000100 +010101010100 +011001010100 +011001010100 +011001000100 +011001010100 +011001010100 +011101010101 +011101010101 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +100001110110 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001110110 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100110000111 +100110000111 +100110000111 +100110000111 +100101110110 +100101110110 +100101110110 +100101110110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +101010000101 +101010000110 +101010000110 +101010010111 +101010010111 +101010010111 +101010010111 +101110011000 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110101001 +110010111010 +110011001011 +110111001011 +110011001011 +110010111001 +101110111001 +101110101001 +101110101001 +110010101001 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +110010101000 +110010101000 +110010100111 +101110100111 +101110010111 +101010010111 +101010010111 +101010010111 +101110010110 +101010010110 +101010010110 +101010010110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +100110000101 +100110000101 +100110000110 +100110000110 +101010010111 +100110000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000111 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +100001110100 +100001110100 +100001110100 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101010101 +011001100110 +011001100110 +010101010100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +001101000101 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001101000100 +001000110011 +001000110011 +001000110100 +001101000100 +001101000101 +001101000101 +010001000100 +001101000100 +001101000100 +001100110100 +001000110011 +001000110100 +001101000100 +001101000101 +010001010101 +010001010101 +010001000101 +001101000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110100 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100011 +010001000100 +010101010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101000101 +010101000101 +010101010110 +010001010110 +010001010110 +010101010110 +010001010101 +010001010101 +010001010101 +010001010110 +010101100111 +010101100111 +011001100111 +011001100111 +010101010110 +010001000100 +010000110011 +010100110011 +010101000101 +010101000101 +010101000100 +010100110011 +010000110011 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010101100110 +010001010110 +010101010101 +010101010101 +010101010101 +010101010110 +010101100110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010110 +010001010101 +010001000101 +010101000101 +010101010101 +010101010101 +010101010110 +010101010110 +010101010101 +010101000100 +010101000100 +010101010101 +011001100111 +011001111000 +011001111000 +011001100111 +011001010110 +010101010101 +010101100110 +011001110111 +011001111000 +011001111000 +011001111000 +010101100110 +010101000100 +010101000011 +011001010100 +011001010101 +011001010101 +011001010100 +011001000011 +010101010100 +010101100110 +011001100111 +011001100111 +010101100111 +010101010110 +010101010101 +011001010101 +011001100110 +011001100111 +011001110111 +011001111000 +011101100111 +011001010101 +011001010100 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011101010101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011101010100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110110 +011101110110 +100001110110 +100001110111 +100010001000 +100010001000 +011110001000 +100010001000 +100001110111 +100001110110 +100001110110 +100101110110 +100101110110 +100101110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100101110101 +100101110101 +100101110101 +100101110110 +100110000110 +100110000101 +100101110101 +100101110101 +100110000101 +101010000110 +101010000110 +101010000111 +101010010111 +101010010111 +101010010111 +101010010111 +101110011000 +101110101000 +101110101000 +101110101000 +101110011000 +101110010111 +101110010111 +101110010111 +101110100111 +101110101000 +101110111001 +110011001010 +110111001011 +110111001011 +110011001010 +110010111001 +101110101001 +101110101001 +101110101001 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101001 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +110010101000 +110010101000 +110010100111 +101110010111 +101110010111 +101110010110 +101010010111 +101010010111 +101010010111 +101010010110 +101110010110 +101010010110 +101010000110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +100110010111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110101 +100001110101 +100001110100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101010100 +011001010110 +011001010101 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +001101000101 +001101000101 +001101000101 +001101000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110100 +001100110100 +001100110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101000100 +010001000101 +001101000101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110100 +010101000101 +010101000101 +010101000101 +010001000101 +010001000101 +010101000100 +010101000101 +010001010110 +010101010110 +010001010110 +010001010110 +010101010101 +010001010101 +010001010101 +010001010110 +010101100110 +010101100111 +010101100111 +010101100111 +010101010110 +010001000100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110010 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010110 +010101010110 +010101100110 +010101100110 +010101010110 +010101010101 +010101000100 +010101000100 +010101000101 +010001000101 +010001000100 +010001000100 +010101000100 +010101000101 +010101010101 +010101010101 +010101000101 +010001000011 +010101000100 +010101010101 +011001100111 +011001110111 +011001111000 +011001100111 +011001100110 +010101100110 +011001100111 +011001110111 +011001111000 +011001111000 +011001111000 +011001100111 +010101010101 +010101000011 +011001000100 +011001010101 +011001010101 +011001000100 +011001000011 +010101010100 +011001100110 +011001111000 +011001111000 +011001111000 +011001100111 +011001100111 +011001100110 +011001100111 +011001110111 +011001111000 +011001111000 +011101100111 +011001010101 +011001010101 +011001010110 +011001100110 +011001010101 +011001010100 +011101010100 +011101100101 +011001100110 +011001100110 +011001010101 +011001010101 +011001010101 +011101100101 +011101100100 +011101100101 +011101100110 +011101110110 +011101110111 +011101110110 +011101110110 +011101110110 +100001110111 +100010001000 +100010001000 +011110001000 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110110 +100001110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100101110110 +100101110110 +100101110110 +100101110110 +100101110101 +100101110101 +100101110101 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +101010010111 +101010010111 +101010010111 +101110010111 +101110011000 +101010011000 +101010011000 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +101110101000 +110010111001 +110011001010 +110111001011 +110011001011 +110010111010 +101110101001 +101110101001 +110010101001 +110010101001 +101110101000 +110010101000 +110010101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010111000 +110010111000 +110010101000 +110010101000 +110010101000 +101110010111 +101110010111 +101110010111 +101110010110 +101110010111 +101110010111 +101010010110 +101010000110 +101010010110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +100110010110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110101 +100001110101 +100001110101 +100001100100 +100001100100 +011101100100 +011101100101 +011101100101 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010101000100 +010101000100 +010001000011 +010000110011 +010000110011 +001100110011 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +001100110011 +001101000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110010 +001100110011 +001000110011 +001100110011 +001101000011 +001101000011 +001100110011 +001100110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000101 +001101000101 +001101000100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110100 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110100 +010001000100 +010001000101 +010001000100 +010000110100 +010000110100 +010001000100 +010101000101 +010001010101 +010101010110 +010101010110 +010101010110 +010101010101 +010001000101 +010001000101 +010001010101 +010101010110 +010101100111 +010101100111 +010101100110 +010101010110 +010001000100 +010000110011 +010000110010 +010101000011 +010101000100 +010101000011 +010000110011 +010000110010 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010101010110 +010001010110 +010001010101 +010101010101 +010101010110 +010101010110 +010101100110 +010101010110 +010101010101 +010101000100 +010101000100 +010001000101 +010001000100 +010001000100 +010101000100 +010001000100 +010001000100 +010001000101 +010101000101 +010001000100 +010000110011 +010101000011 +010101010101 +010101100110 +010101100111 +011001100111 +011001100111 +010101100110 +010101100110 +011001100110 +011001100111 +011001110111 +011001111000 +011001111000 +011001100111 +011001010101 +010101000011 +011001000100 +011001010101 +011001010100 +011001000011 +011001000011 +010101010100 +011001100110 +011001110111 +011001111000 +011001111000 +011001111000 +011001110111 +011001100111 +011001110111 +011001111000 +011101111000 +011101110111 +011101100110 +011001010101 +011001010100 +011001010101 +011001010101 +011001010101 +011101010100 +011001010100 +011101010101 +011101100101 +011001100110 +011001010101 +011001010101 +011001100101 +011101100101 +011101100100 +011101100101 +011101110110 +011101110110 +011101110111 +011101110110 +011101110110 +011101110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110110 +100001110111 +100001110111 +100010000111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100110000110 +100101110101 +100110000101 +100110000101 +100110000101 +100110000110 +100110000110 +100110000110 +101010000110 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010011000 +101110010111 +101110010111 +101110010111 +101110010111 +101110101000 +110010101000 +110010111001 +110011001011 +110011001011 +110010111010 +110010101001 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +101110101000 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101010010110 +101010010110 +101010000110 +101010000110 +101010000110 +100110000110 +100110000110 +101010000110 +101010000110 +101010000101 +100110000101 +100110000101 +100110000101 +100110000101 +100110000110 +100110000111 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110010 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001000100011 +001000110011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001100110100 +001101000100 +001100110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110100 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010101000101 +010101010101 +010101010110 +010101100110 +010101010110 +010101010101 +010001000100 +010000110100 +001101000101 +010001010110 +010101100110 +010101100110 +010101100110 +010101010110 +010001000100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110010 +010001000100 +010101010110 +010101100111 +010101100111 +010001010110 +010001000101 +001101000100 +010000110100 +010001000100 +010001000100 +010001010101 +010001010101 +010101010110 +010101010101 +010101000100 +010001000100 +010001000101 +010001000101 +010001010101 +010101000101 +010101000100 +010101000100 +010001000101 +010101000100 +010001000100 +010000110011 +010001000011 +010101000100 +010101010110 +010101100110 +010101100110 +010101100110 +010101010101 +010101010101 +010101010110 +010101100110 +011001100111 +011001100111 +011001100111 +010101100110 +010101010101 +010101000011 +011001000100 +011001010100 +011001010100 +011001000011 +011001000011 +010101000100 +011001010110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100110 +011001100111 +011001100111 +011001100111 +011001100111 +011101100110 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011101010100 +011101010100 +011101010101 +011101100101 +011101100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110101 +100001110101 +100001110101 +100001110110 +100101110110 +100101110110 +100101110101 +100101110101 +100101110101 +100110000101 +100110000110 +100110000110 +100110000110 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010101000 +101010101000 +101010101000 +101110010111 +101110010111 +101110011000 +101110101000 +110010101001 +110010111010 +110011001010 +110011001010 +110010111010 +101110101001 +101110101000 +101110101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +101110101000 +101110100111 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +101110100111 +101110100111 +101110010111 +101110010111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010111 +101110010110 +101010010110 +101010000110 +101010000110 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100110000110 +100101110101 +100101110101 +100110000110 +100110000110 +100110000110 +100110000110 +100101110101 +100101110101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110100 +011101110100 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000011 +010001000011 +010001000011 +010001000011 +001101000011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001100110100 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001101000100 +001101000100 +001100110100 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110010 +001000100011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +010000110100 +010001000101 +010101000101 +010001000101 +010001000101 +010001000101 +010001000101 +010101000101 +010101010110 +010101100110 +010101100110 +010101100110 +010101010110 +010101000101 +010001000100 +001101000101 +010001010110 +010101100110 +010101100110 +010101100110 +010101010110 +010001000101 +010000110011 +010000110011 +010101000100 +010101010101 +010101000100 +010101000011 +010000110010 +010001000100 +010101010110 +010101100111 +010101100111 +010001010110 +001101000101 +001100110011 +001100110011 +010000110011 +010000110011 +001101000100 +001101000101 +010001010110 +010101010110 +010101000101 +010001000101 +010001010101 +010001010110 +010101010110 +010101010110 +010101010101 +010101010101 +010001010101 +010001000101 +010001000101 +010000110100 +010000110011 +010101000100 +010101010110 +010101010110 +010101010110 +010101010110 +010101010100 +010101000100 +010101010101 +010101100110 +010101100111 +010101100111 +010101100111 +010101010110 +010101000100 +010101000011 +011001010100 +011001010101 +011001010101 +011001010100 +011001000011 +011001000100 +010101010101 +010101100110 +010101100110 +010101010110 +010101010110 +010101010101 +011001010101 +011001010101 +011001010110 +011001100110 +011001100110 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011101010100 +011101010100 +011101100101 +011101100101 +011101100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110100 +100101110100 +100101110101 +100110000110 +100110000110 +101010010111 +101010010111 +101010010111 +101010010111 +101010011000 +101010101000 +101110101001 +101110101001 +101010101000 +101110010111 +101110010111 +101110011000 +110010101000 +110010111001 +110010111010 +110011001010 +110010111010 +110010111010 +101110101001 +101110101001 +101110101000 +101110101001 +101110101000 +101110101000 +101110101000 +101110101000 +101110100111 +101110100111 +101110100111 +101110100111 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010110 +101110010110 +101010010110 +101010000110 +100110000110 +100110000101 +100110000101 +100110000101 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100101110101 +100110000110 +100110000110 +100110000110 +100110000110 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100100 +011101100100 +011101100100 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001101000100 +001101000100 +001100110100 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110010 +001000100011 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110011 +010000110100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +010101000101 +010101010110 +010101100110 +010101100111 +011001100111 +010101100110 +010101010110 +010001010110 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010111 +010001000101 +010000110011 +010000110011 +010101000100 +010101010101 +010101000100 +010101000011 +010000110011 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000100 +010001000100 +010001000100 +001101000100 +001101000101 +010001010110 +010101010110 +010101000101 +010001000101 +010001010110 +010001010110 +010101010110 +010101010110 +010101010110 +010001000101 +010001010101 +010001000101 +001101000101 +010001000100 +010000110011 +010101000100 +010101010110 +010101100111 +010101100111 +010101010110 +011001010101 +010101010101 +010101010101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101000100 +010101000011 +011001010100 +011001010101 +011001010101 +011001010100 +011001000011 +011001000100 +010101010101 +010101010110 +010101100110 +010101100110 +010101010110 +010101010101 +011001010101 +011001010100 +011001010101 +010101010110 +010101100110 +011001100110 +011001010101 +011001010101 +011001010110 +011001100110 +011001100110 +011101100101 +011101010100 +011101100101 +011101100110 +011101100110 +011001100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +011101110111 +100001110110 +100001110111 +100010000111 +100010001000 +100010001000 +011101110111 +011101110111 +011101110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001100100 +100001100101 +100001100101 +100001110110 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100101110101 +100101110100 +100101110100 +100101110101 +100110000110 +100110000110 +100110010110 +101010010111 +101010010111 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010011000 +101110010111 +101110010111 +101110101000 +110010101000 +110010111001 +110010111010 +110010111010 +110010111010 +101110101001 +101110101001 +101110101000 +101110101000 +101110101001 +101110101001 +101110101000 +101110101000 +101110100111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +110010100111 +110010100111 +110010101000 +110010101000 +110010101000 +110010101000 +110010101000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100110000101 +100110000101 +100110000110 +100110000110 +100110000101 +100110000101 +100110000101 +100101110101 +100101110101 +100101110101 +100110000110 +100110000110 +100110000110 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001100101 +011101100101 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110010 +001000110010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001101000100 +001100110100 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100011 +010000110100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +010101000100 +010101010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010101010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001000101 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110010 +010001000100 +010101010110 +010101100111 +011001111000 +011001100111 +010101100111 +010101100110 +010101010110 +010101010101 +010101010110 +010001010101 +010001010101 +010101010110 +010101010101 +010101000101 +010001000100 +010001000101 +010001010101 +010101010110 +010101000101 +010101000101 +010001000101 +010001000101 +010001000101 +010001000100 +010000110100 +010001000011 +010101000100 +010101010110 +010101100111 +011001100111 +011001100111 +011001100110 +011001100110 +010101100110 +011001100111 +011001111000 +011001111000 +011001111000 +011001100111 +010101010101 +010101000011 +011001010100 +011001010101 +011001010101 +011001010100 +011001000011 +010101000100 +010101010101 +011001100111 +011001110111 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001010110 +011001100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011001100110 +011001100111 +011101100110 +011101100110 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +011101110111 +100001110110 +100001110111 +100001110111 +100010000111 +100001110111 +011101110111 +011101110111 +011101110110 +100001110101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +011101100101 +100001110110 +100001110111 +100001110111 +100001110110 +100001110101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100101110100 +100101110100 +100101110100 +100101110101 +100110000110 +100110000110 +100110000110 +101010000110 +101010000110 +101010000110 +101010010111 +101010010111 +101010010111 +101010010111 +101010010111 +101110010111 +101110101000 +110010101000 +110010111001 +110010111010 +110010111010 +101110101001 +101110101000 +101010101000 +101110100111 +101110101000 +101110101000 +101110101000 +101110101000 +101110011000 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110010111 +101110100111 +110010101000 +110010101000 +110010101000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110100111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100110000101 +100101110101 +100110000110 +100101110101 +100101110101 +100110000101 +100101110101 +100101110101 +100101110110 +100110000110 +100110000110 +100110000110 +100110000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100100 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000011 +010001000011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100011 +010000110100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +010101000100 +010101000101 +010001010110 +010001010110 +010001010110 +010101010110 +010101010110 +010101010110 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010001000101 +010000110011 +010000110010 +010100110011 +010101000100 +010101000100 +010101000011 +010000110010 +010000110011 +010001010101 +010101100111 +010101100111 +011001100111 +010101100111 +010101100111 +010101010110 +010101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010101010101 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010101000100 +010100110100 +010000110100 +010001000100 +010000110100 +010000110100 +010000110011 +010000110011 +010101000100 +010101010110 +010101100111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100111 +011001100111 +011001111000 +011001111000 +011001111000 +011001100111 +010101010101 +010101000011 +011001000100 +011001010100 +011001010101 +011001010100 +011001000011 +010101000011 +011001010101 +011001100111 +011001111000 +011101111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011101100110 +011101100110 +011001010101 +011001100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011001100101 +011001100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100010001000 +100001110111 +100001110111 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +100001110110 +100010000111 +100010000111 +100001110110 +011101110101 +011101100101 +011101100101 +011101110110 +100001110110 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100100 +100001100100 +100101110100 +100101110101 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +100110000111 +101010010111 +101010010111 +101010010111 +101110010111 +101110101000 +110010101001 +110010111001 +110010111001 +101110101001 +101110011000 +101010010111 +101010010111 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010010111 +101110010111 +101010010111 +101010010110 +101010010111 +101010010111 +101010010111 +101110010111 +101110010111 +101110010111 +110010101000 +110010101000 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100110000101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110110 +100101110110 +100110000110 +100110000110 +100110000110 +100101110101 +100001110101 +100001110101 +100001110100 +100001110100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110011 +001100110011 +001000110011 +001000110010 +001000110010 +001000110010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110011 +001000110011 +001000110010 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001100110100 +001100110100 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +010001000011 +000100100010 +000100100010 +000100100010 +000100010010 +001000100010 +001100100010 +001100100010 +001100110011 +010001000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010101000101 +010001000101 +010001010101 +010001010101 +010001010101 +010001000101 +010001000100 +010001000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001000100 +010000110011 +010000110011 +010101000011 +010101000100 +010101000100 +010101000011 +010000110011 +010000110011 +010001000101 +010001010110 +010001010110 +010101010110 +010101010110 +010001010110 +010001000100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +010001000100 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010101000100 +010101000100 +010001000100 +010001000100 +010001000100 +010000110100 +010000110011 +010000110011 +010101000100 +010101010101 +010101010110 +010101100110 +010101100110 +011001100110 +010101010110 +010101010110 +010101100111 +011001100111 +011001110111 +011001110111 +011001100111 +010101010101 +010101000011 +011001000011 +011001010100 +011001010100 +011001010100 +011001000011 +010101000011 +010101010101 +011001100111 +011001110111 +011001111000 +011001110111 +011001100111 +011001100111 +011001100110 +011001100110 +011001110111 +011001110111 +011101100110 +011001100101 +011001010101 +011001010101 +011001100101 +011101100101 +011101100101 +011101010100 +011101010101 +011101100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001110110 +100001110111 +011110000111 +011101110111 +100001110111 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100001110111 +100001100110 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +011101110101 +100001110111 +100010001000 +100010001000 +100010000111 +100001110110 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100101110100 +100001110101 +100110000110 +100110000111 +100110000110 +100110000110 +100110000110 +100110000110 +100110000111 +101010011000 +101010011000 +101010011000 +101010010111 +101110011000 +101110101000 +110010111001 +110010111001 +101110101001 +101110101000 +101010011000 +101010011000 +101010010111 +101010010111 +101010010111 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +101010000110 +101010000111 +101010000111 +101010000111 +101010010111 +101110010111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +110010100111 +101110100111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100101110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100110000110 +100110000110 +100110000110 +100001110101 +100001110101 +100001110100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001000110010 +001000110011 +001000110011 +001000110011 +001000110010 +001000110010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000110010 +001000110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +010001000011 +000100100010 +000100100010 +000100010010 +000100010010 +001000100010 +001100100010 +001100100010 +001100110011 +010001000101 +010001010110 +010001010111 +010001010110 +010001010110 +010001010110 +010101000101 +010001000101 +010001010101 +010001010101 +010001010101 +010001000101 +010001000100 +010000110100 +001101000100 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001000100 +010000110011 +010000110011 +010101000100 +010101000101 +011001000101 +010101000100 +010100110011 +010000110011 +010001000100 +010001000101 +010001010101 +010001010101 +010001010101 +001101000100 +001000110011 +001000100010 +001000100001 +001000100001 +001000100010 +001000110011 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001000101 +010101000101 +010101000101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010000110011 +010101000011 +010101000100 +010001010101 +010001010101 +010101010101 +010101010101 +010101000100 +010101010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101000101 +010101000011 +011001000100 +011001010100 +011001010101 +011101010100 +011001000011 +011001000011 +010101010101 +011001100111 +011001110111 +011001110111 +011001100111 +011001100110 +011001010101 +011001010101 +011001100110 +011001100110 +011001100111 +011001100110 +011001100101 +011001010101 +011001010101 +011001100101 +011101100101 +011101100101 +011101010100 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +100001100101 +100001110110 +100001110111 +011101110111 +011101110110 +100001110110 +100001110110 +011101110111 +100001110111 +100001110111 +011101110111 +011101110111 +011101110110 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +011101110101 +100001110111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100101110101 +100001110110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110011000 +101010011000 +101010011001 +101010011000 +101010010111 +101110011000 +101110101001 +110010111001 +110010101001 +101110101001 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +101010000110 +101010000110 +101010000111 +101010000111 +101010010111 +101110010111 +110010100111 +110010101000 +110010101000 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110010111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100110000101 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100110000110 +100110000110 +100101110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +010101000100 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001000110011 +001000110011 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001100110011 +010000110011 +001000100010 +001000100010 +000100010010 +000100010010 +001000100010 +001100100010 +001100100010 +001100100011 +010001000101 +010001010110 +010001010111 +010001010110 +010001010110 +010001010110 +010101000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010000110011 +010000110011 +010101000100 +010101000101 +011001000101 +010101000100 +010000110011 +010000110011 +010001000100 +010001010110 +010001010110 +010001010110 +010001010110 +001101000100 +001100110011 +001000100010 +001000100010 +001000100001 +001000100010 +001000110011 +001100110100 +010001000100 +010001000100 +010001000101 +001101000101 +010001000101 +010001000101 +010101000101 +010001010101 +010001010101 +010001010110 +010001000101 +010001000100 +010001000100 +010101000011 +010001000100 +010001010101 +010001010110 +010101010101 +010101010100 +010101000100 +010101010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100110 +010101010101 +010101000011 +011001000100 +011001010101 +011101100110 +011101010101 +011001000011 +011001000100 +011001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001100111 +011001010101 +011001010101 +011001100110 +011001110111 +011001110111 +011101110111 +011101100110 +011101100101 +011001100110 +011001100110 +011001100110 +011101100101 +011101100100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100101 +011101100101 +011101100110 +011101110110 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101100110 +011101100110 +011101100101 +011101100101 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +011101110110 +011101110111 +100001110111 +100001110111 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001110101 +100001110110 +100010000110 +100110000111 +100110000111 +100110000110 +100110000111 +100110000111 +100110011000 +100110011000 +101010011000 +101010011000 +101010010111 +101110101000 +110010101001 +110010101001 +101110101001 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +101010000110 +101010000110 +101010000110 +101010000110 +101010010111 +101110010111 +110010100111 +110010100111 +101110100111 +101110010111 +101010010111 +101110010111 +101110100111 +101110100111 +101110010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100110000101 +100110000110 +100110000110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001100110010 +001000100010 +001000010010 +000100010010 +000100010010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110100 +010001000110 +010001010110 +010001010110 +010001000101 +010001000101 +010001000101 +010001000101 +010001010110 +010001010110 +010001100111 +010101010111 +010101010110 +010101010110 +010101010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010000110100 +010000110011 +010000110011 +010101000100 +010101000101 +010101000100 +010000110011 +010000110011 +010001000101 +010101010110 +010101100111 +010101100111 +010101100111 +010001010110 +010001010101 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001000100 +010101000100 +010000110011 +001100110100 +001101000100 +001101000100 +010001000100 +010101000100 +010001000101 +010001010101 +010001010101 +010001000101 +010001000100 +010000110011 +010101000011 +010101000101 +010101010110 +010101100110 +010101100110 +011001010110 +010101010101 +010101010110 +010101100111 +011001100111 +011001110111 +011001110111 +011001100111 +010101010101 +010101000011 +011001000100 +011001010101 +011101100110 +011101010101 +011001000011 +011001000100 +011001010101 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001100111 +011101100110 +011101110111 +011101111000 +011001111000 +011101110111 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101111000 +011101110111 +011101110111 +011101100110 +011101100101 +011101100100 +011101100101 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101100110 +011101100110 +011101100101 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +011101110110 +011101110111 +100001110111 +100001110111 +011101110110 +011101100101 +011101100101 +011101110110 +100001110110 +100001110110 +100001100110 +100001100110 +100001100110 +100001100110 +011101110110 +100001110110 +100001110101 +100001100100 +100001100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000110 +100110000110 +100110000111 +100110000111 +100110011000 +100110011000 +100110010111 +100110010111 +101010011000 +101110101000 +110010101001 +110010101001 +101110101000 +101010011000 +101010011000 +101010011000 +101010011000 +101010010111 +101010010111 +100110010111 +101010011000 +101010011000 +101010011000 +101010010111 +101010000111 +101010000111 +101010000110 +101010000110 +101010000110 +101010000110 +101010010111 +101110010111 +101110100111 +110010100111 +101110100111 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100110000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100110000110 +100110000110 +100010000110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010011 +010101010011 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001101000011 +001101000011 +001101000011 +001100110011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001100110010 +001100110011 +001000110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000110010 +000100010001 +000100010010 +000100010010 +000100010001 +001000100010 +001100100010 +001100100001 +001100100010 +001100110100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000101 +010001010110 +010001010110 +010001010111 +010101010110 +010101010110 +010101010110 +010101010110 +010101010111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010000110100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000011 +010000110011 +010000110011 +010001000101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010101010101 +010101000100 +010000110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +010000110011 +010101000011 +010101010101 +010101100110 +010101100111 +011001100111 +011001100111 +010101100110 +010101100110 +011001100111 +011001100111 +011001111000 +011001111000 +011001101000 +011001010110 +010101000100 +011001000011 +011001010101 +011101010101 +011101010100 +011001000011 +011001000011 +011001010101 +011001110111 +011101111000 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101100110 +011101100101 +011001100110 +011001100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101100100 +011101100100 +011101100101 +011101110111 +011101110111 +100010001000 +100001110111 +100001110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101110111 +011101110111 +011101100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +100001110111 +100010001000 +100010001000 +100010000111 +100001110110 +011101100101 +011101100101 +011101100110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001100100 +100001100100 +100001100101 +100001110110 +100010000110 +100010000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +100110011000 +100110011000 +101010011000 +101010011000 +101110101001 +110010101001 +101110101001 +101010011000 +101010010111 +100110000111 +100110011000 +101010011000 +100110000111 +100110000111 +100110000111 +100110010111 +101010011000 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +101010000110 +101010010111 +101110010111 +101110100111 +110010100111 +110010100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110100111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110110 +100101110101 +100001110101 +100001110101 +100001110101 +100110000110 +100010000110 +100001110110 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101100100 +011001010100 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001100110010 +001100110010 +001100110010 +001101000010 +001101000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110011 +001000110011 +001000110011 +001000110010 +001000110010 +001000100010 +001000100010 +001000110010 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001100110011 +001000110011 +001000110010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001100100010 +001100100010 +001100100010 +010000110011 +010001000100 +010001000101 +010001000101 +001101000100 +010000110100 +010001000100 +010001000101 +010001000101 +010001010110 +010001010110 +010001010101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010000110100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000011 +010100110011 +010000110011 +010001000101 +010001010110 +010101100111 +010101100111 +010101100111 +010101010110 +010001010101 +010001000101 +010001010101 +010001010110 +010001010110 +010001010110 +010101010101 +010101000101 +010000110011 +010000110011 +010000110100 +010000110100 +010000110100 +010000110100 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010101000011 +010101000101 +010101010110 +010101100110 +010101100110 +011001100110 +011001100110 +010101010110 +010101100110 +010101100111 +010101100111 +011001100111 +011001100111 +010101010110 +010101000100 +010101000011 +011001010100 +011101010101 +011101010100 +011001000011 +011001000011 +011001010101 +011001100111 +011001111000 +011001111000 +011001111000 +011101110111 +011101110111 +011101100111 +011101110111 +011001110111 +011101110111 +011101110111 +011101100101 +011001100101 +011001010101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011101110110 +011101100110 +011101100110 +011101100101 +011101010100 +011101100100 +011101100101 +100001110111 +011110001000 +011110001000 +100001110111 +100001110111 +011101110111 +011101110111 +100010001000 +100010001000 +011110000111 +100001110111 +100001100110 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +100001110111 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001100101 +011101100101 +011101100110 +100001110110 +100001110101 +100001100101 +100001100100 +100001100100 +100001110101 +100101110110 +100110000111 +100110000111 +100110000111 +100110000111 +100110001000 +100110011000 +100110011000 +101010011001 +101010011000 +101010011000 +101110101001 +101110101001 +110010101001 +101110101001 +101010010111 +100110000111 +100110000111 +101010011000 +101010011000 +101010011000 +100110000111 +100110000111 +101010011000 +101010011000 +101010010111 +101010010111 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +101010000110 +101110010111 +101110010110 +101110010111 +101110010111 +101110100111 +101110100111 +101110100110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110110 +100101110101 +100001110101 +100001110101 +100001110101 +100010000110 +100110000110 +100010000110 +100001110110 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001000110011 +001000110010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100011 +001000100010 +000100100011 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001100100010 +001100100010 +001100110011 +010001000101 +010001010101 +010001000101 +001101000101 +010001000101 +010001000101 +010001000101 +010001000101 +001101000101 +001101000101 +001101000100 +001100110100 +001100110100 +001100110100 +001101000100 +001101000101 +010001010110 +010001010110 +010001010110 +001101000101 +010000110100 +010000110011 +010100110011 +010101000100 +010101000101 +010101000100 +010100110011 +010000110011 +010001000100 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +001101000100 +001100110011 +010000110100 +010001000100 +001101000100 +010001000101 +010001010101 +010101000101 +010101000100 +010001000100 +010001000100 +010001000101 +010001000101 +010101000100 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000011 +010001000100 +010101000101 +010001010101 +010101010101 +010101010101 +010101000100 +010101000100 +010001000101 +010001010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101000011 +011001000011 +011001010100 +011001010100 +011001010100 +011001000011 +011001000011 +011001010101 +011001010110 +010101100111 +010101100110 +011001100111 +011001100111 +011001100110 +011001100101 +011001100110 +011001100110 +011001100111 +011001100110 +011101100101 +011101010101 +011001010101 +011001010101 +011101100101 +011101100101 +100001100101 +011101100101 +011101100110 +011101100110 +011101100110 +011001100101 +011101100101 +011101100101 +011101010100 +011101100100 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001110110 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100100 +100001100100 +100001110101 +100101110110 +100110000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +101010011000 +101010011001 +101010101001 +101110101001 +101110101001 +101110101001 +101110101001 +101110011000 +101010000111 +100110000111 +100110000111 +101010011000 +101010011000 +101010011000 +100110000111 +101010010111 +101010011000 +101010011000 +101010010111 +101010000111 +101010000110 +100110000110 +100110000110 +100110000110 +100110000110 +101010000110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100110000110 +100001110101 +100001110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100110000110 +100110000110 +100001110110 +100001110110 +100001110101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +001000100001 +001000100001 +001100100010 +001100110011 +001101000101 +001101010101 +010001010101 +001101010101 +010001000101 +010001000101 +010001000101 +010001000101 +001101010101 +001101000101 +001101000101 +010000110100 +001100110100 +001100110100 +001101000100 +001101000101 +010001010110 +010001010110 +010001010110 +010001000101 +010000110100 +010000110011 +010000110100 +010101000101 +010101010101 +010101000101 +010101000011 +010000110011 +010001000100 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001100110100 +001100110011 +010000110011 +001100110011 +001100110100 +001101000101 +010001010101 +010101000101 +010101000101 +010001000101 +010001000101 +010001000101 +010001000101 +010101000101 +010001000100 +001100110100 +001100110100 +001100110011 +001100110011 +010000110011 +010000110011 +010001000100 +010001000101 +010001000101 +010001000100 +010101000100 +010101000100 +010001000100 +010001000101 +010001010101 +010101010110 +010101010110 +010101010101 +010101000100 +010101000011 +010101000011 +011001010100 +011001010101 +011001010100 +011001000011 +011001000011 +011001010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101010110 +011001010101 +011001010100 +011001010101 +010101010110 +010101010110 +011001100110 +011001100110 +011001010101 +011001010101 +011001010101 +011101100101 +011101100110 +100001100101 +100001100110 +011101100110 +011101100110 +011001100110 +011001100101 +011001100101 +011101100101 +011101100100 +011101100100 +011101100101 +011101110110 +011101110111 +011101110111 +011101100110 +011101100101 +011101100110 +011101110110 +011101110110 +011101100110 +011001100110 +011101100110 +011101100101 +011101100100 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +011101100101 +011101110110 +011101100110 +011101110110 +011101110101 +011101100101 +011101100101 +011101110110 +100001110110 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +101010011000 +101010011000 +101110101001 +101110101001 +101110101001 +101110101000 +101010010111 +101010000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +101010000111 +101010011000 +101010011000 +100110010111 +101010000111 +100110000110 +100110000110 +100101110110 +100110000110 +100110000110 +101010000110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100110000110 +100001110101 +100001110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100110000110 +100110000111 +100001110110 +100001110101 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000010001 +000000010001 +000000000001 +000000000000 +000100010001 +001000010001 +001000100001 +001100100010 +001100110011 +001101000100 +001101000101 +010001010101 +001101010101 +010001000101 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010001000101 +010001000101 +010001010110 +010001010111 +010101100111 +010101100111 +010101100111 +010001010110 +010001000100 +010000110011 +010000110100 +010101000101 +010101010101 +010101000101 +010101000011 +010000110011 +010001000100 +010001010110 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000100 +010001000100 +001101000100 +001101000101 +010001010101 +010001010101 +010101010101 +010001000100 +001101000100 +001101000101 +001101000101 +010001000101 +010001000100 +010000110100 +001100110100 +001100110100 +001100110100 +001100110011 +010000110011 +010000110011 +010101000100 +010101010101 +010101010101 +010101010110 +010101010101 +010101010101 +010101010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010101 +010101000100 +010101000100 +011001010101 +011001010101 +011001010101 +011001010100 +011001000100 +011001010101 +011001100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001010110 +011001010101 +011001010101 +011001100110 +011001100110 +011001100111 +011101100110 +011101100101 +011001010101 +011001100101 +011001100110 +011101100110 +100001100101 +011101100101 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011101100101 +011101100100 +011101100100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110110 +011101100101 +011101100101 +011101100110 +011101110111 +011101110110 +011001100110 +011101100110 +011101100101 +011101100100 +100001100101 +100001100100 +100001100100 +100001100100 +011101100101 +011101100101 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101110110 +011101110110 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100100 +100001100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000111 +100110000110 +100110000111 +100110011000 +100110011000 +100110011000 +101010011000 +101110101000 +101110101001 +101110101001 +101110011000 +101010000111 +100110000110 +100110000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +101010011000 +101010011000 +100110010111 +101010000111 +100110000110 +100110000110 +100101110101 +100101110101 +100110000110 +101010000110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +100101110110 +100001110101 +100001110101 +100001110101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100101110110 +100110000111 +100010000110 +100001110101 +100001110101 +100001110101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +010101010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001100110011 +001000110011 +001000110011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000100010001 +001000010001 +001000100001 +001100100010 +001100100011 +001100110100 +001101000100 +010001000101 +010001000101 +010001000100 +010000110100 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010001000100 +010000110011 +010000110011 +010101000100 +011001000101 +010101000100 +010101000011 +010000110011 +010001000100 +010101010110 +010101100111 +010101111000 +010101100111 +010101100111 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010101010110 +010101010101 +010001000100 +010000110011 +001100110100 +001100110100 +010000110100 +010000110100 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110011 +010000110011 +010101000101 +010101010110 +010101100111 +010101100111 +011001100111 +011001100110 +010101100110 +010101100111 +011001100111 +011001110111 +011001111000 +011001111000 +011001100110 +010101000100 +010101000011 +011001010100 +011001010101 +011101010101 +011001010100 +011001010100 +011001010101 +011001100111 +011001111000 +011101111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011101110111 +011101100110 +011101100101 +011001100101 +011001100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011001100110 +011101100110 +011101100101 +011101100100 +011101010100 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100101 +011101100100 +100001100100 +100001100101 +100001100100 +100001100100 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +100001110110 +100001110110 +100001110110 +100001110111 +100001110110 +100001110110 +100001110101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100100 +100001100100 +100001100101 +100001110101 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +101010011000 +101010011000 +101010011000 +101010101001 +101110101001 +101110101001 +101110101001 +101010011000 +101010000111 +100110000110 +100110000110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +100110010111 +101010000111 +101010000110 +100110000110 +100101110101 +100101110101 +100110000101 +101010000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100110000110 +100110000111 +100001110110 +100001110101 +100001110101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001100110100 +001100110100 +001100110100 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000000010001 +001000010001 +001000010001 +001000100010 +001100100010 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010000110100 +010001000100 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010101010110 +010001010110 +010001010110 +010001010110 +010001010111 +010101010111 +010001010111 +010001010110 +010001000100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110011 +010001000100 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010101010110 +010101010110 +010101010101 +010101000100 +010000110011 +001100110011 +010000110100 +010000110100 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +010000110010 +010000110011 +010101000101 +010101010110 +010101100111 +010101100111 +011001100111 +011001100111 +010101010110 +010101100110 +011001100111 +011001110111 +011001111000 +011001110111 +011001100110 +010101010100 +010101000011 +011001010100 +011001010101 +011101010101 +011101010100 +011001010100 +011001010101 +011001110111 +011001111000 +011101111000 +011101111000 +011101110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011101010100 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101111000 +011110001000 +011101110111 +011101100110 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +011101100101 +011101110110 +100010000111 +100010001000 +100010001000 +100001110111 +100001110111 +100001110111 +100010000111 +100001110111 +100001110110 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100100 +011101100100 +100001100100 +100001100100 +100001100101 +100001110110 +100110000111 +100110001000 +100110001000 +100110000111 +101010011000 +101010011000 +101010011001 +101010101001 +101110101010 +101110101010 +101110101001 +101110011000 +101010010111 +101010000110 +100110000110 +100110000110 +100110000111 +100110000111 +100110001000 +100110000111 +100110000111 +100110000111 +101010011000 +100110011000 +100110010111 +101010000111 +100110000110 +100110000110 +100101110101 +100101110101 +100110000101 +100110000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100110000111 +100010000110 +100001110110 +100001110101 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100010 +001000110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001100110100 +001100110100 +001100110100 +001100110100 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000000010001 +000100010001 +001000010001 +001000100001 +001100100010 +001100110011 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010000110100 +010001000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010000110100 +010000110011 +010000110011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110011 +010001000100 +010001010110 +010101100111 +010101100111 +010101100111 +010001010111 +010001000101 +010001000100 +010001000100 +010001000101 +010001010101 +010001010110 +010001010110 +010101010101 +010101000100 +010000110011 +001100110011 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +001100110011 +001100110011 +010000110011 +010000110011 +010101000101 +010101010110 +010101100110 +010101100111 +010101100110 +010101010101 +010101000101 +010001010101 +010101010110 +010101100110 +010101100110 +010101100110 +010101010101 +010101000100 +010101000011 +011001010100 +011001010101 +011101010101 +011101010100 +011001010100 +011001010101 +011001100110 +011001110111 +011001110111 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011101110111 +011101110110 +011101100110 +011001100101 +011001010101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101100101 +011101100101 +011101010101 +011101010101 +011101010100 +011101010011 +011101100100 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101100100 +011101100100 +100001100100 +100001100100 +011101100100 +011101100101 +011101110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100100 +100001100100 +100001100101 +100101110110 +100110000111 +100110000111 +100110001000 +100110000111 +100110001000 +101010011000 +101010101001 +101110101001 +101110101010 +101110101010 +101110101001 +101010011000 +101010000111 +100110000110 +100110000101 +100110000110 +100110000111 +100110001000 +101010011000 +101010011000 +100110000111 +100110000111 +101010011000 +101010011000 +100110010111 +101010000111 +100110000110 +100101110101 +100101110101 +100101110101 +100101110101 +100110000101 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +011001010100 +010101010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101010100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000100 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001000110011 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001100110100 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000000000000 +000100010001 +001000010001 +001100010001 +001100100010 +001100110011 +001101000100 +001101000101 +001101000100 +001101000100 +010001000100 +010001000100 +010001000101 +010001000101 +001101000101 +001101000101 +010001000100 +010000110100 +001100110100 +001100110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001100110100 +010000110011 +010000110011 +010101000100 +010101000101 +010101000101 +010101000100 +010100110011 +010001000100 +010001010110 +010101100111 +010101100111 +010101100111 +010001010110 +001101000100 +001100110011 +010000110011 +010001000101 +010001010110 +010001010110 +010101010110 +010101010110 +010101000100 +010001000100 +001101000100 +001101000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +001100110100 +001100110011 +010000110011 +010000110011 +010101000100 +010101010110 +010101100110 +010101100110 +010101010110 +010101000100 +010000110011 +010001000100 +010001000101 +010001010110 +010001010110 +010001010110 +010101010101 +010101000100 +011001000100 +011001010100 +011101010101 +011101010101 +011101010100 +011001010100 +011001010101 +011001100110 +010101100111 +010101100111 +010101110111 +010101100110 +011001100110 +011001100101 +011001100101 +011001100110 +011001110111 +011101110111 +011101110110 +011101100110 +011001100101 +011001010101 +011001100101 +011101100101 +011101100101 +100001100101 +011101100101 +011101100110 +011101100110 +011001010101 +011001010101 +011101010100 +011101010011 +011101010011 +011101010100 +011101100101 +011101100110 +011001100110 +011101100101 +011101100101 +011101100110 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011101100101 +011101100100 +011101100100 +011101100100 +100001100100 +011101100100 +011101100100 +011101100110 +011101110111 +011101110111 +011101110111 +011101100110 +011101100101 +011101100101 +011101110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100100 +100001100100 +100001100101 +100001110110 +100110000110 +100010000111 +100110000111 +100110000111 +100110000111 +101010011000 +101010101001 +101110101010 +101110101010 +101110101010 +101110011001 +101010010111 +100110000110 +100110000110 +100110000101 +100110000110 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110011000 +100110010111 +100110000111 +100110000110 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +101010000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101010010110 +101010010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +011101100101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010100 +010101010100 +010101010100 +010101010011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +001000100001 +000000000001 +000000000001 +000000000001 +000000000001 +000000000000 +000100010001 +001000010001 +001100100001 +001100100010 +001100110011 +001100110100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001000101 +010001000101 +010001000101 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010000110011 +010000110011 +010101000100 +010101000101 +010101000101 +010101000100 +010100110011 +010001000100 +010001010110 +010101101000 +010101101000 +010101101000 +010001010110 +001101000101 +001100110100 +010001000100 +010001010101 +010101010110 +010101100111 +010101100111 +010101010110 +010101000101 +010001000100 +001101000100 +001101000101 +010001000100 +010001000101 +010001000101 +010001000101 +001101000101 +001101000100 +001100110011 +001100110011 +010000110011 +010101000100 +010101010110 +010101100111 +010101100111 +010101010110 +010101010101 +010001000100 +010001000100 +010001010101 +010101100110 +010101100111 +010101100111 +010101010110 +010101010100 +010101000100 +011001010100 +011101100101 +011101100101 +011101010101 +011001010100 +011001010101 +011001100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +011001100110 +011101110110 +011101110111 +011001111000 +011101110111 +011101100110 +011101100110 +011001010101 +011001010101 +011001100110 +011101100110 +100001100101 +100001100101 +011101100110 +011101100110 +011001100110 +011001010101 +011001010101 +011001010101 +011101010100 +011101010011 +011101010100 +011101100110 +011001110111 +011001100111 +011101100110 +011101100101 +011101100101 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101100101 +011101100101 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100110 +011101110111 +011101111000 +011101110111 +011101100110 +011101100101 +011101100101 +011101110110 +100001110111 +100001110110 +100001110101 +100001100101 +011101100101 +011101100110 +011101100101 +011101100101 +011101100101 +100001100101 +100001100100 +100001110101 +100001110101 +100110000110 +100010000111 +100001110110 +100110000110 +100110000111 +101010011000 +101010101001 +101110101010 +101110101010 +101110101010 +101010011000 +101010000111 +100110000110 +100110000110 +100101110110 +100101110110 +100001110110 +100010000111 +100110000111 +100110000110 +100110000110 +100110000110 +100110000111 +100110011000 +100110000111 +100110000111 +100110000110 +100101110101 +100001110101 +100101110101 +100101110101 +100101110101 +101010000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101110010110 +101110010101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +011101100101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000010001 +001000100001 +001100100010 +001100100011 +001100110100 +001100110100 +001100110100 +001100110100 +001101000100 +010001000101 +010101010110 +010001100111 +010101100111 +010101100111 +010001010111 +010001010110 +010001010110 +010001010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010111 +010001000101 +010000110011 +010000110010 +010101000011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110100 +010101010110 +010101101000 +011001111000 +011001111000 +010101100111 +010001010110 +010001010101 +010001010101 +010101010110 +010101100111 +010101100111 +010101100111 +010101010110 +010101000101 +010000110100 +001100110100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110100 +001100110011 +001100110011 +010000110011 +010101000100 +010101010101 +010101100111 +010101100111 +010101100111 +010101010110 +010101010110 +010101100110 +010101100111 +011001110111 +011001111000 +011001111000 +011001100111 +011001010101 +010101000100 +011001010100 +011001010101 +011101100101 +011101010100 +011001010100 +011001010101 +011001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011101111000 +011001111000 +011101111000 +011101110110 +011101100110 +011001100101 +011001100110 +011001100110 +011101100110 +011101100101 +100001100101 +011101100101 +011101100110 +011101100110 +011001010101 +011001010101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100110 +011101110111 +011101111000 +100010001000 +100001111000 +100001110111 +100001100110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100101 +011101100110 +100001110111 +100001111000 +100001110111 +100001110111 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100100 +100001110101 +100001110110 +100110000111 +100010000111 +100010000111 +100110000111 +100110000111 +101010011001 +101110101001 +101110111010 +101110111010 +101110101010 +101010011000 +100110000111 +100110000110 +100101110110 +100101110101 +100101110101 +100001110110 +100110000111 +100110000111 +100110000111 +100110000110 +100110000111 +100110000111 +100110011000 +100110000111 +100110000110 +100110000110 +100101110101 +100001110100 +100001110101 +100001110101 +100101110101 +100110000101 +101110010110 +101110010101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +100110000101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001110101 +100001100101 +011101100101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +001000010001 +001000100001 +001000100010 +001100100011 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010001000101 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010001010110 +010001010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010111 +010001000101 +010000110011 +010000110010 +010100110011 +010101000100 +010101000100 +010101000011 +010100110011 +010000110011 +010001010110 +010101100111 +011001111000 +011001111000 +010101100111 +010101100111 +010001010110 +010001010101 +010101010110 +010101100110 +010101100110 +010101100110 +010101010110 +010101000100 +010000110011 +010000110100 +001100110100 +010001000100 +010000110100 +010000110100 +010000110100 +010001000100 +010000110100 +001100110011 +001100110010 +010000110010 +010001000011 +010001000100 +010101010110 +010101100111 +011001100111 +010101100110 +010101100110 +010101100110 +011001100111 +011001111000 +011001111000 +011001111000 +011001100111 +011001010101 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001110111 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101100101 +011001010101 +011001010101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101010101 +011101100101 +011101100101 +100001100110 +100001100110 +100001110110 +011101110111 +100001110110 +011101100110 +011101110110 +011101100110 +011101100110 +011101110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100101 +011101100110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001110101 +100001110110 +100010000111 +100110000111 +100110000111 +100110000111 +100110011000 +101010101001 +101110101010 +101110111010 +101110111010 +101110101001 +101010011000 +100110000110 +100101110110 +100101110101 +100101110101 +100101110110 +100110000111 +100110001000 +100110001000 +100110001000 +100110000111 +100110000111 +100110011000 +100110011000 +100110000111 +100110000111 +100110000110 +100001110101 +100001110100 +100001110100 +100001110101 +100001110100 +100101110100 +101010000101 +101010010101 +101010000101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +101010000110 +101010000110 +101010000110 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100001110101 +100001100101 +011101110101 +011101110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +010000110010 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +001000010001 +001000010001 +001100100010 +001100110011 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101000100 +010000110011 +010000110011 +010100110011 +010101000100 +010101000100 +010101000100 +010100110011 +010000110011 +010001000101 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +010001010101 +010001000101 +010001000101 +010001010101 +010001010110 +010001010110 +010101010110 +010101000101 +010000110100 +001100110100 +001101000100 +001100110100 +001100110011 +010000110011 +010000110011 +010000110100 +010000110100 +001100110011 +001100100010 +001100110010 +010000110010 +010001000011 +010001000100 +010101010101 +010101100110 +011001100110 +010101010101 +010101010110 +010101100110 +011001100111 +011001100111 +011001100111 +011001100110 +010101010101 +010101000011 +010101000011 +010101010100 +011001010100 +011001010011 +011001010011 +011001010101 +011001100111 +011101111000 +011110001000 +011110001000 +011001110111 +011001110111 +011001100110 +011001100110 +011001110111 +011001110111 +011101110111 +011101100111 +011101100101 +011101010101 +011101010101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101100101 +011101100101 +100001100101 +100001100110 +100001100110 +011101100110 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001110110 +100010000111 +100110000111 +100110000111 +100110001000 +101010011000 +101010101001 +101110101010 +101110101010 +101110101001 +101010011001 +101010000111 +100110000110 +100101110110 +100101110101 +100101110101 +100101110110 +100110000111 +100110000111 +100110001000 +100110000111 +100110000111 +100110000111 +100110011000 +100110011000 +100110000111 +100110000110 +100101110101 +100101110101 +100001100100 +100001110100 +100001110100 +100001110100 +100101110100 +100101110101 +101010010101 +101110010101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +101010000101 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100100 +100001100100 +100001100101 +100001110101 +100001110101 +011101100101 +100001110101 +100001110101 +100001100101 +100001110101 +100001100101 +011101100101 +011101100101 +011101110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001100110011 +010000110011 +001100110011 +001000110010 +001100110011 +010001000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +001000010001 +001000010001 +001100100010 +001100110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001000101 +001101000100 +001101000100 +001101000100 +010001000101 +010001000101 +010001000100 +001101000101 +010001010110 +010001010110 +010001010110 +001101000101 +010001010101 +001101000100 +010000110011 +010000110011 +010101000011 +010101000101 +011001010101 +011001000100 +010100110011 +010000110011 +010001000101 +010101010110 +010101100111 +010101100111 +010101100111 +010001010110 +001101000100 +010000110100 +010001000101 +010001010101 +010001010110 +010001010110 +010101010110 +010101000101 +010001000100 +001101000100 +001101000101 +001101000100 +001100110011 +001100110011 +010000110100 +001101000100 +001101000100 +001100110100 +001100110011 +010000110011 +010000110011 +010001000100 +010001000100 +010001010101 +010101010101 +010101010101 +010101010100 +010101010101 +010101100110 +011001100111 +011001100111 +011001100111 +011001100110 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010101 +011001100110 +011101111000 +011101111000 +011101111000 +011001110111 +010101100101 +010101010101 +011001100110 +011001100110 +011001100111 +011001100111 +011101100110 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +011101100101 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100100 +011101100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000111 +100110000111 +101010011000 +101010101001 +101010101001 +101010101001 +101010011000 +100110011000 +100110000110 +100101110110 +100101110110 +100101110110 +100101110101 +100101110110 +100101110110 +100001110111 +100010000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100101110101 +100101110101 +100001110100 +100001100100 +100001110100 +100001110100 +100001110100 +100101110100 +100110000101 +101010000101 +101110010110 +101110010110 +101110010101 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +100110000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001110101 +011101100101 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101110101 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +010101010011 +010101010011 +010101010100 +010101010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +001000100010 +001100110011 +010000110011 +001100110011 +001100110011 +010000110011 +010101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +001000010001 +001000010001 +001000100010 +001100110011 +001101000101 +001101000101 +001101000110 +001101000110 +010001010110 +010001000110 +010001000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001000110 +010001000101 +010001010110 +010001010111 +010001010111 +010001010111 +010001010110 +010001010110 +010001000101 +010000110100 +010000110011 +010101000100 +010101010101 +011001010110 +011001000101 +010101000011 +010000110011 +010001000101 +010101010111 +010101100111 +010101100111 +010101100111 +010001010110 +010001000101 +010001000101 +010001000101 +010001010110 +010001010110 +010001010110 +010101010110 +010101010110 +010101010101 +010001010101 +010001010110 +010001010101 +010001000101 +010001000100 +010001000100 +001101000100 +001101000100 +001101000100 +010001000100 +010001000100 +010101000100 +010101000101 +010001000101 +010101010101 +010101010101 +011001010101 +010101010101 +010101010101 +010101100110 +011001100111 +011101100111 +011001100111 +011001100110 +011001010100 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101010011 +011001010101 +011001100110 +011101110111 +011101111000 +011101111000 +011001100111 +011001100101 +011001010101 +011001100101 +011001100110 +011001100110 +011101100111 +011101100110 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001010100 +100001010100 +100001010100 +011101010100 +011101010011 +011101010100 +100001010100 +100001100100 +100001100100 +100001100100 +100001010100 +100001010100 +100001010100 +100001010100 +100001010100 +100001010100 +100001010100 +100001100100 +100001100100 +100001010100 +100001010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100101100100 +100101100101 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100001100100 +011101100100 +011101100100 +011101100100 +100001100101 +100001110101 +100001110110 +100010000111 +100110000111 +100110000111 +101010011000 +101010101001 +101010101001 +101010011001 +101010011000 +100110000111 +100101110110 +100101110110 +100101110110 +100101110110 +100101110101 +100101110110 +100001110110 +100001110111 +100001110111 +100001110111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100101110110 +100001110101 +100001100100 +100001100100 +100001100100 +100001110100 +100001110100 +100001110100 +100101110100 +100101110101 +101010000110 +101010010110 +101110010101 +101110010110 +101110010110 +101010010110 +101110010110 +101010010111 +101010010111 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010110 +101010000110 +101010000101 +100101110101 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001110101 +100001110101 +011101100101 +100001100100 +100001100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +100001110101 +100001110110 +100001110110 +100001110110 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001000011 +010101000011 +010101010011 +010101010011 +010101010100 +010101010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000110011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100011 +001000100010 +001100110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101010100 +000100010001 +000100010001 +000000000000 +000000010000 +000000010000 +000100010001 +000100010001 +001000100001 +001000100010 +001100110100 +001101000101 +010001010110 +010001010111 +010101010111 +010101100111 +010101100111 +010101100111 +010001100111 +010001010111 +010001010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101101000 +010101101000 +011001101000 +011001101000 +010101101000 +010101100111 +010101010110 +010101000101 +010101010110 +010101010110 +011001010110 +010101010101 +010101000100 +010101000100 +010101010110 +010101100111 +011001111000 +011001111000 +011001101000 +010101100111 +010101100111 +010101010111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010110 +010001010101 +001101000100 +001101000011 +010001000100 +010101000100 +010101000100 +010101000101 +011001010101 +011001010110 +011001010110 +011001010110 +011001010101 +011001010101 +011001010101 +011001100110 +011101100111 +011101100111 +011101100111 +011101100110 +011001010101 +010101000011 +010001000011 +010001000011 +010001000011 +010101010011 +010101010100 +011001010100 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100100 +100001100100 +100001100101 +100001110101 +100001110110 +100001110110 +100010000111 +100010000111 +100110000111 +101010010111 +101010011000 +101010101001 +101010101001 +101010011000 +101010011000 +100110000111 +100101110110 +100101110110 +100101110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001110111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000111 +100110000110 +100110000110 +100101110110 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100101110100 +100101110101 +101010000101 +101110010101 +101110010110 +101110010110 +101010000110 +101110010110 +101010010111 +101010010111 +101110010110 +101110010110 +101110010110 +101110010110 +101010010110 +101110010110 +101010000110 +101010000101 +100101110101 +100001110100 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001110101 +011101100100 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000110010 +001000110011 +001100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100011 +001000110011 +010000110011 +010101000100 +010101010100 +010101010100 +010101000011 +010101010011 +001100100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +001000110011 +001101000101 +010001010110 +010001010111 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +011001111000 +011001111000 +010101111000 +010101101000 +010101100111 +010101010111 +010101010111 +010101100111 +010101100111 +010101010110 +010101000101 +010001000101 +010001010110 +010101010110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101010111 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010101 +010001000100 +001101000011 +010001000011 +010001000011 +010101000100 +010101000101 +011001010110 +011001100111 +011001100111 +011001100111 +011001010101 +011001010101 +011001010110 +011101100110 +011101100111 +011101100111 +011101100111 +011101100110 +011001010101 +010101000011 +010001000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010101 +011101100111 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100100 +100001100100 +100001100101 +100001110110 +100001110110 +100110000111 +100110000111 +100110000111 +101010011000 +101010011000 +101010101001 +101110101001 +101010101001 +101010011000 +101010011000 +100110000111 +100110000111 +100110000110 +100101110110 +100101110110 +100101110110 +100101110110 +100101110110 +100101110110 +100110000111 +101010001000 +101010011000 +101010011000 +101010011000 +101010001000 +100110001000 +100110000111 +100101110110 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100101110100 +101010000101 +101110010101 +101110010110 +101010000110 +101010000110 +101110010110 +101010010111 +101110010110 +101110010110 +101110010110 +101010010110 +101010000101 +101010000101 +101010000101 +100101110101 +100001110101 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100101 +100001110101 +011101100100 +011101100100 +011101100101 +011101100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110011 +001000100010 +001000100011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +001000110011 +010001000011 +010101010100 +010101010100 +010101010100 +010001000011 +010101000011 +010000110011 +010000110011 +001100100010 +001000100010 +000100010001 +000000010001 +000100010001 +000000010001 +000100100010 +001000110011 +001000110100 +001101000101 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001100110100 +001100110011 +001100110100 +001101000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010101010101 +010001010101 +010001010101 +010001000101 +010001010101 +010001000101 +001101000011 +001100110010 +001100110011 +010001000011 +010101000100 +010101010101 +011001100110 +011001100111 +011001100111 +011001100110 +011001010110 +011001010101 +011001010110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +010101010100 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +011001010100 +011101100111 +011101111000 +011110001000 +011110001000 +100010001000 +011101111000 +011101110111 +011101100110 +011101100101 +011101100101 +011101100101 +011101010101 +011101010101 +011101100101 +100001100101 +100001100100 +100001100100 +100001100100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100100 +011101100100 +011101100100 +100001110101 +100001110110 +100110000111 +100110000111 +100110001000 +101010011000 +101010011001 +101110101001 +101110101001 +101010011000 +101010011000 +100110001000 +100110000111 +100110000111 +100001110110 +100001110110 +100001110110 +100101110110 +100001110110 +100001110101 +100001110101 +100101110110 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110000111 +100101110110 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100101110100 +101010000101 +101010000101 +101010000101 +101010000101 +101010010110 +101110010110 +101010010110 +101110010110 +101010010110 +101010000110 +101010000101 +100110000101 +100101110101 +100001110101 +100001110101 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +100001110110 +100001110110 +100001110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000110010 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001000011 +010101010100 +010101010100 +010001000011 +010001000011 +010101010011 +010101000100 +010101000011 +010000110011 +001100100010 +001000100001 +000100010001 +000000010000 +000000010001 +000100010001 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001000110100 +001000110100 +001100110100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000101 +001101000100 +001101000100 +001100110100 +001100110100 +001100110100 +001101000101 +001101000101 +001101000100 +001000100011 +000100010001 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001000110011 +001100110011 +001100110011 +001100110010 +001100110011 +010001000011 +010001000100 +010101010101 +010101010110 +010101010110 +010101010110 +010101010110 +011001010110 +011001010110 +011001100110 +011001100111 +011001100111 +011001100111 +011001110111 +011001100111 +011001100110 +011001010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +011001100110 +011001110111 +011001111000 +011001111000 +011101111000 +011101110111 +011101110111 +011101100111 +011001100110 +011001100110 +011001100101 +011101010101 +011101010100 +011101010100 +011101010100 +011101010100 +100001100100 +100001100100 +100001100100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010100 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100101 +011101100100 +011101100100 +011101100101 +100001110110 +100001110111 +100110000111 +100110000111 +100110011000 +101010011001 +101010011001 +101010011000 +100110011000 +100110000111 +100110000111 +100110000111 +100001110111 +100001110110 +100001110110 +100001110101 +100001110110 +100001110101 +100001100100 +100001100100 +100001110110 +100001110110 +100001110111 +100101110111 +100110000111 +100001110111 +100101110111 +100110000111 +100101110110 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +011101100011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100101110101 +100110000101 +100110000101 +101001110101 +101010000101 +101010000101 +101010000101 +101010000101 +101010000101 +100110000101 +100101110101 +100101110101 +100001110100 +100001110100 +100001110100 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101110101 +100001110110 +100001110110 +011101100101 +011101100101 +011101100101 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001000011 +010101010100 +010101010100 +010101010100 +010101000100 +010101010011 +010101000100 +010101000100 +010000110011 +001100110010 +001000100010 +000100010001 +000100010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000110011 +001000110011 +001100110100 +001100110100 +001100110100 +001000110100 +001000110100 +001000110100 +001000110100 +001100110100 +001100110100 +001000110011 +001000110100 +001101000100 +001101000101 +001101000100 +001000100011 +000100100010 +001000100010 +001000110011 +001100110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +010000110100 +010000110100 +010000110011 +001100110011 +001000110011 +001100110011 +001100110011 +001100110010 +001100110011 +010001000100 +010001000100 +010001000100 +010101010101 +010101010101 +010001010101 +010001010101 +010101010110 +011001100110 +010101100110 +010101100110 +010101100111 +010101100111 +011001100111 +011001100111 +011001100110 +011001100110 +010101010101 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010101010101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +011101010101 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +100001100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +100001010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010011 +011001010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100001110101 +011101100110 +011101110110 +100001110110 +100001110111 +100010000111 +100110000111 +100110001000 +100110011000 +101010011000 +101010011001 +100110011000 +100110000111 +100010000111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110110 +100001110110 +100001110111 +100101110111 +100001110111 +100001110111 +100001110111 +100101110111 +100101110110 +100001110110 +100001100101 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100001110101 +100001110100 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110100 +100001110100 +100001100100 +100001110101 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011001100101 +011101100101 +011101110110 +100001110110 +011101110101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000100 +010001000100 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +000100100010 +000100010010 +000100010010 +000100100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +000100100010 +000100100010 +001000110011 +010001000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010100110011 +010101000100 +010000110011 +001100110010 +001000100010 +000100100001 +000100010001 +000000010001 +000000010001 +000100010010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000110011 +001000110011 +001000110100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +001101010101 +001101000101 +001101000100 +001100110100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001000101 +010001000101 +010001000101 +001101000101 +001101000100 +001101000100 +001101000011 +001101000011 +001101000100 +010001000101 +010001000101 +010001010101 +010001010101 +010101010101 +010001010110 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +011001100111 +011001100111 +011001100111 +010101100110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100110 +011001100111 +011001100111 +011001110111 +011001110111 +011001100110 +011101010101 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +100001100100 +100001100100 +011101100100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +100001010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010100 +011101010011 +011101010100 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110110 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100110000111 +100110001000 +100110001000 +101010011000 +101010011001 +101010011000 +100110001000 +100110000111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100010000111 +100110000111 +100110000111 +100001110111 +100101110111 +100110000111 +100101110111 +100001110110 +100001110101 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001100100 +100001100100 +100001110100 +100001110100 +100001110101 +100001110101 +100001110101 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011001100100 +011001100100 +011101100101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +010101000100 +010101000100 +010001000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000100 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +010000110010 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100010 +000100100010 +001100110011 +010001000011 +010101010100 +010101010100 +010001000011 +010001000011 +010101000011 +010000110011 +010000110011 +010000110011 +001100100010 +001000010001 +000100010001 +000100010001 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001100110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +010001010101 +010001000101 +010001000101 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001010101 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010101 +010001000101 +001101000101 +001101000100 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001010101 +010001010101 +010101010110 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101100101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010110 +011001010101 +011001010101 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +100001010100 +100001100100 +100001100100 +100001010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101010100 +100001100100 +100001100100 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100101100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110110 +100101110110 +100001110110 +100001110110 +100001110111 +100001110111 +100010000111 +100010000111 +100110000111 +100110001000 +100110001000 +100110001000 +100110000111 +100110000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100001110110 +100001110101 +100001100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011101100100 +011101100101 +011101110110 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +000100100010 +000100100010 +001100110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010011 +001100100010 +001100100010 +001100100010 +001000100001 +000100010001 +000100010001 +000000010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110100 +001100110100 +001100110100 +001000110100 +001000110011 +001100110100 +001101000100 +001101000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001101000100 +001101000100 +001100110100 +001100110011 +001100110011 +001100110100 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010100 +010101010101 +010101010101 +010101010101 +010101010100 +010001010101 +010101010100 +010101010100 +010101000100 +010001000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +011001000011 +011101010011 +011101010100 +011101010100 +011101010100 +100001010100 +100001010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100100 +100001100101 +100001100101 +100101100101 +100101100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +011101100101 +011101100101 +100001110101 +100001110110 +100001110110 +100110000110 +100110000111 +100110000111 +100110000111 +100110000110 +100001110110 +100001110101 +100001100101 +100001100101 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001110110 +100101110110 +100101110110 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001110100 +100001110100 +100001110100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011101100100 +011101100101 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +001100110011 +010001000011 +001101000011 +001101000011 +010101010100 +011001100101 +011101110101 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010000 +000000000000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100011 +001000110011 +001100110011 +001100100010 +001000100010 +001000100001 +001000100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110010 +001100110010 +001100110011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010000110010 +010000110010 +010101000011 +011001000011 +011101010100 +011101010100 +011101010100 +011101010100 +100001010100 +100001010100 +100001100100 +100001010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +100001010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100100 +100101100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101110101 +100101110101 +100101100101 +100001100101 +100001100100 +100101110101 +100101110101 +100001100100 +011101100011 +011101100100 +011101100100 +100001110101 +100001110101 +100110000110 +100110000111 +100110000111 +100110000110 +100101110110 +100001110101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101010100 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011101010100 +011101100100 +011001010100 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001100110011 +001101000011 +001101000011 +010001010101 +011001100111 +100010000111 +100110011000 +001100100001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010000 +000100000000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100110010 +001100110011 +001100100010 +001100110011 +001100110100 +001100110011 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001000011 +011001010011 +011101010100 +011101010100 +011101010100 +011101010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101110101 +100101110101 +100001100101 +011101100100 +011101010011 +100001100100 +100001110101 +100001100100 +011101100011 +011101100100 +011101100100 +100001110101 +100001110110 +100110000110 +100110000110 +100110000110 +100110000110 +100101110110 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100011 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +001000100010 +001100110011 +001101000011 +010001000100 +010101100110 +011101111000 +100010011001 +101010101010 +001100100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +010000110100 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +011001000100 +011001010100 +011001010100 +011001010100 +011001010011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011101010011 +011101010100 +011101010100 +011101010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101000011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100101110101 +100101110101 +100001100100 +011101010011 +011001010011 +100001100100 +100001110101 +100001100100 +011101100011 +011101100011 +011101100100 +100001110101 +100101110110 +100110000110 +100110000110 +100110000110 +100101110101 +100001110101 +100001110101 +100001100101 +100001100101 +100001110101 +100001100101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +100101110101 +100101110101 +100101110101 +100101110101 +100001110101 +100001110101 +100001110100 +100001110100 +100001110101 +100101110101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +100001100100 +011101100100 +011101100011 +011101100100 +011101100100 +100001100100 +100001110100 +100001110100 +100001100100 +100001100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010101000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001100110011 +010001000100 +010001000100 +010101100111 +011110001000 +100110011001 +101110111001 +001100100010 +001100100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +001000010010 +001000100010 +001000100001 +001000010001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010101000011 +010101000011 +010101000100 +011001000100 +011001000100 +011001000100 +011001000100 +011001000100 +011001000100 +010101000100 +010001000011 +010000110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +011001000011 +011001010100 +011001010100 +011001010011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001000011 +011001010011 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101000011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100100 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100001100100 +011101010100 +011101010011 +100001100100 +100001110101 +100001100100 +011101010011 +011101100011 +011101100100 +100001110101 +100001110110 +100110000110 +100110000110 +100001110101 +100001110101 +100001100100 +011101100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001110101 +100001110100 +100001100100 +011101100011 +011101100011 +100001110100 +100001110100 +100001110100 +100001100100 +011101100011 +011101100100 +011101110100 +100001110100 +100001110100 +011101100011 +011101100011 +011101100100 +100001110100 +100001110100 +100001110100 +100001110100 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101100100 +011101010100 +011101100100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010101000100 +010101000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110010 +001000100010 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +001000100010 +001100110011 +010001000011 +010001010100 +011001100111 +100010001001 +100110011001 +101110111010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110011 +010000110011 +010001000011 +010101000011 +011001000011 +011001000011 +011001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101000011 +011101000011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100001100101 +100001100100 +100001100100 +100001100101 +100001110101 +100001100100 +011101100011 +011101100100 +011101100100 +100001110101 +100001110110 +100001110110 +100001110101 +100001110101 +100001100100 +011101100100 +011101100011 +011101100011 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +100001100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101110011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101110100 +011101110100 +011101110100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001100100 +011001100101 +011001100101 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010001000011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100011 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +001000100010 +001100110011 +010001000011 +010001010100 +011001110111 +100010001001 +100110011001 +101110111010 +001100100011 +010000110011 +001100100011 +001100100010 +001000100001 +001000010001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +011001000011 +011001010100 +011001010100 +011101010100 +011101100101 +011101010100 +011101010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010011 +011101000011 +011101010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101110101 +100101110101 +100001110101 +100101110101 +100101110101 +100001100101 +011101100100 +011101100100 +100001110101 +100001110101 +100001110110 +100001110110 +100001110101 +100001100101 +011101100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100011 +011101010011 +011001010011 +011001010011 +011101010011 +011101100011 +011101010011 +011101010011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011101100101 +011101110101 +011101100101 +011101100100 +011101100100 +011001100100 +011001100100 +011001100101 +011001100101 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100011 +001100110011 +001100110011 +001000100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +001000100010 +001100110011 +010001000011 +010001010100 +011001110111 +100010011001 +100110011001 +110010111010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010101000011 +011001010100 +011001010100 +011101010100 +011101100101 +011101010100 +011101010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101000011 +011101010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100101100101 +100101100101 +100101110101 +100101100101 +100001100101 +100001100101 +100101110101 +100101110101 +100001110101 +011101100100 +011101100101 +100001110101 +100001110110 +100001110110 +100001110101 +100001110101 +011101100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100011 +011101010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101010011 +011101010011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101100100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000110010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +000100100010 +001000100010 +001100110011 +001100110100 +001100110011 +001000100011 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001100110011 +010001000011 +010001010101 +011001110111 +100010001001 +100110011001 +110010111010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100010 +001000100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110001 +010000110010 +010101000011 +011001000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100001100101 +100001010100 +011101010011 +100001100100 +100101110101 +100001110101 +100001100101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110101 +100001100101 +011101100100 +011001010011 +011001010011 +011101010011 +011101010011 +100001010100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +011101100100 +011101010011 +011001010011 +011001010011 +011001010011 +011101010011 +011001010011 +011101100011 +011101100011 +011101100011 +011101100011 +011101010011 +011101010011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010100 +011001100100 +011001100100 +011001100011 +011001010011 +011001100100 +011101100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110100 +001100110011 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100010 +001000100011 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +001000110010 +010001000011 +010001000011 +010101010100 +011001100110 +011101110111 +100010001000 +101110101000 +001100100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000010010 +001000100010 +001000100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +001000100001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100100001 +001100100001 +001100110010 +010101000011 +011001000011 +011001000011 +011001000011 +011101010100 +011101010100 +011101010100 +100001010100 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100001100101 +011101010011 +011001000011 +100001100100 +100101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001100101 +011101100100 +011101010011 +011001010011 +011001010011 +011101010011 +011101010011 +011101010100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101010011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101010100 +011101010011 +011101010100 +011101010100 +011101010100 +011101010100 +011001010011 +011001010011 +011101010100 +011101010100 +011101100100 +011101010100 +011101010011 +011101010011 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001100100 +011101100100 +011001100100 +011001010011 +011001010011 +011001010011 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110011 +001000110011 +001100110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000100001 +001000100010 +001000110011 +001000110100 +001000110101 +001000110100 +000100110011 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +001000110010 +010001000011 +010101010100 +010101010100 +011001100101 +011001100101 +011001100101 +100001110110 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100001 +001100100010 +001100100010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +001100110010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +011001000011 +011001000011 +011001000011 +011101010100 +011101010100 +100001010100 +100001010100 +100001010100 +011101010100 +011101010100 +011101010011 +011001010011 +011001010011 +011101010100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100101100101 +100001100101 +011101010100 +011101010011 +100001100100 +100101110101 +100101110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +011101100100 +011101010100 +011001010011 +011001010011 +011001000011 +011001000011 +011101010011 +011101010011 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101010100 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101010011 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100011 +011101100011 +011101100011 +011101100100 +011101100100 +011101010100 +011101010011 +011101010011 +011101010100 +011101010100 +011101010100 +011001010011 +011001010011 +011101010011 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +011101100100 +011101100100 +011101010100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001100011 +011001100011 +011001010011 +010101010011 +010101010011 +011001010011 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +001000110100 +001001000110 +001101011000 +001001011000 +001001011000 +001001000111 +001001000110 +000100110100 +000100100011 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +001000110010 +010001000011 +010101010100 +011001100100 +011001010100 +011001010100 +010101010100 +011001010100 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +001000010001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010010 +001000010010 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +010000110010 +010000110011 +010000110011 +010000110010 +001100110010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +011001000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011001010011 +011001010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100101110110 +100101110110 +100001110110 +100001110101 +100001110101 +100001110101 +011101100101 +011101100100 +011001010011 +011001010011 +010101000011 +011001000011 +011001000010 +011001000011 +011101010011 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101100011 +011101100100 +100001100100 +011101100011 +011101010011 +011101010011 +011101100011 +011101100011 +011101100100 +011101100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010100 +011101010100 +011101010100 +011001010100 +011001010100 +011101010011 +011101010100 +011001010011 +011001010011 +011101010011 +011101010011 +011101010100 +011101010100 +011001010100 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +010101010011 +011001010011 +010101010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +000100100010 +001000110100 +001101011000 +001101101010 +001101111011 +001101111011 +001101111011 +001101111011 +001101101010 +001001011000 +001000110101 +001000100010 +001000100010 +001000100010 +000100100010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +001000100011 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110010 +010001000011 +011001010100 +011001100101 +011001100100 +011001010100 +010101010100 +011001010100 +001000010001 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010010 +001000100010 +001000100010 +001000100001 +001000010010 +001000100010 +001000100010 +001000100010 +001000010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +010000110011 +010000110011 +010000110011 +001100110010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010000110011 +011001000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011001000011 +011001000011 +011101010011 +011101010100 +011101010100 +011101010100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100101110110 +100101110110 +100101110110 +100001110110 +100001110110 +100001110101 +100001110101 +011101100101 +011101100100 +011001010011 +010101000011 +010101000010 +010101000010 +011001000011 +011001000011 +011101010011 +011101010100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +011101010100 +011101100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101100011 +011101100100 +100001100100 +011101100100 +011101010011 +011101010011 +011101010011 +011101100011 +011101100100 +011101100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010011 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011101010100 +011001010011 +011001010011 +011001010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001100100 +011001100100 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +011001010011 +011001010100 +011001100100 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +000100100010 +001000100011 +001101000110 +010001101010 +010010001011 +010010001100 +010010001100 +010010001100 +010010001100 +010010001100 +001101111011 +001001011000 +001000110011 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +000000010001 +000100010001 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110010 +010001000011 +011001100100 +011001100101 +011001010100 +010101010100 +010101010100 +011001010100 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100010 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100001 +001100100001 +001100100010 +010000110010 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010011 +011001000011 +011001000011 +011001000011 +011001010011 +011001010011 +011001010011 +011101010100 +011101010100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100110 +100001100101 +100001100101 +100101110110 +100101110110 +100110000110 +100101110110 +100001110110 +100001110110 +100001100101 +011101100100 +011101010100 +011001010011 +010101000011 +010101000011 +010101000011 +011001000011 +011101010011 +011101010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +011001010100 +011001010100 +011101010011 +011101010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010100 +011001010011 +011001010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100011 +001001000111 +001101101011 +010010001100 +010110001100 +010110011100 +010110001100 +010110001100 +010110001100 +010010001011 +001101011001 +001000110101 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +001100110010 +010001000011 +011001010100 +011001100100 +010101010100 +010101010100 +010101010100 +011001100100 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100010 +001000100010 +001000010010 +001000010010 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001100100010 +010000110010 +010101000011 +011001000011 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +011001000011 +010101000011 +010101000010 +010101000011 +010101000011 +011001010011 +011101010100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101010100 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100101 +100001100100 +100001110101 +100101110110 +100110000110 +100101110110 +100001110110 +100001110101 +011101100101 +011101100100 +011001010011 +011001010011 +010101010011 +011001010011 +011001000011 +011001010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001000011 +011001000011 +011001010011 +011001010011 +011001010011 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011001010100 +011001010100 +011101010100 +011101100100 +011101010011 +011101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101100011 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011101100100 +011101100100 +011101100100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101010010 +010101010011 +011001010011 +011001010100 +011001010100 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100110010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100011 +001100110011 +001100110011 +001100110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100011 +001001000110 +001101101001 +010010001011 +011010011100 +011010011101 +011010011101 +011010011101 +010110011100 +010010001011 +001101011001 +001000110101 +001000100011 +001000100010 +001000100010 +001000110010 +000100100010 +000100100010 +000100010001 +000100010010 +000100100010 +001000100011 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +000100010010 +000100100010 +001100110011 +010101000011 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +011001100100 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010010 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100100010 +001100100001 +001100100001 +010000110010 +010101000011 +011001000011 +011001000011 +011001000011 +011001010011 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +010101000011 +010101000010 +010000110010 +010000110010 +010001000010 +010101000011 +011001010100 +011101010100 +100001100101 +100001100101 +100001100101 +011101100100 +011001010100 +011001000011 +011101010100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100100 +011101100100 +100001100101 +100101110110 +100110000111 +100001110110 +100001110110 +100001100101 +011101100101 +011101100100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010011 +011101010011 +011101010011 +011001010011 +011001010011 +011001000011 +011001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010011 +011001010011 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101010100 +011001010100 +011101010100 +011101100100 +011101010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100101 +011101100101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101010011 +011001010011 +011001010100 +010101010100 +010101000011 +010101000011 +011001010100 +011001010100 +011001010101 +011001010101 +011001010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000110011 +001000100011 +001000100011 +001000100010 +001000100010 +001100110010 +010001000011 +010101000100 +010101010100 +010101010100 +010101010100 +010001010101 +010001010101 +010001000101 +010001000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000110 +001101011000 +010001111010 +010110001100 +011010001100 +011010011100 +011010011100 +010110001011 +010001111010 +001101010111 +001101000101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110100 +001101000100 +001101000100 +001101000100 +001101000100 +001000110100 +000100100010 +000100010001 +000100010001 +000100100010 +001100110011 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000010001 +000100010001 +000000010000 +000000010001 +000000010001 +000000010001 +000000010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110010 +001100110010 +001100100010 +001100100001 +001100100001 +010000110010 +010101000011 +011001000011 +011001000011 +011001000011 +011001010011 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +010101000011 +010101000011 +010000110010 +010000110010 +010101000011 +010101000011 +011001010100 +011101010100 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +011101010100 +011101010100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001100101 +011101100101 +011101100100 +100001110101 +100101110110 +100110000111 +100001110110 +100001110110 +011101100101 +011101100101 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010011 +011101010011 +011001010011 +011001010011 +011001000011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010011 +011101010100 +011101100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101010101 +011001010101 +011101010101 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101100100 +011101100100 +011101100100 +011101010100 +011101100100 +011101100100 +011101100101 +011101100101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000011 +011001010011 +011001010100 +011001010100 +010101010100 +010101010011 +011001010100 +011001010100 +011001100101 +011001010101 +011001010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110011 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001100110011 +001000100011 +001000100011 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011101100101 +011101100101 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010111 +010001101000 +010001101001 +010001111010 +010101111010 +011001111010 +010101111001 +010001101000 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001101000100 +001101000100 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001000110011 +000100100010 +000100010010 +001000100010 +001100110011 +010101010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001100100 +011001010100 +001100100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000000010000 +000000010001 +000000010001 +000000010001 +000000010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100100010 +001100100001 +001100100001 +010000110010 +010101000011 +011001000100 +011001000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +011001000011 +011001000011 +011001000011 +010101000011 +011001000011 +011001010100 +011101010100 +011101100101 +100001100101 +100001100101 +011101100101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100001110101 +011101110110 +100001110110 +100110000111 +100110000111 +100001110110 +100001110110 +011101100101 +011101100101 +011101010100 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +011001000011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +011001000011 +011001010100 +011101010100 +011101100101 +011101100101 +011101010100 +011101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001000010 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101010100 +011101100100 +011101010100 +011001010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101110100 +011101110101 +011101110101 +011101110100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001010100 +011001010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110010 +001000100010 +001000100010 +001000100010 +001100110011 +011001010100 +011101110101 +011101110101 +011101110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +010101100110 +010101010110 +010001010110 +001101010110 +001101010110 +001101010110 +010001010110 +010101010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +001101000101 +001101000101 +001101000100 +001101000100 +001101000101 +001101000101 +010001010110 +010001010110 +010001010110 +001101000101 +001000110011 +000100100010 +000100100010 +001000100010 +001100110011 +010001000011 +010101010100 +010101010100 +011001010100 +011001100100 +011001100100 +011001010100 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000000010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100001 +001100100001 +010000110010 +010101000011 +011001000011 +011001000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000011 +011001000011 +011001000011 +011001010100 +011001010100 +011001010100 +011101010100 +011101010100 +011101100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100001110110 +100001110110 +100010000111 +100110001000 +100110000111 +100110000111 +100001110110 +011101100110 +011101100101 +011101100101 +011101010100 +011001010011 +011001000011 +011001010100 +011001010100 +011001010011 +011001010011 +011001000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010011 +011001010100 +011001010100 +011001010011 +011001010011 +010101010010 +010101000010 +011001000010 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011101100100 +011101010011 +011001010011 +011001100100 +011101100100 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100101 +011101100100 +011101100101 +011101100101 +011101100100 +011101100100 +011001100011 +011001100011 +011001100011 +011001100011 +011001100100 +011001010011 +010101010011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000011 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +011001100101 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110101 +011101110101 +011101110110 +011101110110 +011101110110 +011001100110 +010101010101 +010001010101 +001101000101 +001001000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001001000100 +001000110100 +001001000100 +001001000100 +001001000100 +001001000100 +001001000100 +001001000100 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +001000110010 +001000110011 +001101000011 +010001010100 +010101010100 +011001010100 +011001010100 +010101010100 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000100010 +001000110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000110001 +001000110010 +001000100001 +001000100010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001100100001 +001100100010 +010000110010 +010101000011 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001010100 +011001000011 +011001000011 +011001000011 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +100001010101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100100 +100001100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +011101010100 +011101100100 +100001100101 +100001100101 +100001100101 +100001110101 +100001110101 +100101110110 +100001110111 +100010000111 +100110001000 +100110000111 +100110000111 +100001110110 +011101100101 +011101100101 +011001010100 +011001010100 +010101000011 +010101000011 +011001000100 +011001000011 +011001010011 +011001000011 +010101000011 +010101000010 +010101000010 +010000110010 +010000110010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101000010 +010101000010 +011001000010 +011001000011 +011001010011 +010101000011 +010101000011 +011001010011 +011001010011 +011001010011 +011101010100 +011001010011 +011001010011 +011001100011 +011101100100 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100011 +011001100011 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010100 +011001010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101010100 +010101010100 +010001000011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010000110011 +011001100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011001100101 +010101010101 +010001010101 +001101000101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000011 +010001010100 +010101010100 +010101010100 +010101010100 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100100001 +000100100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000110001 +001000100001 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001010100 +011001010100 +011001000011 +010101000011 +011001000100 +011001010100 +011001010100 +011101010100 +011101010101 +011101010101 +011101010101 +011101010101 +100001010101 +100001100100 +100001100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001110101 +100101110110 +100101110110 +100001110110 +100110000111 +100110000111 +100110000111 +100101110110 +100001110110 +011001100101 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +010101000011 +010101000010 +010101000010 +011001000011 +010101000011 +010101000011 +010101010010 +011001010011 +011001010011 +011001010011 +011101010011 +011001010011 +011001010011 +011001010100 +011101100100 +011101100101 +011101110110 +011101100101 +011101100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011001100011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101010010 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010100 +011001010100 +011001010011 +010101010011 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101000100 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110010 +001100100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011001100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +011001100101 +010101010101 +010001010101 +010001010101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001001000011 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001101000011 +010001000100 +010001010100 +010101010100 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001100110010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000100 +011001000011 +010101000011 +010101000011 +011001000011 +011001010100 +011001010100 +011001010100 +011101010101 +011101010101 +011101010100 +011101010100 +100001010101 +100001010100 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001110101 +100101110110 +100101110110 +100001110110 +100101110111 +100101110111 +100110000111 +100101110110 +011101100110 +011001010101 +010101010100 +010101000011 +010101000011 +010101000011 +010001000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010010 +011001010011 +011001010011 +011001010011 +011101100100 +011101100100 +011001100100 +011001100100 +011101100101 +011101100101 +011101110101 +011101100101 +011101100101 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010010 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010100 +011001010100 +011001010100 +011001010100 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010100 +011001010011 +011001010011 +010101010100 +011001010100 +010101010100 +010101010100 +010101000011 +010101010011 +011001010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000100 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011001100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110101 +010101100101 +010001010101 +001101010101 +001101000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001001000100 +001000110011 +001000110011 +001000110011 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000110011 +001000110011 +001101000011 +001101000011 +010001000100 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110001 +001100110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +011001000011 +011101010100 +011101010100 +011101010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +100001010101 +100001010101 +011101100101 +011101010101 +011001010101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110110 +100101110110 +100001110111 +100001110111 +100110000111 +100101110110 +011101100110 +011001010101 +010101010100 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010001000010 +010101000011 +011001010101 +011001100101 +011001010100 +010101000011 +010101000010 +010101000010 +010101000011 +010101010011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001100011 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001100100 +011001010100 +011001100100 +011001100100 +011001100011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +011001010011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010011 +010101000011 +010101000011 +010101010011 +010101010100 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101000011 +010001000011 +010000110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001100110010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011101100101 +100001110110 +100001110110 +100010000110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011101110101 +010101010101 +001101000100 +001101000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001001000100 +001001000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001101000011 +001101000011 +001100100010 +001000100010 +001000100010 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110010 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010101000011 +010101000011 +010101000011 +011001000011 +011001010100 +011001010100 +011101010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001000100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010101 +011101010100 +011101010101 +011001010101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +100001100101 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110110 +100101110110 +100101110111 +100101110111 +100101110110 +100001110110 +011101100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010100 +011101100111 +011101111000 +011101100110 +011001010100 +010101000011 +010101000010 +010101000011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010100 +011101100100 +011101100100 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011101100101 +100001110110 +100010000110 +100010000110 +100010000110 +100001110110 +100010000110 +100010000110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011001100101 +010001010101 +001101000100 +001001000011 +001001000011 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001001000100 +001001000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001101000011 +001000100010 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110010 +001000110010 +001000100001 +001000100001 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110001 +001100110001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100001 +001100100001 +001100110010 +010000110011 +010101000011 +010101000011 +011001000100 +011001010100 +011001010100 +011001010100 +011001000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100100 +100001100101 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110111 +100101110110 +100101110110 +100101110110 +100101110110 +100001110110 +011101100101 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010101010010 +010101010010 +010101010011 +010101010011 +010101000011 +011001010100 +011101100110 +011101110111 +011001100110 +010101010100 +010101010011 +010101010010 +010101000010 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +011001010011 +011001010011 +011001010100 +011101100100 +011101100100 +011101100101 +011101110110 +011101110101 +011101100101 +011101100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +010001000011 +011101100101 +100001110110 +100010000110 +100010000110 +100010000110 +100010000110 +100010000110 +100010000110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011001100101 +010001010100 +001101000100 +001001000011 +001001000100 +001001000100 +001000110100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110010 +000100100011 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001001000011 +001000010001 +001000100010 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000100010001 +000100010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110010 +001000110010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100100001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110001 +001100110001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100001 +001100100001 +001100100001 +010000110010 +010000110011 +010101000011 +011001000100 +011001010100 +011001010100 +011001000100 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +010101000011 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +100001100101 +100001100101 +100001100101 +100001100101 +100001100100 +011101010100 +100001100100 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100110000111 +100110000110 +100101110110 +100101110110 +100101110110 +100001100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101010010 +010101010010 +011001010011 +010101010011 +010101000011 +010101000011 +011001010100 +010101010100 +010101010011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +011001010011 +011001010100 +011101100100 +011101110101 +011101110101 +011101110101 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +010001000011 +011101110101 +100010000110 +100010000110 +100010000110 +100010000110 +100010000110 +100110000110 +100110000111 +100010000110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011001100101 +010001010100 +001101000100 +001000110011 +001001000100 +001000110100 +001000110100 +001000110100 +001000110100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000010001 +001000100010 +001000100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110010 +001000110010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110001 +001100110001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +001100110010 +010000110010 +010101000011 +011001000011 +011001000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000100 +011001010100 +011001010100 +011101010100 +011001010100 +011001010100 +011101010100 +011101100101 +100001100101 +100001100101 +100001100101 +011101010100 +011101010100 +100001100101 +100001100101 +100001100110 +100101110110 +100101110110 +100101110111 +100101110110 +100101110110 +100001110110 +100001110110 +100001110110 +100001100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010000110010 +010001000010 +010101000010 +010101010010 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101010010 +011001010011 +011101010100 +011101100100 +011101110101 +011101110101 +011101110101 +011001100100 +011101100100 +011001100100 +011001100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010001000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010001000010 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010010 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110010 +001100110010 +001100100010 +001100110010 +010000110010 +010000110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100010 +010101000100 +011101110110 +100010000110 +100001110110 +100001110110 +100010000110 +100010000110 +100110000111 +100110000111 +100010000111 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001010100 +001001000011 +001000110011 +001000110100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000010001 +001000100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011101010100 +011101010101 +011101100101 +100001100101 +100001100101 +011101010100 +011101010100 +011101100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110111 +100101110110 +100001110110 +011101100101 +011101100101 +100001100101 +100001100101 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000010 +010101010010 +010101010010 +010101010010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010000110010 +010000110010 +010000110010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101010010 +011001010011 +011001010011 +011101100100 +011101100100 +011101100100 +011101110101 +011101110100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011101100100 +011101100100 +011001100100 +011001100100 +011101100100 +011101100100 +011001100100 +011001010011 +011001010011 +011001010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110010 +010000110010 +010001000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101000011 +010001000011 +010001000011 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +010101010100 +011101110110 +100010000111 +100010000110 +100001110110 +100010000110 +100010000110 +100110000111 +100110000111 +100110000111 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001010100 +001001000011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100010010 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001100100001 +010000110010 +010100110011 +010101000011 +010101000011 +010101000011 +010101000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000100 +010101010100 +010101000100 +011001010100 +011001010100 +011001010100 +011101010101 +011101010101 +011101010101 +011101010101 +011101010100 +011101010100 +011101100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110111 +100101110110 +100001110110 +011101100100 +011101100100 +100001100101 +100001100101 +011001010100 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +011001010100 +010101010100 +010101000011 +010101000010 +010001000010 +010000110010 +010000110010 +010001000010 +010101000010 +010101010010 +010101010011 +010101010010 +010101000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010010 +011001010011 +011001010011 +011101100011 +011101100100 +011101100100 +011101110100 +011101100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011101100100 +011101100100 +011101100100 +011101100011 +011001100011 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001100100 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000010 +010000110010 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +010001000011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010101010100 +011101110110 +100010000111 +100010000110 +100001110110 +100010000110 +100010000111 +100110000111 +100110000111 +100010000111 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001010100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +010000110010 +010000110011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +010101000011 +010101000011 +011001010100 +011001010100 +011101010100 +011101010100 +011101010101 +011101100101 +011101010101 +011101010101 +100001100101 +100001100101 +100001110110 +100101110110 +100101110110 +100101110111 +100101110110 +100001110110 +011101100100 +011101100100 +011101100101 +011101100101 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001010101 +011001010100 +011001010100 +010101000011 +010101000011 +010001000010 +010001000010 +010101000010 +010101000010 +010101010010 +010101010010 +010101010010 +010101000010 +010101000010 +010101000011 +010101000011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010010 +010101010010 +010101010010 +010101010010 +010101010011 +011001010011 +011001100011 +011001100011 +011101100100 +011101110101 +011101110101 +011001100100 +011001100011 +011001100011 +011001100011 +011001100011 +011001100100 +011101100100 +011101100100 +011101100011 +011001100011 +011001010011 +011001100011 +011001100011 +011001010011 +011001010011 +011001010100 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010001000010 +010001000010 +010001000011 +010101000011 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000011 +010001000010 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +010101010100 +011101110110 +100010000110 +100010000110 +100001110110 +100010000110 +100010000111 +100110000111 +100110000111 +100010000110 +100001110110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001010100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001100100010 +001100100010 +001000100010 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +010000110010 +010000110010 +010000110011 +010100110011 +010100110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000100 +010101000011 +010101000011 +011001010011 +011001010100 +011101010100 +011101010100 +011101010101 +011101100101 +011101010101 +011101010101 +100001100101 +100001100110 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001100101 +011101100100 +011101100101 +011101010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101000011 +010101010011 +010101010011 +011001010100 +011001010101 +011001010101 +011001010100 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101010010 +010101010010 +010101000010 +010101000010 +010101000011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001010011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101010011 +011001010011 +011001100011 +011101100100 +011101110101 +011101100101 +011001100100 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000010 +010101000010 +010001000010 +010001000010 +010001000010 +010001000011 +010001000010 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011101110110 +100010000110 +100010000110 +100001110110 +100010000110 +100110000111 +100110010111 +100110000111 +100010000111 +100001110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001100100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100001 +001100110001 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +011001010011 +011001010100 +011101010100 +011101010101 +011101100101 +011101100101 +011101010101 +011101100101 +100001100101 +100001110110 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001100101 +011101100101 +011101010100 +011101010100 +011001010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010101 +011001010100 +010101010100 +010101000011 +010001000010 +010101000010 +010101000010 +010101000010 +010001000010 +010101000010 +010101000010 +010101010010 +010101000010 +010101000010 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101010011 +011001010011 +011001100011 +011101100100 +011101110100 +011101100100 +011001100100 +011001100100 +011001100011 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010001000011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +100001110110 +100010000110 +100010000110 +100001110110 +100010000110 +100110000111 +100110010111 +100110010111 +100010000111 +100001110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +010001000100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100001 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010001000011 +010101000011 +010101000011 +011001010011 +011001010100 +011101010100 +011101010101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001110110 +100001110110 +100101110110 +100101110110 +100101110110 +100001110110 +100001100101 +011101100101 +011001010100 +011001010100 +011001010100 +010101000011 +010101000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000100 +010101010100 +010101010100 +010101010100 +010101000011 +010001000011 +010101000010 +010101000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +011001010011 +011001100011 +011001100100 +011101100100 +011101100100 +011001100100 +011001100011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000011 +010001000011 +010001000011 +010001000011 +010001000010 +010000110010 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101010100 +010101010100 +010101000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010000110010 +001100110010 +001100110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100100010 +001100100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +001000100010 +001000100010 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +100001110110 +100010000110 +100001110110 +100001110110 +100001110110 +100010000111 +100110000111 +100110010111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110011 +001000110011 +001000110011 +000100110011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100010010 +000100010001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010001 +001000010000 +000100100001 +000100100000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001100110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010101000011 +011001010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001110110 +100001110110 +100101110110 +100101110110 +100001110110 +100001110110 +011101100101 +011001010100 +010101000011 +010101000011 +011001000011 +010101000011 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000011 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +011001010011 +011001100011 +011001100011 +011101100100 +011001100100 +011001100011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +010101010100 +010101010011 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010001000010 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010011 +010001010011 +010001010100 +010001010100 +010101010100 +010101010100 +010101010011 +010001000011 +010001000011 +010001000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000010 +010001000010 +001100110010 +010000110010 +010000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000110 +100110000111 +100110000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110010 +000100110011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +001000010001 +001000010001 +001000010001 +001000100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010101000011 +011001000011 +011001010100 +011101010100 +011101010100 +011101010100 +011101010101 +011101100101 +011101100101 +100001100101 +100001100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +011101010100 +010101000011 +010000110010 +010101000011 +010101000011 +010101000011 +010001000010 +010000110010 +010001000010 +010001000011 +010001000011 +010001000011 +010001000010 +010000110010 +010000110010 +010001000011 +010001000011 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +011001100011 +011001100011 +011001100011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101010100 +010101010100 +010101010100 +011001010101 +010101010101 +010101010101 +010101010100 +011001010100 +011001100101 +010101100101 +010101100101 +010101110101 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +010101100101 +010101010101 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000010 +010001000010 +010001000010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000110 +100010000111 +100010000110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110011 +001000110011 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100110010 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100010 +000100010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110001 +001100110001 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110010 +010000110011 +010001000011 +010101000011 +011001000011 +011101010100 +011101010100 +011101010100 +011101010100 +011101100101 +011101100101 +100001100101 +100001100101 +100001110110 +100001110110 +100001110110 +100001100110 +100001100110 +011101100101 +011101010100 +010101000011 +010000110010 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000010 +010101000010 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +011001100011 +011001100011 +011001100011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +011001010100 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110110 +011001110110 +011101110110 +011101110110 +011001110110 +011001110110 +011001110110 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011101110111 +011101110111 +011101110111 +011001110110 +010101010101 +010001000100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +011101100101 +011101110110 +011101110110 +011101110101 +011101100101 +011101110101 +011101110110 +100001110110 +100001110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +010101100101 +001101000011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110011 +001000110011 +001101000100 +001101000100 +001000110011 +001000110011 +001101000100 +001000110011 +001000110011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100110011 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001100110010 +001100110001 +001100100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110001 +001100110001 +001100110001 +001100100001 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000011 +011001000011 +011001010100 +011101010100 +011101010100 +011101010101 +011101100101 +011101100101 +011101100101 +100001100101 +100001100110 +100001110110 +100001100110 +100001100101 +011101100101 +011101100101 +011001010100 +011001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000010 +010001000010 +010001000010 +010001000010 +010000110010 +010000110010 +010001000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001100011 +011001100100 +011001100011 +011001010011 +011001010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010001000010 +010001000011 +010101000011 +010101010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +011001010100 +011001010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +100010000111 +100010001000 +100010000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +011101111000 +011001100110 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001000100010 +001100110010 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101000100 +011001100100 +011001100100 +011001010100 +010101010100 +010101010011 +010101010011 +010101010100 +011001100100 +011001100101 +011101110101 +011101110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +010101100101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001001000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100110011 +001100100010 +001000100010 +001000100001 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +001000100001 +001000100001 +001000110010 +001100110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010101000011 +010101000011 +011001000011 +011001000100 +011001000100 +011001010100 +011101010100 +011101100101 +011101100101 +011101100101 +100001100101 +100001100101 +100001100101 +100001100101 +011101100101 +011101100101 +011101010100 +011001010100 +011001000100 +011001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101010100 +011001010100 +011001100100 +011001100011 +011001100100 +011001100011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000010 +010101000011 +010101010011 +010101100100 +010101100101 +010101100101 +011001100101 +011001100101 +011001010100 +011001010100 +011001010100 +010101010011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +011001010101 +011001100101 +011001010101 +010101010101 +010101010100 +010101000100 +010101000100 +011001010101 +011101110110 +100001110111 +100010001000 +100001110111 +100010000111 +100010001000 +100010001000 +011101110111 +011101110111 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100001111000 +011001100110 +010001000011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101000011 +010101010100 +010101010100 +010101000011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +010101100101 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +010101010100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001001000100 +001000110011 +000100100010 +000100100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +001100100010 +001000100010 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011101010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +011001010100 +011001010100 +011001100100 +011001100011 +011001100011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001100100 +011001010100 +011001010100 +011001010100 +011001100101 +010101100101 +010101100101 +010101100101 +011001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001110110 +011001110110 +011001100110 +010101010101 +010101010100 +010001000011 +010000110011 +010101000100 +011101100110 +100001111000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +011101110111 +100010001000 +100110001000 +100110001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100110001001 +100001111000 +011001100110 +010001000011 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011001010101 +010001000100 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000110011 +010001010100 +011001100101 +011101110110 +011101110110 +011101110110 +011101110110 +011001110101 +010101010100 +001101000011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001101000100 +001101000100 +001101010101 +001101010101 +001101000100 +001000110011 +001000110011 +001000100010 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +001100100010 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100001 +001100100001 +001000100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011101010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +011001010100 +011001010100 +011001100100 +011001100011 +011001100011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +011001100100 +011001100101 +011001100101 +011101100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011001110110 +011001110110 +011001110110 +011001110110 +011110000111 +011110000111 +100010011000 +100010011000 +100010011000 +100010011000 +100010001000 +011110001000 +011110000111 +011110001000 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011101110111 +011001110110 +011001100110 +010101010101 +010101010101 +011001100110 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100010001000 +011101110111 +011001100110 +011101110111 +100010001000 +100110001000 +100110001001 +100110001000 +100110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100110001001 +100001111000 +011001100110 +010001000011 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011001100101 +010101010100 +001101000011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +010101100101 +011001110110 +011101110110 +011101110110 +011101110110 +011001110101 +010101010100 +001101000011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001000110011 +001101000100 +001101000100 +010001010101 +001101000101 +001101000100 +001000110011 +001000110011 +001000100010 +001000100010 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001000100010 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +011001100101 +011001010100 +011001010100 +010101000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010101010100 +011001010100 +011001100100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010100 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011101100101 +011101110110 +011101110101 +011101110101 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +011110000111 +100010001000 +100010011000 +100010011000 +100010011000 +100010011000 +100010011000 +100010011000 +100010011000 +100010011001 +100010011000 +100010011000 +100010011000 +100010011000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100111 +011001110111 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +011101110111 +100010001000 +100110001001 +100110001001 +100110001001 +100110001000 +100110001000 +100010001001 +100010001001 +100110001001 +100001111000 +100010001000 +100010001000 +100110001001 +100001111000 +011001100110 +010001000011 +001100110010 +001100110010 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000010001 +001000010010 +001000010010 +001000010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011001100101 +010101010100 +001101000011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001101000100 +010001010101 +011001100110 +011101110110 +011101110110 +011101110110 +011101110101 +010101010100 +001001000011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001101000100 +001101000100 +001101000100 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +011001100101 +011001100100 +011001010100 +011001010100 +010101010100 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010001000010 +010001000011 +010001000011 +010001000010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011101100110 +011101110110 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011000 +100010011000 +100010011000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100111 +011101110111 +100001111000 +100001111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011001100111 +011101110111 +100010001000 +100110001000 +100110001001 +100110001001 +100110001000 +100110001000 +100110001000 +100110001001 +100110001001 +100010001001 +100010001001 +100010001001 +100110001001 +100001111000 +011001100110 +010001000011 +001100110010 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100101 +011001100101 +010001010100 +001101000011 +001000110011 +001000110011 +000100110011 +000100100010 +001000110011 +001001000011 +001101010101 +010101100101 +011001110110 +011101110110 +011101110110 +011101110101 +010001010100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010010 +001000100010 +001000010010 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +001000100001 +000100010001 +000100010000 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100001 +001000100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000100 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010000110010 +010000110010 +010000110010 +010001000010 +010101000011 +010101000011 +010101010011 +011001010100 +011001010011 +011001010011 +011001100011 +011001010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011101100110 +011101110110 +011001100101 +011001010100 +010101010011 +010101010011 +010101010011 +011001010100 +011101100110 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100110001000 +100110001000 +100010001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001000 +100001111000 +011001100111 +011001100110 +011101110111 +100001111000 +100001111000 +100001111000 +100010001000 +100010001000 +100010001000 +011101111000 +011001110111 +011101111000 +100010001000 +100110001000 +100110001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +011110001000 +011101111000 +011001100110 +010001000100 +010001000100 +010001000011 +010001000011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010101 +011001100101 +011001100101 +010001000100 +001000110011 +001000110011 +000100110011 +000100110010 +000100100010 +001000100010 +001000110011 +001101010101 +010001010101 +010101100110 +011001110110 +011101110110 +011001110101 +010001010100 +001001000011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010101000100 +010101000100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +011001010100 +010101010100 +010101010100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101010011 +010101010100 +011001010100 +011001100100 +011001100100 +011001100011 +011001010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010011 +011001010011 +010101010011 +010101010011 +010101010011 +011001100100 +011001100110 +011101110110 +011001100101 +010101010011 +010101000011 +010101000011 +010101000011 +010101010011 +011101100101 +100010000111 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100110001000 +100010001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100001111000 +011101110111 +011001100110 +011001100110 +011001100111 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011101111000 +011101111000 +011101111000 +100001111000 +100001110111 +100001110111 +100001111000 +100110011001 +101010101010 +100110011010 +100010011001 +100010011010 +100110011010 +100110101010 +100010011010 +100010011010 +100010001001 +011101111000 +011001111000 +011001110111 +011001100111 +011001100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101010110 +010101010110 +010101010101 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +011001010101 +011101100101 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100110010 +001001000011 +001101010100 +010001010101 +010101100101 +010101100110 +011001110110 +011001100101 +010001010100 +001001000100 +001000110011 +001000110011 +000100110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001100100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000110001 +001000110001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100000 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100001 +001100100001 +001100100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010100 +011001100100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010011 +010101000010 +010101000010 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011001100110 +011101110110 +011001100101 +010101010011 +010101000011 +010101000011 +010101000010 +010101000011 +011101100101 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001000 +100110001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100001111000 +011101100110 +010101010101 +010101010101 +010101100101 +011001100110 +011001100110 +011001100110 +011001100111 +011101111000 +011101111000 +011101111000 +011101111000 +011101110111 +011101100110 +011101100101 +100001110111 +101010101010 +101110111100 +101010101011 +100110011010 +100110011010 +101010111100 +101111001101 +101010111101 +101010111100 +101010111100 +100110101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110011011 +100010011010 +100010001010 +011110001001 +011110001010 +011110001001 +011101111001 +011001111000 +011001100111 +011001100110 +011001100110 +011001100110 +010101010101 +010001000100 +001100110010 +001100110010 +001100110011 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +011001100101 +011101110110 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100110010 +001001000011 +001101010100 +010001010101 +010001100101 +010101100101 +011001100110 +010101100101 +010001010100 +001001000011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001100100010 +001000100010 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000000000000 +000000010000 +000000010000 +000100010000 +000100100001 +000100100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000100 +011001010100 +011001010100 +011001010101 +011001010100 +011001010100 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010011 +011001010100 +011001100100 +011001100100 +011001010011 +010101010011 +010101010010 +010101000010 +010101010010 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001100101 +011101110110 +011101110110 +011001100101 +010101010100 +010101000011 +010101000011 +010101000011 +010101010011 +011101100110 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001000 +100110001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100001111000 +011101100111 +011001100101 +010101010101 +010101010101 +011001100110 +011001100110 +011001100110 +011001100111 +011101110111 +011101111000 +011001111000 +011001110111 +011001100110 +011001010101 +010101010100 +011101110111 +101010101011 +101111001101 +101010111100 +100110101010 +100110101011 +101010111100 +101111001101 +110011011110 +110011001110 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101010111101 +101010111100 +100010011011 +100010001010 +011110001010 +100010001010 +011110001001 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011001100111 +010001000100 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +010001000011 +011001100101 +011101110110 +011001100101 +010001000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110010 +000100110010 +000100110010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001100100010 +001100100010 +001000010001 +001000010001 +001000010001 +001000010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100100010 +001000100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000100 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +011001010100 +011001100100 +011001100100 +011001010011 +010101010011 +010101000010 +010101000010 +010101000010 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001010011 +011001100101 +011101110110 +011101110111 +011001100101 +010101010100 +010101010100 +010101010011 +010101010011 +011001010100 +011101100110 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001000 +100010001000 +100110001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100010001001 +100010001001 +011110001001 +011101111000 +011001111000 +011101111000 +011101110111 +011101110111 +011101111000 +100010001001 +100010001001 +011101111000 +011001110111 +011001100111 +011001100110 +011001010110 +011101110111 +100110011010 +101010111011 +101010101011 +100110101011 +101010111100 +101111001101 +110011011110 +110111011110 +110111011110 +110111011110 +110111101110 +110111101110 +110011011110 +101111001101 +101110111100 +101010111100 +101010111100 +100110101011 +011110001001 +011001110111 +010101100111 +011001100111 +011001100111 +011001110111 +011101111000 +011110001000 +100010001001 +011110001000 +011001100111 +010001000100 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001100110011 +001100110011 +001100110011 +010001000100 +011001100101 +011101110110 +011001100101 +010001000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010101 +010001010101 +010001010101 +010001010100 +010001010101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110010 +000100110010 +000100110010 +000100110010 +000100110010 +000100110010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010001 +000000010001 +000000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001100100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +011001010100 +011001100100 +011001100100 +011001010011 +010101010011 +010101000010 +010001000010 +010101000010 +010101000011 +010101000011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011101110110 +011101110111 +011101100110 +011001100101 +011001100101 +011001100101 +011001010101 +011001100101 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100110001000 +100110001000 +100110001000 +100110001001 +100010001000 +100010001000 +100010001000 +100010001000 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110001001 +100110011001 +100110011001 +100110011001 +100110011010 +101010101010 +101010101011 +101010101011 +101010101011 +100110101011 +100110011011 +100010011010 +100010011010 +100010011010 +100110011011 +100110101011 +100110011011 +100010011010 +011110001001 +011101111000 +011101111000 +011101111000 +011101111000 +100010011001 +100110101011 +100110101011 +101010101100 +101111001101 +110011011101 +110011011110 +110111011110 +110111011110 +110111101110 +110111101110 +110111011110 +101111001101 +100110101011 +100010011010 +100010011010 +100010011001 +100010001001 +011001110111 +010101010101 +010001010100 +010001010101 +010001010101 +010101100110 +011101110111 +100010001001 +100010001001 +011110001000 +011001100111 +010001000100 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010001000100 +011001100101 +011101100110 +011001100101 +010001000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100110010 +001001000100 +010001010110 +010001010110 +001100110011 +001100110011 +001101000100 +010001010100 +010001010100 +001101010100 +001101000100 +001101000100 +001101000100 +001001000100 +001001000100 +001001000100 +001001000100 +001001000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010100 +011001010011 +011001010100 +011001100100 +011001010011 +010101010011 +010001000010 +010001000010 +010101000010 +010101000011 +010101010011 +010101010100 +010101010100 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +010101010011 +011001100100 +011001100110 +011101110110 +011101100110 +011001100110 +011001100110 +011101100110 +011101100110 +011001100110 +011101110110 +011101110111 +100001111000 +100001111000 +100001111000 +100001111000 +100001111000 +100001111000 +100010001000 +100010001000 +100010001000 +100010001000 +100001111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100110011001 +100110011010 +100110011010 +100110011010 +101010101011 +101010111011 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +100110111100 +100110101100 +100110101100 +100110111100 +100110111100 +100110101100 +100010011011 +100010011010 +100010011010 +011110001010 +011110001001 +100010011010 +100010011010 +100110101011 +100110101011 +101010111011 +101110111100 +101111001100 +101111001100 +101111001100 +101111001100 +101111001101 +101010111100 +100110101010 +011110001000 +011110001000 +100010001000 +100010001001 +011110001000 +011101110111 +010101100110 +010101010101 +010101010101 +010101010101 +011001100110 +011110001000 +011110001001 +011110001000 +011101111000 +011001100110 +010001000100 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001100110010 +010001000100 +011001100101 +011001100110 +010101100101 +010001000100 +001000110011 +000100100011 +001000110011 +000100100010 +000100100010 +001101000100 +010101100110 +010001010110 +001000100010 +000100010001 +001000100010 +001000110010 +001101000011 +010001100110 +010101100111 +010001100110 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001100110100 +001100110011 +001000110011 +001000110011 +001101000100 +001001000100 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001100100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010001000011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010101000100 +010001000011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +010000110011 +010000110011 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101000010 +010101000010 +010101000010 +010101000011 +010101010011 +011001100101 +011001100101 +011001100100 +011001010011 +011001010011 +011001010011 +010101010011 +011001010011 +011001100100 +011001100101 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100110 +011001100110 +011001100110 +011101110110 +011101110110 +011101110111 +011101111000 +100010001001 +100010011001 +100010011010 +100110011010 +100110101011 +101010111100 +101010111011 +100110101011 +100110101011 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111101 +101010111101 +100110111100 +100110101100 +100110101100 +100110101100 +100110101011 +100010011010 +011110001001 +100010001001 +100010011010 +100110011010 +100010011010 +100010011001 +011110001000 +011001110111 +011001110111 +011001110111 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010001000100 +010001000101 +010101100110 +011001110111 +011001110111 +011001100111 +010101100110 +010001010101 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +010001010100 +011001100101 +011101100110 +010101100101 +010001000100 +001000110011 +001000110011 +001000110011 +001000110011 +000100110010 +001101000100 +010101100111 +010001010110 +001000100010 +000100010000 +000100010000 +000100010001 +001100110011 +011001110111 +011110001000 +010101100111 +001101000100 +001000110011 +001100110011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001101000101 +001101000101 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010010 +000000010001 +000100100010 +001000110011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +010101010100 +011001100110 +011001100110 +010101000100 +001100110010 +001100110010 +001100110001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101000011 +010101000010 +010101000011 +010101010011 +010101010100 +011001100101 +011001100101 +011001100100 +011001010011 +011001010011 +011001010011 +011001010011 +011101100100 +011101110101 +011101110110 +011101100110 +011101100101 +011101110110 +100001110110 +011101110110 +011101110110 +011101100101 +011001010101 +010101010100 +010101010100 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011101100110 +011101110111 +011101100110 +011001010100 +010101010100 +010101000100 +010001000100 +010001000011 +010001000100 +010101010100 +010101010101 +011001100110 +011101110111 +011110001000 +100010011001 +100010011010 +100110101011 +101010111100 +101010111011 +100110111011 +101010111100 +101010111100 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101110111101 +101010111100 +100110101100 +100110101100 +101010111100 +101010111101 +101010111100 +100110101011 +100010001001 +100010001000 +100010001000 +100010011001 +100010001001 +011110001000 +011001110110 +010101010101 +010101010101 +010101010101 +010101010101 +010101100110 +011001110111 +011110001000 +100010001001 +100010011001 +100010001001 +011001110111 +010101100110 +010001010101 +010001000100 +001101000100 +001101000100 +010001010101 +010001010110 +010001010101 +010001010101 +010001010101 +001101000100 +001100110011 +001100110010 +001100110010 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010101000100 +011001100101 +011101100110 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +010001010101 +010101100111 +010101100110 +001000100011 +000100010001 +000100010001 +000100010001 +001100110011 +011001111000 +011110001001 +010101100111 +001000100010 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100010 +001101000101 +010001010110 +001000110100 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010010 +000000100010 +001000110011 +001000110011 +001000100011 +001000110011 +001101000100 +001101000100 +001000110100 +001100110100 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010101000100 +010101000100 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000011 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100110 +011101111000 +011101111000 +011001100110 +010000110011 +001100110010 +001100100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +010101010011 +010101000011 +010101000011 +010101010011 +010101010011 +011001100101 +011001100110 +011001100101 +011001010100 +011001010011 +011001010011 +011001010011 +011001010100 +011101100100 +011101100101 +011101100101 +011001100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +010101010101 +010101010100 +010101010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010101 +011101100110 +011101110110 +011101100110 +011001010100 +010101000011 +010000110011 +010000110011 +010001000011 +010001000100 +010101010101 +011001100110 +011101110111 +011110001000 +011110001001 +100010011010 +100110101011 +101010111100 +101110111100 +101111001100 +101111001100 +101111001101 +101111001101 +101111001101 +101111001101 +101111001101 +101110111100 +101010111100 +101010111100 +100110101011 +011110001001 +011110001001 +100010011010 +100110101011 +100110101011 +100110011010 +100010001001 +100010001000 +100010001000 +100110011001 +100010001000 +011101111000 +011101110111 +011001100110 +010101010101 +010101010101 +010101010101 +011001100110 +011101110111 +011110001000 +011110001000 +011110001000 +011101111000 +011001100111 +010101100110 +010101010101 +010001010101 +010001000101 +010001010101 +010001010110 +010101010110 +010101010110 +010101100110 +010101100111 +010101010110 +010001000100 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +001000010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011001100101 +011101100110 +011001100101 +010001000100 +001000110011 +001000110011 +001000110011 +000100100010 +001000110011 +010101100110 +011001111000 +011001111000 +001101000101 +001000100010 +000100010000 +000100010000 +001100110011 +011001111000 +011110001010 +010101100111 +000100100001 +000100010000 +000100010000 +000100010000 +000100000000 +000000000000 +000000000000 +000100000000 +000100010000 +000100000000 +000000000000 +000100100010 +001101000101 +010001010110 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010010 +000000010010 +000100100010 +001000110011 +001000110011 +000100100011 +001000110100 +001101000101 +001100110100 +000100100010 +001000110011 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000010001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010000110011 +010101010101 +011001100110 +011001100110 +010101010100 +010101000011 +010101010100 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010101000100 +011001100110 +011101111000 +011101111000 +011001100110 +010101000100 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +011001010100 +011001010100 +011001100101 +011101110110 +011101110110 +011001100101 +010101010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001100101 +011101100101 +011001100101 +011001100110 +011001110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011101111000 +011101110111 +011101110111 +011101110110 +011101100110 +011101100101 +011001100101 +011001100101 +011001100101 +011001100110 +011101110111 +011101110111 +011001010101 +010101010100 +010101010101 +010101010101 +011001100110 +011001100111 +011101111000 +011110001000 +011110001001 +011110001001 +100010001001 +100010011001 +100110101010 +101010111100 +110011001100 +110011001101 +110011001101 +110011001101 +101111001101 +101111001100 +101110111100 +101010111011 +100110101011 +100110011010 +100010011001 +011001110111 +010101010101 +010101010101 +011001100110 +011001100110 +011001110111 +011110001000 +100010001000 +100010001000 +100010011001 +100110011001 +100010011001 +011101110111 +011001100110 +011001100101 +010101010100 +010001000100 +010001000100 +010101100110 +011001100111 +011001110111 +010101100111 +010101100110 +010101100110 +011001100110 +011001100110 +010101100110 +010101100110 +010101010110 +010101010110 +010101100111 +011001110111 +011101111000 +011110001000 +011110001000 +011001110111 +010001010100 +001100110010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010101000100 +011001100101 +011101100110 +010101100101 +001101000011 +001000110011 +001000110011 +000100100010 +000100100010 +001000110011 +010001100110 +011110001000 +011110001001 +011001111000 +010101100110 +010001000100 +001000100001 +001101000100 +011001111001 +011110001010 +010101010110 +000100100001 +000100010000 +000100010001 +000100010000 +000100000000 +000000000000 +000000000000 +000100000000 +000100000000 +000100010000 +000100010000 +001000100010 +001101010101 +010001010110 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010010 +000100100010 +001000110100 +001000110011 +000100110011 +001001000100 +001101000101 +001000100011 +000000000000 +000000000000 +001000100010 +001000100010 +001000010001 +001000010001 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +001000010001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010001000011 +011001100111 +011110001001 +011101111000 +011001100101 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001100110 +011001100110 +011101110111 +011101110110 +011101100110 +011001010101 +010101010100 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000011 +010101000011 +010101000011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +011001010100 +010101010100 +010101010011 +010101010011 +011001010100 +011001100100 +011101100110 +011101110111 +011101110111 +011001100101 +011001100100 +011001010100 +011001010100 +010101010100 +010101010100 +010101010100 +011001100101 +011001100110 +011001110111 +011101111000 +011110001001 +100010011010 +100010011010 +100010011011 +100010011011 +100010011010 +100010011010 +100010011010 +100010001001 +011110001000 +011101110111 +011001110110 +011001110110 +011001110110 +011001110111 +011101111000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101111000 +011110001001 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +100010011001 +101010111011 +110011001100 +110011001101 +101111001100 +101110111100 +101010111100 +101010101011 +100110101010 +100010011010 +100010011001 +011110001000 +011101111000 +011001100110 +010101010100 +010101010100 +010101010100 +010001010100 +010101100101 +011101110111 +100010001000 +100010001000 +100010011001 +100110011001 +100010001000 +011101110111 +011001100110 +010101010101 +010001000100 +001100110011 +001101000100 +010001010101 +010001010101 +010001010101 +001101000101 +010001000101 +010101100110 +011001110111 +011101110111 +011001110111 +011001100110 +010101100110 +010101100110 +011001110111 +011110001001 +100010011001 +100010011001 +100010011001 +011101111000 +010001010101 +001000110010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010010 +001000100010 +000100010001 +001000100010 +001000100010 +001100100010 +010101010100 +011001100101 +011101100110 +010101100101 +001101000011 +001000110011 +001000110011 +000100100010 +000100100010 +001000110011 +010001010101 +011001110111 +011110001001 +100010011010 +100010001010 +011110001000 +010101100110 +010101100110 +011110001001 +011110001010 +010001010110 +000100100010 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000000010000 +001000100010 +010001010101 +001101010101 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010010 +000100100010 +001000110100 +001000110011 +001000110011 +001101000100 +001101000101 +001000100011 +000000000000 +000000000000 +001100100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +010001000011 +010101010101 +011101111000 +100010011001 +100010001001 +011101110110 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100110 +011101110111 +100010000111 +011101110111 +011001100110 +010101000100 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +010101010100 +010101010100 +011001010100 +011001100100 +011001100101 +011101100101 +011001100110 +011001100101 +011001100100 +011001100100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011001100110 +011001110111 +011101110111 +011110001000 +011110001001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +011110001000 +011110000111 +011110000111 +011110000111 +011110001000 +011110001000 +100010001001 +100010011001 +100010001001 +011110001000 +100010001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101110111 +011101111000 +011110001000 +100110011001 +101110101011 +101110111011 +101010111011 +100110101011 +100110101011 +100110011010 +100010011010 +100010011001 +011110001001 +011110001000 +011101111000 +011001100110 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +011101111000 +100010001001 +100010001001 +100010001000 +100010001000 +011101110111 +011101110110 +011001100110 +010101010101 +010001000101 +001101000100 +010001000100 +010001010101 +010001010110 +010001010101 +010101010110 +010101100111 +011101111000 +011110001000 +011110001000 +011101110110 +011001100110 +010101100110 +010101100101 +011001110111 +100010001001 +100110011010 +100010011010 +100010011001 +011101111000 +010001010100 +001000110010 +001000100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001100110010 +010101010100 +011001100101 +011101110110 +010101010101 +001101000011 +000100110011 +000100100010 +000100100010 +000100100010 +000100110010 +001001000100 +010001010101 +011001110111 +011110001001 +100010011010 +100110011010 +100110011010 +100010011010 +100110101011 +100010011010 +010101110111 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001000110100 +001000110011 +001100110100 +001100110100 +001100110011 +001100110011 +010001000101 +010001010110 +010001010110 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100010 +001000110100 +001000110100 +001000110011 +001101000101 +010001000101 +001000100010 +000000000000 +000000000000 +001100100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +010001000011 +011001010101 +011001110110 +011110001000 +100010011010 +100010001001 +011101110111 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010101010101 +011001100110 +011101110111 +100010001000 +100010001000 +011101110111 +010101010101 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010001000011 +010001000011 +010001000010 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101010011 +011001010100 +010101010100 +010101010100 +010101010011 +010101010100 +011001010100 +011001100100 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100100 +011001010100 +011001010100 +011001100100 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011101111000 +011101110111 +011001110111 +011101110111 +011101110111 +011110001000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011101110111 +011001100110 +010101100101 +010101010100 +010001000100 +010101010100 +011001100110 +011001110111 +011101111000 +011101110111 +011001110110 +011001100110 +011001100110 +011001100110 +011001110110 +011001100110 +010101010101 +010001000101 +010101010110 +010101100111 +011001110111 +011001110111 +011101111000 +011110001000 +100010001001 +100010001001 +011110001000 +011101110111 +011001100110 +011001100110 +011001100110 +011101110111 +100010011001 +100010011010 +100010011001 +100010001001 +011001110111 +010001000100 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001100110010 +010101010100 +011001100101 +011101100110 +010101010101 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010101100110 +011001111000 +100010011001 +100110011010 +100110101011 +100110101011 +100010011010 +011001111000 +010101101000 +010101101000 +010101101000 +010101100111 +010101100111 +010101100111 +010001100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100011 +001101000101 +001101000101 +001101000101 +001101000110 +010001000101 +000100100010 +000000000000 +000000000000 +001100100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100010 +001100100010 +001100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100110010 +001100110010 +010000110010 +010000110011 +001100110010 +001100110010 +001100100010 +001100100010 +010000110011 +010101010100 +011101100110 +011101110111 +100010001001 +100010011010 +100010001001 +011101110111 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011001110111 +011001100111 +011001110111 +011001100111 +011001100110 +011001110111 +011110001000 +100010001001 +100010011001 +011110001000 +011001100110 +010000110011 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010001000011 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010101000010 +010101000011 +010101010011 +011001010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001100101 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010101 +011001100101 +011001110111 +011101111000 +011101110111 +011001100110 +010101010101 +010101010101 +010101010101 +010101100101 +011101110111 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011001100111 +011001100110 +011001100110 +010101010101 +010001000100 +001100110011 +010001000011 +010001000100 +010101010101 +010101010101 +010101010100 +010001000011 +001100110011 +010101010100 +011001100110 +011101110111 +011001100110 +010101010101 +010101010101 +010101100110 +011001110111 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +011101110111 +011001100110 +011001100110 +010101010101 +010101100101 +011001110111 +011101111000 +011110001000 +011101111000 +011001110111 +010101010101 +001100110011 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +000100010010 +001000010010 +001000010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +010101010100 +011001100101 +011101100110 +010101010101 +001100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001000110011 +001101000100 +010001010101 +010001100101 +010101100110 +010101110110 +011110001000 +011110001001 +011110001001 +011001111000 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001100111 +010101100111 +010001010110 +001101000101 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +000100110011 +001101000101 +001101010110 +001101010110 +010001010110 +010001010110 +001101000100 +000100010001 +000000000000 +001000010001 +000100010001 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000010001 +001000010001 +001000100001 +001000100001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +011001010101 +011101110110 +011110001000 +100010011001 +100010011010 +100010001001 +011101110111 +011101110110 +011101100110 +011001100110 +011001100101 +011001100110 +011001100110 +011001110111 +011101110111 +100010001000 +100010011001 +100010011001 +100010011001 +100010001000 +011101110111 +011001110110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +100010011001 +100110011001 +100110011010 +100010011001 +011001110110 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010000110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110011 +010000110010 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101010100 +011001100101 +011101110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010100 +011001010101 +010101010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101010100 +011001100110 +011101110111 +011101110111 +011001100101 +010101010100 +010101010100 +010101010100 +010101010100 +011001110110 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011001100110 +010001000100 +010001000100 +010101010101 +010101010101 +010001000011 +001100110010 +001100110010 +001100110010 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +011001100101 +011101110111 +011101110111 +011001100110 +010101010101 +010101010101 +010101100110 +011001110111 +011110001001 +100010011001 +100010011010 +100010011010 +100010011001 +011110001000 +011101110111 +011001100110 +010101010100 +010001000100 +010001000100 +010101010101 +010101100110 +010101010110 +010101010101 +010101010101 +010001000100 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +000100010010 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010100 +011001100110 +011101100110 +010101010101 +001100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010001100101 +010001100101 +010001010101 +001101010101 +001101000100 +001001000100 +001001000100 +001001000100 +001001000100 +001101000100 +001101000100 +001101000100 +001001000100 +001001000100 +001000110100 +001001000100 +001001000100 +001001000100 +001000110100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000100010 +000000010001 +000000010001 +000000010010 +000100110011 +001101000101 +001101000101 +001101000101 +010001010110 +010101100111 +010101010111 +010001000101 +001100110100 +000100010001 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000010000 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100101 +011101110110 +011110001000 +100010001001 +100010011010 +011110001000 +011101110111 +011101110110 +011101110110 +011001100110 +011001100110 +011001100110 +011101110111 +011110001000 +100010001001 +100110011001 +100110011010 +100110101010 +100110011010 +100010011001 +011101110111 +010101100101 +011001110111 +100010001001 +100010011001 +100010011001 +100010011001 +100110011001 +100110101010 +100110101010 +100110011001 +011101110111 +010000110011 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000011 +010101000011 +010101000011 +010101010011 +010101000011 +010101000011 +011001010100 +011101100110 +100001110111 +100010000111 +100001110110 +011101100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100110 +011101110110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +010101010100 +010101000100 +010101010100 +011001100110 +011101110111 +011101110111 +011001100101 +010101010100 +010101010100 +010101010100 +010101010100 +011001110110 +100010001000 +100010011001 +100010001001 +100010001000 +011110001000 +011101110111 +011001110110 +010101010100 +001100110011 +010001000100 +010101010101 +010101010101 +010001000100 +001100110010 +001100110010 +010000110011 +010001000011 +010101010100 +011001100110 +011001100110 +011001110111 +011101111000 +011101111000 +011101110111 +011101100110 +011001100101 +011001100101 +011001100110 +011110001000 +100010011001 +100010011010 +100010011010 +100010011010 +100010001001 +011101110111 +011001100110 +010101010101 +010001000100 +001100110010 +001100110011 +001101000100 +010001000100 +010101010101 +010101100110 +010101100110 +010001000100 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001100110010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010101 +011001100110 +011001100101 +010101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110010 +000100110011 +000100110011 +000100110010 +000100110010 +000100110010 +000100110011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000100010 +000000010001 +000000010001 +000000010010 +000100110011 +001000110100 +001000110100 +000100100011 +001101000100 +010001010110 +010101100111 +010101100111 +010101100111 +000100010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +000100010000 +000100010000 +001000010000 +000100010000 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001100100010 +001100110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100101 +011101110111 +100010001000 +100010001001 +100010011001 +011110001000 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011101110111 +100010001001 +100010011001 +100110011001 +100110011001 +100110101010 +101010101010 +100110101010 +100110011001 +011101110111 +010001010100 +011001100110 +100010011001 +100110011010 +100110011001 +100110011010 +100110101010 +100110101010 +100110101010 +100110011001 +011101110111 +010000110011 +001100100010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011101110110 +100010000111 +100010000111 +011101110110 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010011 +010101010011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +011001100101 +011101100110 +011101100101 +011001010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +010101010100 +011001010100 +011001100110 +011101110111 +011101110111 +011001100110 +010101010100 +010101000100 +010101000100 +010101010100 +011001100110 +011101110111 +011101111000 +011101110111 +011001100110 +011001100110 +010101010101 +010101010100 +010101010100 +010101010101 +011001100110 +011001100110 +011001100101 +010101010100 +010001000011 +001100110011 +010101010100 +011001100110 +011101110111 +011110001000 +100010001001 +100010011001 +100010011001 +011110001000 +011101110111 +011001100110 +011001100101 +011001100101 +011001100110 +011101111000 +011110001001 +011110001001 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +010101100101 +010001010100 +001100110011 +001100110011 +010001010101 +011001100111 +011101110111 +011110001000 +011101111000 +011001100110 +001100110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001100110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010010 +001000100010 +001100110011 +011001100101 +011101110110 +011001100101 +010101010100 +001000110011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100011 +001000110011 +001101010100 +010001010101 +010001100101 +010001100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +001000110011 +001000110100 +001000110011 +000100100010 +000100100010 +001000110100 +001101010101 +010101100111 +010101100111 +000100010001 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001000100001 +001000100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100101 +100001110111 +100010001000 +100010001001 +100010011001 +011110001000 +011101111000 +011101110111 +011101110111 +011101110111 +011001110111 +100010001000 +100110011001 +100110011010 +100110011001 +100110101010 +101010101010 +101010101010 +101010101010 +100110011010 +011101110111 +010001010100 +011001100110 +100110011001 +100110101010 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +100110011001 +011101110111 +010000110011 +001100100010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010001000010 +010101010100 +011101110110 +100010001000 +100010000111 +011101110110 +010101000011 +010000110001 +001100110001 +010000110001 +010000110001 +001100110001 +001100110010 +001100110010 +001100110001 +001100110001 +001100110001 +010000110010 +010000110010 +010000110010 +011001010100 +011101100101 +011001100101 +010101000011 +010000110010 +010001000011 +010001000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001100110 +011001100110 +011001100110 +011001100110 +010101010100 +010001000011 +010000110011 +010001000011 +010101010100 +010101010101 +010101010100 +010001000100 +010000110011 +010000110011 +010001000011 +010101010100 +011001100110 +011101110111 +011101110111 +011001110110 +011001100110 +010101010101 +010101010100 +010001000100 +011001100110 +011110001000 +100010011001 +100010011010 +100110101010 +100110101010 +100010011001 +011110001000 +011001110111 +011001100101 +011001010101 +010101010100 +010101010101 +011001100110 +011001110111 +011001100110 +011001100110 +011101100110 +011101110110 +011101110110 +011101110110 +011001100110 +010101100101 +010001000100 +010001010100 +011001100111 +011110001000 +100010011001 +100010011001 +100010001001 +011001110111 +010001000011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110011 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011001100110 +011101110110 +011001100101 +010101010100 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001101010100 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010010 +001000110011 +001000110100 +001000110011 +000100100010 +000100100010 +000100110011 +000100110011 +001000110100 +001101000101 +001000010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +010000110011 +010001000011 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +011001100101 +100001110111 +100010001000 +100010011001 +100010011001 +011110001001 +011110001000 +100010001000 +100010001000 +011101110111 +011101110111 +100010001000 +100110011010 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101010 +100110101010 +011101111000 +010001010100 +011001100110 +100010011001 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101010 +100110011010 +011101110111 +010000110011 +001100110010 +010101010100 +010101010100 +010101000100 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101000011 +010001000010 +010101010100 +011101110110 +100010001000 +100010000111 +011101100110 +010101010100 +010001000010 +010001000010 +010001000010 +010001000010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110001 +001100110001 +001100110001 +001100110001 +010101010100 +011101100101 +011001010100 +010000110010 +001100110001 +001100100001 +001100110001 +001100110001 +001100110001 +001100100001 +001100110001 +001100110001 +010000110001 +010000110010 +010000110001 +010000110010 +010000110010 +010001000010 +010101000011 +010101010100 +011001010100 +011001100101 +010101010101 +010101010100 +010101010101 +011001100110 +010101010101 +010001000011 +001100110010 +001100110010 +010000110011 +010000110011 +010000110010 +001100110010 +010000110011 +010101000011 +010101010101 +011101110110 +011110001000 +011110001000 +011110001000 +011101110111 +011001100110 +011001100110 +010101010101 +010101010101 +011001110111 +100010001001 +100110011010 +100110101010 +100110101010 +100110101010 +100010011001 +011101111000 +011001100110 +011001100101 +010101010100 +001100110010 +001100110010 +010001000011 +010001000100 +010001000100 +010001000100 +011001100101 +011101110110 +011101110110 +011101110110 +011001110110 +011001100110 +010101100101 +010101100101 +011001110111 +100010011001 +100110101010 +100110101010 +100010011001 +011001110111 +010001000011 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100001 +001100100010 +001000100010 +001000100010 +001000110010 +001100110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011001100110 +011101110110 +011001100101 +010001010100 +001000110011 +001000110011 +000100100010 +001000110011 +001000110011 +001000110011 +001001000100 +001101010100 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100110010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010010 +001000110011 +001000110100 +001000110011 +000100100010 +001000100011 +001000110011 +000100110011 +000100110011 +000100110011 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +010001000101 +010101100111 +010101010110 +010001000100 +001100110010 +001100110010 +001100110010 +010000110011 +011001100101 +100001110111 +100010001000 +100010001001 +011110001001 +011110001000 +011110001000 +100010001000 +100010001000 +011101110111 +011101110111 +100010001000 +100110101010 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101010 +100110101010 +011101111000 +010001000100 +010101100110 +100010011001 +100110101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101011 +100110011010 +011101110111 +010001000011 +010101010100 +011101110111 +011101110111 +011001100110 +010101000100 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100001 +001100100010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010001000011 +010101000011 +010101000011 +010001000011 +010000110010 +010101010011 +011101110110 +100010000111 +100010000111 +011101110110 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +011001100101 +011101100110 +011001100101 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100100001 +001100100001 +010000110001 +010000110001 +010000110010 +010101000011 +011001010100 +011001100101 +010101010101 +010101010100 +011001010101 +011001100110 +010101010101 +010001000011 +001100110010 +010000110010 +010001000011 +010101000011 +010101010100 +010101010101 +011001100110 +011101110110 +011101110111 +100010001000 +100010001001 +100010001000 +011110001000 +011101110111 +011001100110 +011001100110 +011001100101 +011001100110 +011101110111 +100010011001 +100110011010 +100010011010 +100010011001 +100010011001 +011110001000 +011001110111 +011001100110 +010101010101 +010001000011 +001100100010 +001000100001 +001100110011 +010001000101 +010101010101 +011001100110 +011101110111 +100010000111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100101 +011001100110 +011110001000 +100010011001 +100010011010 +100010011001 +011110001000 +011001100110 +001100110011 +001100100010 +001100110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110011 +001100110011 +001100110011 +001100110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011001100110 +011101110110 +011001100101 +010001010100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101010100 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100110010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000100010 +001000110011 +001001000100 +001000110011 +000100100010 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001000100001 +001000010001 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +001000100001 +001100110011 +001000110010 +001000100001 +001000100001 +001000110010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100001 +001000100001 +001100110011 +010101100111 +011001111001 +011001111000 +010001010101 +001100110010 +010000110010 +010000110010 +010001000011 +011001100101 +100001110111 +100010001000 +100010001001 +011110001001 +011101111000 +011101111000 +100010001000 +100010001000 +011110000111 +011101110111 +100010001000 +100110101010 +101010101010 +100110101010 +100110101010 +101010101010 +101010101010 +101010101010 +100110101010 +011101111000 +010001010100 +010101100110 +100010011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +101010101011 +100110101010 +100010001000 +011001100110 +011101110111 +100010001001 +100010011001 +100001111000 +010101010101 +010000110011 +010000110011 +010001000011 +010001000011 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010001000011 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +010101000011 +010101000011 +010101000011 +010101000011 +010001000010 +010101010011 +011101100110 +011110000111 +011101110111 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100101 +011101100110 +011101110111 +011101110110 +011001100101 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000010 +010101000011 +010101010100 +011001100101 +011001100110 +011001100101 +011001100101 +011001100101 +011001100110 +011001010101 +010001000011 +010000110010 +010000110011 +010101010100 +011001100110 +011101110111 +011101111000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +011110001000 +011101110111 +011001110111 +011001100110 +011001100101 +011001100101 +011001100110 +011101110111 +011110001000 +100010001000 +011101111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010001000011 +001100110010 +001100110011 +010101010101 +011001110111 +011110001000 +011110001000 +100010011001 +100010011001 +100010001000 +011101110111 +011001100110 +011001100101 +010101010101 +011001100101 +011001110111 +011101111000 +011101111000 +011001111000 +011001100111 +010001010100 +001100110010 +001100100001 +001100110001 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000110010 +001000110011 +001100110011 +001000110010 +001000110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001100110100 +010101010101 +011101110110 +011101110110 +011001100101 +010001000100 +001000110011 +000100100010 +001000110011 +001000110011 +001000110011 +001100110100 +001101000100 +001101010100 +010001010101 +010001100101 +010101100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000100010 +001000110011 +001000110100 +001000110011 +001000100011 +001100110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000100001 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010000 +000100100001 +001101000100 +010101100110 +010101010110 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001100100001 +001100100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110011 +010101100111 +011001111001 +011001111001 +010101010110 +010001000011 +010101000011 +010101000100 +010101010100 +011101100110 +100001110111 +100010001000 +011110001000 +011110001000 +011101111000 +011101111000 +100010001000 +100010001000 +100010001000 +011110000111 +100010011000 +100110101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101011 +100110101010 +011110001000 +010101100110 +011001110111 +100010011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101011 +101010101011 +101010101011 +100110101010 +100110011001 +100010011001 +100110011010 +100110011010 +100010001000 +011101110110 +011001100110 +011101110110 +011101110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +011101110110 +011101100101 +011001010100 +010101000011 +010001000011 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +010101000011 +010101010011 +010101000011 +010001000010 +010101000011 +011001100101 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010001000011 +010101000011 +010101000011 +010101010100 +011001010101 +011001100101 +011001100110 +011101110111 +011101110111 +011101110111 +011001110110 +011001100101 +010101000100 +010001000011 +010001000011 +011001100101 +011101110111 +100010001001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011001 +100010001001 +011110000111 +011101110111 +011001100110 +011001100101 +010101010100 +010101000100 +010101010100 +011001100101 +011001100110 +010101100101 +010001000100 +001100110011 +010000110011 +010101010101 +011001100110 +011101100110 +011001100110 +010101010101 +010001000100 +010101010100 +011101110111 +100010001001 +100010011001 +100010011010 +100110011010 +100010011001 +011110001000 +011101110111 +011001100101 +010101010100 +010001000011 +010001000011 +010001010100 +010001010101 +010001000100 +010001000100 +010001000100 +010001000011 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000110011 +001101000100 +010001000101 +010001010101 +010101100110 +011101110111 +011101110110 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100110011 +001000110011 +001000110011 +001101000100 +001101010100 +010001010101 +010001010101 +010001100101 +010101100101 +010001010101 +001001000011 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000100010 +001000110011 +001000110100 +001000100011 +000100100010 +001100110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +001100110011 +011001110111 +011110001001 +011110001001 +010101100101 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001100100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +010001010101 +011001111000 +011001111000 +011001100110 +011001100101 +011001100110 +011001100110 +011101100110 +011101110110 +100010000111 +100010001000 +011110001000 +011110001000 +011001111000 +011101111000 +100010001000 +100010001000 +011110001000 +011110000111 +100010011001 +100110101010 +101010101011 +100110101010 +100110101010 +101010101010 +101010101010 +101010101011 +100110101010 +100010011001 +011001111000 +011110001000 +100010011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +100110101011 +101010101011 +101010101011 +101010101011 +100110011010 +100010011010 +100010011010 +100010011010 +100110011001 +100110011001 +100110011001 +101010011001 +101010011001 +101010011001 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +100110011001 +100110011000 +100010001000 +100010000111 +011101110110 +011001100101 +011001010101 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010001000100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000011 +010001000011 +010000110010 +001100110010 +010000110010 +010001000010 +010101000011 +010101010011 +010101000011 +010001000011 +010101000011 +011001100101 +011101110111 +011110000111 +011110000111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011101100110 +011101100110 +011101100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001010100 +010101010100 +010101010100 +011001010100 +011001010101 +011001100101 +011001100110 +011101110110 +011101110111 +011110001000 +011110000111 +011101110110 +011001100101 +010101010100 +010101000100 +010001000100 +011001100110 +011110001000 +100010011001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011001 +100010001000 +011101110110 +011101100110 +011001100110 +010101010101 +010001000011 +001100110010 +010000110011 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +010101010100 +011001100110 +011101110110 +011101110110 +011001100110 +011001100101 +011001100101 +011001100110 +011110001000 +100010011010 +100110101010 +100110101010 +100010011010 +100010011001 +011101110111 +011001100110 +010101010101 +010000110011 +001000100001 +001000100001 +001000100010 +001100100010 +001100110011 +010001010101 +010101100110 +010001000100 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110100 +010001010101 +010101010110 +010101100110 +011001110111 +011101110111 +011101110110 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +001101010101 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001001000011 +001000110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000100010 +001000110011 +001000110011 +000100100010 +000100100010 +001101000100 +001101000100 +001000110100 +001000110011 +001000110011 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010000 +001000100001 +010001010101 +011110001001 +100010011011 +100010011010 +011001110111 +010001000011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +010001000100 +011001100111 +011101110111 +011101111000 +100010001000 +100010001000 +011101110111 +011101110111 +100010000111 +100010000111 +100010000111 +011110001000 +011001111000 +011001111000 +011001111000 +011110001000 +100010001001 +011110001001 +011110001000 +100010011001 +100110101010 +101010101010 +100110101010 +100110101010 +100110101011 +100110101011 +100110101011 +100110101010 +100010011010 +100010011010 +100010011010 +100010011010 +100110101010 +100110101010 +100010011010 +100010011010 +100010011010 +100010011010 +100110011010 +100110101010 +100110011010 +100010011010 +011110001010 +100010011010 +100010011010 +100110011010 +101010101010 +100110011001 +100110011001 +100010011001 +100010011000 +100010001000 +011110000111 +011110000111 +100010011000 +101010101001 +101110101010 +101110101010 +101010101010 +101010101010 +100110011001 +100110001000 +100010001000 +011101110111 +011101100110 +011001100101 +010101010101 +010101010101 +010101010101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001010101 +010001000011 +010000110010 +010000110010 +010001000010 +010101000011 +010101010011 +010101000011 +010101000011 +010101010011 +011001100101 +011101110111 +100010000111 +100010000111 +100010000111 +100001110111 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001100110 +011001100110 +011101110110 +011101110110 +011001100110 +011001100101 +011001100101 +011001100110 +011001100110 +011101110110 +011001100110 +011101110110 +011101110111 +011110001000 +011110001000 +011101110110 +011001100110 +011001100101 +010101010101 +010101010101 +011001110110 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010001000 +100010000111 +011101110110 +011101100110 +011001100110 +010101100101 +010001000011 +001100110010 +010000110011 +010001000100 +010101010101 +010101010101 +011001100110 +011001110111 +011101111000 +011101111000 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011101110110 +100010001000 +100010011010 +100010011010 +100010011001 +100010011001 +100010001000 +011101110111 +011001100110 +010101010101 +010001000011 +001100100010 +001100110010 +010001000011 +010101010101 +011001100110 +011101111000 +011101111000 +010101100110 +001100110011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100010 +001100110100 +010101010101 +011001010101 +011001010110 +011001100110 +011101110110 +011101110110 +011001100101 +010001000100 +001000110011 +000100110011 +001000110011 +000100100010 +000100100010 +001000110011 +001101000100 +001101010101 +010001010101 +010001100101 +010001100101 +010001100101 +010001010100 +001001000011 +000100110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010001 +000100100010 +001000110011 +001000110011 +000100100010 +001000100011 +001101000101 +010001010101 +001101000101 +001000110100 +001000110011 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100010 +001000100010 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100010 +001100110010 +001100110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100110010 +001000100010 +001100110010 +010101010101 +011101111000 +011110001001 +011110001001 +011101110111 +010001000100 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +010001000100 +011001100111 +011110001000 +100010001001 +100010011001 +100010011001 +100010001001 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +011001111000 +011001111000 +011110001000 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +100010011011 +100010011011 +100010011011 +100010101011 +100110101011 +100110101011 +100010011011 +100010011010 +100010011001 +100010011001 +100010001001 +011110001001 +100010011010 +100010011010 +100010001001 +011110001000 +011110001000 +011001111000 +011001111000 +011110001000 +011110001000 +011110000111 +011101110110 +011001100110 +010101100101 +010101010100 +010001010100 +001101010011 +001101000011 +010101100101 +011110000111 +100110011001 +101110101010 +101110111011 +101110111011 +101110111011 +101010101010 +100110011001 +100010001000 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011101110111 +011101110111 +011110001000 +100010001000 +011101110111 +010101010100 +010000110010 +010001000010 +010001000010 +010101000011 +010101000011 +010101000011 +010001000010 +010101010011 +011001100110 +011101110111 +100010000111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +100001110111 +100010000111 +011101110111 +011101110111 +011101110111 +011101110111 +011110000111 +100010000111 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101110110 +011101110111 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101100110 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011101110111 +011110001000 +011110001000 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011101110111 +100010001000 +100010011001 +100010001001 +011110001000 +011101111000 +011001110111 +011101110110 +100001110110 +100001110110 +011101110110 +011001110110 +011001100110 +010101010100 +010001000011 +010001000011 +010101100101 +011001110111 +011110001000 +100010001001 +100010011001 +100010011010 +100010011001 +011110001000 +011101110110 +011001100101 +011001100101 +011001100101 +011001100110 +011101110111 +011110001000 +100010001000 +100010001000 +100010000111 +100001110111 +011101110110 +011001100110 +011001100110 +010101010101 +010001000100 +010001010100 +011001100111 +011110001000 +100010011001 +100010011001 +100010001001 +011001100110 +001100110011 +001000100001 +001000100010 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100100001 +001100110011 +010101000100 +011001000100 +011001010100 +011101100101 +011101110110 +011101110110 +011001100101 +001101000011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +001000110011 +001101000100 +001101010101 +010001010101 +010001100101 +010001100101 +010001100101 +001101010100 +001000110011 +000100110011 +000100110011 +000100110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010001 +000100100010 +001000110011 +001000110011 +000100100010 +000100100011 +001101000101 +010001010101 +001101000101 +001101000100 +001000110100 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100010 +001101000100 +001101000101 +001000110011 +000100100001 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110010 +001100110011 +010001000100 +010001000100 +010001000100 +010001000101 +010101010101 +010101010101 +010101010101 +010101010100 +010001000100 +010001000100 +010101010101 +010101100110 +011001100111 +011001100111 +010101100110 +010001000100 +010001000100 +001100110011 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +010001000100 +011001100111 +011110001000 +011110001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +011101111000 +011110001001 +100010011011 +100110101011 +100110101011 +100110101011 +100010011011 +100010011010 +100110101010 +100110101010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +011110011010 +100010011011 +100010011011 +100010011010 +011110001010 +100010011010 +100010011010 +100010011010 +100010011010 +011110001010 +011110011010 +100010011010 +011110001001 +011001110111 +011001100110 +011001100101 +011001100101 +011001110110 +010101100110 +010001010100 +001101000011 +001101000010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001100110010 +010101010100 +100001110111 +101010101001 +101110111011 +101110111011 +101010101011 +100110011001 +100010001000 +100010001000 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +011101110111 +010101010100 +010001000011 +010001000011 +010001000010 +010001000010 +010101000011 +010101000011 +010001000011 +010101010100 +011101100110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100010000111 +100001110111 +011101110111 +011101110110 +011101110111 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +011001100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011101110111 +100010001000 +011101111000 +011101110111 +011001100110 +011001100101 +010101010101 +010101010101 +011001100110 +011001110111 +011001110111 +011001100110 +010101100101 +010001000100 +010001000011 +010101010100 +011101100110 +100001110110 +011101110110 +011101110111 +011001110110 +011001100101 +010101010100 +010101010101 +011001110111 +100010001001 +100010011010 +100110011010 +100110101010 +100110101010 +100010011001 +011110001000 +011001110110 +010101010101 +010101000100 +010001000011 +010001000100 +010101010101 +011001100110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011101110110 +011001100110 +011001100110 +011001100101 +011001100110 +011110001000 +100010011001 +100110011010 +100010011010 +100010001001 +011001100110 +001100110011 +001000100010 +001000100010 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001100110011 +010100110011 +010100110010 +010100110011 +011001010100 +011101100101 +011101100101 +011001010101 +001101000011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +001000110011 +001101000100 +001101010101 +010001010101 +010001100101 +010001100101 +010001100101 +001101010100 +001000110011 +000100110010 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000100100010 +001000110011 +001000110011 +000100100010 +000100100010 +001000110100 +001101000100 +001101000100 +001101000100 +001101000100 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100010 +001101000100 +001101000100 +001000100010 +000000010000 +000100010000 +000000010000 +000100010001 +001000110011 +010001010101 +010001010110 +001101000101 +001000110011 +001000100010 +001000100010 +001000110010 +001100110010 +001100110011 +001100110011 +010000110011 +010001000011 +010001000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +011001100110 +011001100110 +011001010110 +010101010101 +010101010101 +010101010101 +010101010101 +010001010101 +010001010101 +010001010101 +010001000101 +010001000101 +010001000100 +001100110011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +010001000100 +010101100110 +011001111000 +011101111000 +011101111000 +011101111000 +011101111000 +011110001000 +100010001000 +100010001000 +100010001000 +011101110111 +011101111000 +100010011010 +101010111100 +101010111100 +100110111100 +100110101100 +100110101100 +100110101011 +100110101011 +100110101011 +100110101011 +100010011010 +100010011010 +100010011011 +100010011011 +100010011011 +011110001010 +011110001001 +011001111000 +011001111000 +100010011010 +100110101100 +101010111100 +100110101011 +100010011010 +011110001010 +100010011010 +011110001001 +011001100101 +011001010100 +011001100011 +011101100100 +011001110110 +011001110111 +010101100110 +001101000100 +001000110010 +001000110010 +001000110010 +001000110010 +001100110010 +001100110011 +001101000011 +010001000011 +011001100101 +100110011000 +101010101010 +101010101011 +100110011001 +100010001000 +011101111000 +011101110111 +011101111000 +011110001000 +100010001000 +100010001001 +100010011001 +100010011001 +100110011001 +100010011001 +100110011010 +100110011001 +011110000111 +010101010100 +010001000011 +010001000010 +010001000010 +010001000010 +010101000011 +010101000011 +010001000011 +010101010100 +011101100110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +011101110111 +011001110111 +011110001000 +100010011001 +100110011010 +100010001000 +011001110111 +010101100110 +011001100110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001100110 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +010101100101 +010001000011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +001100110010 +001100110010 +001100100010 +001100110011 +011001010100 +100001110110 +100001110110 +011101110110 +011001110110 +011001110110 +011001100110 +011001100101 +011001100110 +011110001000 +100010011001 +100110101010 +100110101010 +100110101010 +100110011010 +100010011001 +011110000111 +011001100110 +010101010100 +001100110010 +001000100001 +001100100010 +001100110010 +010001000011 +010101010100 +011101100110 +100001110111 +100001110111 +011101110111 +011101110110 +011001110110 +011001100110 +011001100110 +011001110110 +011110001000 +100010011010 +100010011010 +100010001001 +011101111000 +010101010101 +001100110010 +001000100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100100001 +001100110011 +010000110011 +010100110010 +010100110010 +011001010100 +011001100101 +011001100101 +010101010101 +001101000011 +000100110010 +000100100011 +000100110011 +000100100010 +000100110011 +001000110011 +001101000100 +001101010101 +010001010101 +010001100101 +010001100101 +010001100101 +001101010100 +001000110011 +000100110010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000100100010 +001000110011 +001100110011 +001000100010 +000100100010 +000100100011 +001000110011 +001000110011 +001000110100 +001000110100 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001101000100 +010101100111 +010101101000 +010001010101 +001000110010 +000100100001 +001000100001 +001000100010 +001100110100 +010001010101 +010001010110 +010001000101 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010101000100 +010101000100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001000100 +001101000100 +001101000100 +010001000100 +010001000100 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110010 +001100110011 +001100110010 +001100110010 +001101000100 +010001010101 +010101100110 +010101100110 +010101100111 +011001100111 +011001100111 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011101110111 +100010011010 +101010111100 +101010111101 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010101100 +100110101011 +100110101011 +100110101011 +100110101100 +100110101011 +100010011010 +011001111001 +010101100110 +011001111000 +100110101011 +101010111101 +101111001101 +101010111100 +100010011010 +011110001010 +011110011010 +011110001001 +011001100110 +011001100100 +011001100100 +011101110100 +011110000111 +011110001000 +011001111000 +010001100110 +001101000011 +001100110010 +001100110010 +001100110010 +010001000011 +010101010101 +011001100110 +010101100110 +010101100101 +011101110111 +100010011001 +100010011001 +100010001000 +011110001000 +100010001001 +100010001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100110011001 +100110011001 +100110011001 +100110011010 +100110011001 +011110000111 +010101010100 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010001000011 +010101010100 +011001100110 +100001110111 +100001110111 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100110000111 +100010001000 +100010001000 +100010001000 +100010011001 +100110101010 +100110101011 +100110011010 +011110001000 +011001110111 +011101110111 +100001110111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +010101010101 +001100110010 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001100110011 +010001000100 +010001010101 +010101100110 +011101110111 +100001110111 +100001110111 +011101110110 +011001110110 +011001110110 +011001100110 +011001100110 +011001100110 +011110001000 +100010011001 +100110011010 +100010011010 +100010011001 +100010001001 +011110001000 +011001110111 +011001100110 +010001010100 +001100110010 +001000100001 +001000100001 +001101000011 +010101010100 +011001100110 +011101110111 +100010001000 +100010001000 +011110000111 +011101110110 +011001100110 +011001100101 +011001100101 +011001100110 +011101111000 +100010001001 +011110001001 +011001110111 +010101010101 +001100110011 +001000100010 +001000100001 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100100001 +001100110011 +010101000011 +010100110010 +010100110010 +011001010100 +011001100101 +011001100101 +010101010101 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010001100101 +010001100101 +001101010100 +001000110011 +000100110011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010001 +000000010001 +000100100010 +001000110011 +001100110011 +001100110010 +000100100010 +000100100011 +000100100011 +001000110011 +001000110011 +001000110011 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100010 +001101000100 +010101100111 +011110001010 +011110001010 +011001111000 +010101010110 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010101010110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000101 +010101000101 +010101010101 +010101010101 +010001010101 +010001000100 +010001000101 +010001010101 +010001000101 +010001000100 +010001000101 +010101010110 +010001010110 +001101000101 +001100110100 +001101000100 +010001000101 +010001010101 +001100110011 +001000100001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100010 +001100110011 +001101000100 +001100110011 +001000100001 +001000100010 +001000110010 +001100110010 +001100110011 +001100110011 +001101000011 +010001010100 +011001100110 +011001100110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001010101 +010101010101 +010101010101 +010101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +100010011001 +100110101011 +101010111100 +101010111100 +101010111101 +101010111101 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +100110101011 +100010011010 +011001111000 +011010001001 +100010101011 +101010111100 +101010111100 +100110101100 +100010011011 +100010011010 +100010011011 +011110001001 +010101100111 +010101100101 +011001100101 +011001110101 +011001110110 +011010001000 +011001111000 +010101100111 +010001010101 +001101000011 +001100110011 +001101000011 +010001010100 +011001100110 +011101110111 +011001110111 +010101100110 +011101110111 +100010001000 +100110101000 +101010101000 +101110111000 +101110111001 +101110111011 +101010111010 +100110101001 +100010011001 +100010011001 +100010011001 +100110011001 +100110011010 +100110011010 +100110011010 +100110011001 +011110000111 +010101010100 +001100110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000011 +010001000010 +010101010011 +011001100110 +100001110111 +100001110111 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100110000111 +100110011001 +100110011001 +100110011001 +100110011010 +101010101010 +101010101011 +100110101011 +100110011010 +100010011001 +100010011001 +100110001000 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001100110 +010001000100 +001100110011 +001100110011 +001101000011 +010001000100 +010101010101 +010101100110 +011001110111 +011110001000 +011110001001 +100010001001 +100010001000 +100010000111 +011101110111 +011001110111 +011001110110 +011001100110 +011001100101 +011001100110 +011101111000 +011110001001 +011110001001 +011101111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010001000011 +001100110010 +010001000011 +010101100110 +011101111000 +100010001000 +100010011001 +100010011010 +100010011001 +011110001000 +011101110110 +011001100101 +010101010100 +010101010100 +010101010101 +011001100111 +011101111000 +011001110111 +010101010110 +010001000100 +001100110010 +001000100001 +001000100001 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100100001 +001100110011 +010101000100 +010101000100 +010101000011 +011001010100 +011001100101 +011001100101 +010101010100 +001101000011 +000100100010 +000100100010 +001000110011 +000100100010 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101100101 +010001100101 +001101000100 +001000110011 +000100110010 +000100100011 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010001 +001000100010 +001100110010 +001100110010 +000100100011 +000100100011 +000100100011 +000100110011 +001000110011 +001000110011 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000000010000 +000100010000 +000100010001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000110010 +001101000100 +010101100110 +011001111000 +011110001010 +011110011010 +011110001001 +011001110111 +010101010110 +010101010101 +010101010101 +011001010110 +011001100110 +011001100111 +011001100111 +011001100111 +011001100110 +011001010110 +010101010101 +010101010101 +010101010101 +010101010101 +010101010110 +010101010101 +010101010101 +010001000101 +010001000100 +010001000100 +010001010101 +010101100110 +010101010110 +010001000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010101100111 +010101100111 +010001010101 +010001000101 +010001010101 +010101100110 +010101100110 +010001000100 +001000100001 +000100010000 +000100010000 +001000010001 +001000100001 +001000100010 +001000110011 +010101010101 +011001111000 +011110001001 +011001111000 +010001010101 +010001010101 +010101100110 +011001100110 +011001100111 +011001100111 +011001100111 +011001110111 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011110001001 +100010011010 +100010011010 +100110101011 +100110101100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +100110111100 +100110101011 +100010011010 +100010011010 +100010011011 +100110101100 +100110101100 +100110101011 +100010011011 +100110011011 +100010011011 +011110001010 +011001111000 +010101100110 +010101100101 +010101100101 +011001100110 +011001110111 +011001110111 +011001110111 +010101100110 +010101010101 +010001000100 +001101000100 +010001010101 +010101100111 +011101110111 +100010000110 +101010010101 +101110100110 +101010100101 +101010100101 +100110100100 +100110010100 +100110100100 +101110110111 +101111001001 +101111001001 +110011001011 +101110111011 +101110111011 +100110101010 +100010011010 +100110011010 +100110011010 +100110011001 +011101110111 +010101010100 +001100110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010000110010 +010101000011 +011001100110 +011101110111 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100110000111 +100110011001 +100110011001 +100110011001 +100110011010 +101010101010 +101010101011 +101010101011 +101010101011 +101010101011 +100110101010 +100110011001 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011001110111 +011001100111 +010101100110 +010101100110 +010101100110 +010101100110 +011001110111 +011110001000 +011110001001 +100010011001 +100010011010 +100010011010 +100010011001 +100010001000 +100010000111 +011101110111 +011101110111 +011001100110 +010101010101 +010101000100 +010101010101 +011001100110 +011001110111 +011001100111 +010001010101 +001101000100 +010001000100 +010101010101 +011001100110 +011001100110 +011001100101 +011001100101 +010101010100 +011001100101 +011101111000 +100010011001 +100110011010 +100110011010 +100110011010 +100010011001 +011110001000 +011001110111 +010101010101 +010001000100 +010000110011 +010001000011 +010101010101 +011001100111 +011001110111 +011001100111 +010101100110 +010001000100 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100100001 +001100110011 +010101000100 +010101000100 +011001010100 +011001010101 +011001100101 +011101100101 +010101010100 +001100110011 +000100100010 +000100100010 +001000110011 +000100110011 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010101 +001101000100 +001000110011 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100001 +001000100010 +001000100010 +000100100011 +000100100011 +000100100011 +001000110011 +001000110011 +000100110011 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +001100110011 +010001010101 +011001100111 +011001111000 +011110001001 +011110001001 +011101111000 +011001100111 +010101010101 +010101010101 +010101010101 +011001010110 +011001100110 +011001100111 +011001100111 +011101110111 +011101110111 +011001100111 +010101010110 +010001000100 +010001000100 +010101010101 +010101010110 +010101010101 +010001000101 +010001000101 +001101000100 +010001010101 +010101100110 +011001110111 +011001100111 +010101100110 +010101100110 +010101100111 +010101100110 +010101100110 +010101100111 +011001110111 +011001110111 +010101100111 +010101100110 +011001110111 +011110001000 +011110001000 +010101010101 +001000100010 +000100010001 +001000100001 +001000110010 +001100110011 +001100110011 +001101010100 +011001110111 +100010011010 +100010011011 +011110001010 +011101111000 +011101111000 +100010001001 +100110011001 +100110011010 +100110011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011010 +100110011001 +100010001001 +100010001001 +011110001001 +011110001001 +100010011010 +100010011010 +100010011010 +100110101011 +100110101011 +100110101011 +100110101100 +100110111100 +101010111100 +101010111100 +101010111100 +101010111100 +101010111100 +100110101100 +100110101100 +100110101011 +100010011011 +100110011011 +100110011011 +100010011011 +100010011010 +100010011010 +100010001001 +011010001000 +010101110111 +010101100110 +010101100110 +011001110111 +011001110111 +011001110111 +011101111000 +011110001000 +011001111000 +010101100111 +010001010101 +010001000101 +010001000100 +100001110100 +110010100101 +101110100100 +100110000011 +100001110010 +011001110010 +010101100010 +010101100010 +010101100011 +010101100010 +010101110011 +010101110011 +011010000100 +011110010111 +100110111011 +101010111100 +101011001101 +101111001100 +101010101011 +100110101010 +100110011001 +011101110111 +010101010100 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +010001000011 +010000110010 +010001000011 +011001100101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110101 +100001110101 +011101110101 +011101110101 +100001110110 +100010000111 +100110011001 +100110011001 +100110011001 +100110011001 +101010101010 +101010101011 +101010101011 +101010101011 +101010101011 +101010101011 +100110011001 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +011001100110 +011001100111 +011001110111 +011001111000 +011001111000 +011001111000 +011110001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100001110111 +011101110111 +011101110111 +010101100110 +010001000011 +001100110010 +010001000100 +010001010101 +010101100110 +010001010101 +010001010101 +010001010100 +010101010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +100010001000 +100110011010 +100110011010 +100010011010 +100010011001 +100010001000 +011101110111 +011001110111 +010101100110 +010101010100 +010001000011 +010001000100 +010101100110 +011101111000 +011110001001 +011110001001 +011101111000 +010101010101 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001100110010 +010000110011 +010101000100 +010101000100 +011001010101 +011001100101 +011001100101 +010101010100 +001100110011 +000100100010 +000100100010 +001000100010 +000100110011 +000100110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101100101 +010001010101 +001101000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +001000110011 +001000110011 +000100110011 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010001 +001100110011 +010001010101 +010101100110 +010101100111 +010101111000 +010101101000 +010101100111 +010001000101 +001100110011 +010001000100 +010101010101 +010101010101 +010101010101 +010101010110 +010101100111 +011001110111 +011101111000 +011101110111 +010101100110 +001101000100 +001100110011 +010001010101 +010001010101 +010001010101 +010001000101 +010001010101 +010101010110 +010101100110 +011001100111 +011001100111 +010101100111 +010101100111 +011001110111 +011001111000 +011001110111 +011001110111 +011001110111 +011001111000 +011101111000 +011001111000 +011101111000 +011110001001 +100010011001 +100010001001 +010101100110 +001000110010 +001000100001 +001100110011 +010001000100 +010001010101 +010001010101 +010001100110 +011001110111 +011110001001 +011110001001 +011001111000 +011101111000 +100010001000 +100110011001 +100110011010 +100110011010 +100110011010 +100110011010 +100110011001 +100010001000 +100010001001 +100010001001 +100110011001 +101010101010 +101110101011 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011011 +100110101011 +100110101100 +100110101100 +100110101011 +100010011011 +100110101011 +100010011011 +100010011010 +100010001010 +011110001010 +011110001001 +011001111000 +011001111000 +011001110111 +011001100111 +010101100110 +011001110111 +011101110111 +011110001000 +011110001000 +011110001001 +100010001001 +100010011001 +011110001000 +010101100111 +010001010101 +010001010100 +011101110100 +100110000011 +011101100001 +010101000001 +010001000001 +010001000001 +001101000010 +010001010010 +010001010010 +010001010010 +010001100010 +010001100010 +010001100010 +010001100010 +010001110100 +010110001000 +010110011010 +010110101011 +011010101100 +100010111100 +100110111100 +101010101010 +011101110111 +010101010100 +001100110010 +010000110010 +010000110010 +010000110010 +010001000010 +010001000010 +010000110010 +010001000011 +011001100101 +011101110110 +011101110110 +011101100110 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110101 +011101100101 +011101110110 +100010000111 +100010001000 +100110011001 +100110011001 +100110011001 +100110011010 +100110101010 +100110101010 +100110101010 +100110101011 +100110101010 +100110011001 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100101 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001111000 +011110001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100010000111 +100001110111 +011101110111 +011101110111 +010101100110 +010001000100 +001100100010 +010000110100 +010001010101 +010101100110 +010101100110 +010101100111 +011001110111 +011101111000 +011101111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011110001000 +100010011001 +011110001001 +011101111000 +011001110111 +011101110111 +011101110110 +011001110111 +011001100110 +010101100101 +010101010101 +010101100101 +011001110111 +011110001001 +100010011010 +100010011010 +011110001001 +010101100110 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001100100010 +010000110010 +010000100010 +010100110011 +011001010100 +011001100101 +011001100101 +010101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010101 +001101000100 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100110011 +001000110011 +001000110011 +000100110011 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +001100110010 +010001000100 +010001010110 +010101111000 +011001111001 +010101111000 +010001010111 +001101000101 +001000110011 +001101000100 +010001010101 +010001000100 +001101000100 +010001010101 +010101100110 +011001100111 +011101111000 +011101111000 +010101100110 +001101000100 +001101000100 +010001010101 +010001010101 +010001010101 +010001010101 +010101100110 +011001110111 +011001111000 +011001110111 +010001100110 +010001010110 +010101100111 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +011001110111 +001100110010 +001000100010 +010001000100 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +011001100111 +011001110111 +011101110111 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100110011010 +101010101010 +101110111011 +101110111011 +101110111011 +101110111011 +101110111011 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +100110101010 +100110011010 +100110011010 +100110011010 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +011110001001 +011110001001 +011110001001 +011101111001 +011001111000 +011001111000 +011001111000 +010101100111 +010101100110 +010101100111 +010101100111 +010101100110 +010101100110 +011101111000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011001100111 +010101010101 +011001100100 +011001010010 +001100110000 +001100110001 +001100100001 +001100110001 +001101000001 +001101000001 +001101000001 +001101000001 +001101000010 +001101000010 +001101000001 +010001010010 +010001010010 +010001100010 +010001110100 +010010000110 +010010011001 +010010011011 +010010011011 +011010101100 +100010111101 +100110101010 +011001100101 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010001000011 +011001100110 +011101110111 +011101110111 +011101110110 +011101100110 +011101100101 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011001 +100010001000 +100001110111 +011101100110 +011101100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011110011001 +100010011010 +100010011010 +100010011001 +011110001001 +011110001000 +011101110111 +100001110111 +100001110111 +011101110111 +011101110111 +011001100110 +010001010100 +001100110011 +010001000100 +010101010110 +011001110111 +011110001000 +011110001001 +100010001001 +100010011001 +100010001001 +011101111000 +011001100110 +010101100101 +010101010101 +010101010101 +011001100110 +011001110111 +011001110111 +010101100110 +010001000100 +010001000100 +011001010101 +011101100110 +011001110111 +011001110110 +011001110110 +011001100110 +011001110111 +011110001000 +100010011010 +100010011010 +100010011010 +011110001001 +010101010101 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001100100010 +010000110010 +010000100010 +010000110010 +011001010100 +011001100101 +011001100101 +010101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001000110100 +001101010101 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001101000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +001000110011 +001000110011 +000100010000 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001100110011 +010001000100 +010001010110 +011001111000 +011010001001 +011001111001 +010101100111 +001101000101 +001100110100 +010001000100 +010001010101 +010001000101 +001101000100 +010001010101 +010101100110 +011001110111 +011101111000 +011101111000 +011001100111 +010001010101 +010001010101 +010101100110 +010101100110 +010101100110 +010101100110 +011001110111 +011001111000 +011110001000 +011001111000 +010001010101 +001101000101 +011001110111 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +011001110111 +001100110011 +001000110010 +010001010101 +010101100110 +011001100111 +010101100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001100111 +010101100110 +010101100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101100110 +010101100101 +010101100101 +010101100101 +010101100110 +011001110110 +011101110111 +100010001000 +100110011001 +100110011001 +100110011001 +100110011001 +101010101010 +100110011001 +100110011010 +101010101010 +101010101010 +101010101010 +100110101010 +100110101010 +100110101010 +100110011010 +100110011010 +100110011010 +100110101010 +101010101010 +101010101011 +100110101010 +100110011010 +100010011001 +100010001001 +011110001001 +011110001000 +011001111000 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +010101100111 +011001110111 +011110001000 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +011110001001 +011001110111 +011101100110 +101010011000 +011101110111 +011101110111 +011101110111 +011001100101 +001100110010 +001100110001 +001100110001 +010001010011 +010001000100 +010000110011 +010001000011 +010001000011 +001000100001 +001100110001 +010001000010 +010001010010 +010001010010 +010001100100 +010001110111 +010010001000 +001110001001 +010010011010 +011010101100 +011110101011 +010001010101 +001100110001 +001100110001 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +011001100110 +011101110111 +100001110111 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010001000 +011110001000 +011101110111 +100010001000 +100010001001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110110 +011101100110 +011101100110 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011001110110 +011001100110 +011001100101 +010101100101 +011001110111 +011110001000 +011110001001 +011110001000 +011001110111 +010101100110 +010101100101 +011001100101 +011101110110 +100001110110 +011101110111 +011101110111 +011001110110 +010101010101 +010001000100 +010001000100 +011001100111 +011110001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010001001 +011001110111 +010101100110 +010001010100 +001101000011 +001100110011 +010001000100 +010001010100 +010001000100 +001100110010 +001100110010 +010001010100 +011001100110 +011101110110 +011101110111 +011001110111 +011001110111 +011001110111 +011001110111 +011110001001 +100010011010 +100010011010 +011110001001 +011001111000 +010001000100 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001100100010 +010000110011 +010000110010 +010100110011 +011001010100 +011001100101 +011001100101 +010101010100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001101000100 +001101000101 +001101000100 +001101000100 +010001010100 +010001100101 +010001010101 +001101000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +001000110011 +001000110011 +000100010000 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001100110011 +010001000101 +010101100110 +011001111000 +011010001001 +011001111001 +010001100111 +001101000110 +001101000100 +010001010101 +010001010110 +010001010101 +010001010101 +010101100110 +011001110111 +011101111000 +011110001001 +011110001000 +011001111000 +010101100111 +010101100111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +011110001001 +011110001001 +011110001001 +010001010110 +001101000101 +011001110111 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +011001110111 +001100110011 +001000100010 +010001010101 +011001100111 +011001110111 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +010101100110 +010101010101 +010001000100 +010001000100 +010001000100 +010001010100 +010101010101 +010101100110 +011001100110 +011101110111 +011101110111 +011110000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100110011001 +100110101010 +101010101010 +100110011010 +100010011001 +100010001001 +100010001001 +011110001000 +011001110111 +011001100111 +010101100110 +011001110111 +011001111000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011001110111 +011001110111 +100010001001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010001001 +011001110111 +101010101001 +110010111011 +101010101010 +100110011001 +011001100110 +100010001000 +100010001000 +010101010100 +011001100101 +011101110111 +011101110111 +011001100110 +011001010110 +010001000011 +010101010100 +010101000100 +010001000010 +010101010100 +011101110111 +010001000011 +001101000001 +010001100011 +010001110110 +010010001001 +010010001010 +010010101100 +011010101100 +010101100110 +001100110001 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +011001100110 +011101110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +011101110111 +011101110111 +011101111000 +100010011001 +100110011001 +100010001000 +011101110111 +011001110111 +011101111000 +011110001000 +100001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100110 +011001100110 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +010101010101 +010101010100 +010101010100 +010101100110 +010101100110 +010101100110 +010001010100 +001101000011 +001000110010 +001100110010 +010101010100 +011101110110 +100001110110 +011101110111 +011001110111 +011001110110 +011001100110 +010101010101 +010101010101 +011001110111 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +011110001000 +011001110111 +010101010101 +010001000011 +001100110010 +001000100010 +001100110010 +010001000011 +010001000100 +010101010101 +010101100110 +011101110111 +011110001000 +011101110111 +011001110110 +011001100110 +011001100110 +011001100110 +011001110111 +011101111000 +011110001000 +011001111000 +011001100111 +010001010101 +001100110011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001100110010 +010000110011 +010000110011 +010101000100 +011001010100 +011001100101 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001101000101 +001101000101 +001000110011 +000100100010 +001100110011 +010001010100 +010001010100 +001001000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +000100110011 +001000110011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001100110011 +010001010101 +010101100110 +011001111000 +011001111001 +010101111000 +010001010111 +001101000101 +001101000100 +010001010101 +010101100110 +010001010110 +010001010110 +010101100111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011001111000 +011001111000 +011001111000 +011101111000 +011001111000 +011101111000 +011110001000 +011110001001 +100010011010 +011110001001 +010101100111 +001101000101 +011001100111 +011110001001 +100010001001 +011110001001 +100010001001 +011110001001 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011001110111 +001100110011 +001000100010 +010001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100111 +010101100110 +010101100110 +010101010101 +010101010110 +010101100110 +010101100110 +011001100110 +011001100110 +011001100110 +011001110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011101111000 +011110001000 +100010001001 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +011110001000 +011110001001 +011110001001 +011001110111 +011001110111 +100010011001 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100010001000 +100110011001 +010101010101 +100010001000 +100110011001 +010101010101 +010001000100 +100010001001 +011101110111 +011001100110 +011101110111 +011101110111 +011001100110 +011001100110 +010101000100 +011001100110 +010000110011 +001100100010 +011101100110 +011101111000 +100010001000 +010001000010 +010001010001 +001101010011 +001101110111 +010010001001 +010010001010 +010010011011 +011010101100 +011001110111 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +011001100101 +011101110111 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010001000 +011101110111 +011101110111 +011110001000 +100110011001 +100110011010 +100010001000 +011001110111 +011001100110 +011101110111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101110110 +011001100110 +011001110111 +011101110111 +011101110111 +011101110111 +011001110111 +010101010101 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +001000110010 +001000100001 +001000110010 +001101000011 +010001010101 +011001100110 +100001110110 +100001110110 +011101110110 +011001110111 +011001110110 +011001100110 +011001100110 +010101100110 +011001111000 +100010011001 +100010011001 +100010001001 +011110001001 +011110001000 +011101110111 +011001100110 +010101010101 +010101010100 +010001000011 +001100110010 +010001000100 +010101100110 +011001110111 +011101111000 +100010001001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010101010101 +010001010101 +010101100110 +010101100110 +010101100110 +010001010101 +010001010101 +010001000100 +001100110011 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001100110010 +010000110011 +010101000011 +010101000100 +011001100101 +011001100101 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001101000101 +001101000101 +001000100011 +000000010000 +000100100010 +001100110011 +001101000100 +001101000100 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010001 +000000010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100110011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001100110010 +010001010101 +010101100110 +010101111000 +011001111001 +010101111000 +001101010110 +001101000101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +011001110111 +011110001001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010011010 +100010001010 +010101101000 +001101000101 +010101100111 +011110001001 +100010011001 +100010001001 +100010011001 +100010011001 +011110001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011001110111 +001101000100 +001101000011 +010101100110 +011001110111 +011001110111 +010101100110 +010101100110 +010101100110 +011001100111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011101111000 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +011110001001 +100010001001 +011110001001 +011001111000 +011001111000 +100010011001 +100110011010 +100010011010 +100010011010 +100010011010 +100110011010 +100010011001 +100010011001 +100110011001 +010001000101 +001100110100 +010001000101 +011110001000 +100010001000 +011001100110 +100110001001 +100010001000 +011001100110 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010001000011 +001100100010 +011001010101 +011001100110 +100110011001 +100010000111 +010001000010 +001101010001 +001101100011 +001101110111 +001110001001 +001110001010 +010010011011 +011010101011 +011001110111 +001100110010 +010000110010 +001100110010 +010001000011 +011001100101 +011101110111 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110101 +100001110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100110011010 +101010101010 +100110011001 +011110001000 +011101110111 +011110001000 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +010101010101 +001100110010 +001000100001 +001000100010 +001000100010 +001000110010 +001100110011 +010001000100 +010101010101 +011001110111 +011001111000 +011110001000 +100010000111 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011001100101 +010101100110 +011001110111 +011101111000 +011101111000 +011001110111 +011001100110 +010101100101 +011001100101 +011001100101 +011001100101 +011001100101 +010101010101 +010101010100 +010101100110 +011001111000 +100010001001 +100010011010 +100110011010 +100010011010 +100010011001 +011101111000 +011001100111 +010101010101 +010001000100 +001100110011 +010001000100 +010001010101 +010001010101 +010101010110 +011001100111 +010101100110 +010001000100 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001100110010 +010000110011 +010101000100 +011001100101 +011101100101 +011001100101 +010001000100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010110 +010001010110 +000100100011 +000000000000 +000000010001 +001000100010 +001101000100 +010001010110 +010101100110 +010001010101 +001001000100 +001100110100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000000000 +000100000000 +000100010000 +001000110010 +010001010101 +010101100110 +011001111000 +011001111001 +010101111000 +010001010111 +001101010101 +010001010101 +010101100110 +010101100110 +010101100111 +010101100110 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +100010011001 +011110001001 +010101100111 +001101000101 +010101100111 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011001110111 +011001111000 +100010001001 +100010011010 +100010011001 +011101111000 +010101100111 +010001010110 +010101010101 +010101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100111 +011101111000 +100010001001 +100010011001 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011001111000 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100110011010 +100110011010 +010101010110 +001100110100 +001100110101 +001101000101 +010001000101 +011101111000 +100110011001 +100110011001 +100010001000 +011101110111 +011101110111 +011001100110 +011001100110 +011101110111 +011101110111 +100010001000 +011001100110 +010101010101 +010101010101 +011101110111 +100110011001 +100110011001 +100010000111 +010101010010 +001101000001 +001101100100 +001101110110 +001110001000 +001110001010 +010010011011 +011010101011 +010101100110 +001100110010 +001100110010 +010001000011 +011001100101 +011101110111 +011101110110 +011101110110 +011101100101 +011101100101 +011101110101 +011101110101 +100001110101 +100001110101 +100001110101 +100001110101 +100001110110 +100010000111 +100110011000 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +100110101010 +100110011001 +100010011001 +100010011001 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +010101100101 +010001000100 +001100110010 +001100110011 +010001000100 +010101010101 +011001100111 +011001111000 +011110001000 +100010011001 +100010011010 +100010011001 +100010001000 +011101110111 +011001110110 +011001100110 +010101010101 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010001000100 +001100110010 +001100110010 +001100110010 +010001000011 +010101010100 +010101100101 +011001100101 +011001100110 +011001100110 +011001110111 +011110001001 +100010011010 +100110011010 +100010011010 +100010011010 +100010001001 +011101110111 +011001100111 +010101100101 +010001000100 +010001000100 +010001010101 +010101100111 +011001111000 +011110001000 +011110001001 +011001111000 +010001010101 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001000100001 +001100100001 +001100100010 +010101000011 +011001010100 +011001100101 +011001100101 +010001000011 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010110 +010001010111 +001000110100 +000000010001 +000000010000 +000100100010 +001101000101 +011001110111 +011001111000 +010101100110 +001000110011 +001000100011 +001000100011 +001000100011 +001000110011 +001101000100 +001101000100 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +001000110100 +001000110100 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000100010000 +001000100010 +010001010101 +010101100110 +011001111000 +011010001001 +010101111001 +010001010111 +010001010110 +010001010110 +010101100110 +010101100111 +010101100111 +010101100110 +011001111000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010001001 +100010011001 +011110001001 +011110001001 +011110001001 +011110001001 +100010011001 +100010011001 +011110001001 +010101100111 +001101000101 +010101100110 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100110011010 +100110101011 +101010111011 +101010111100 +101010101011 +100110011010 +011110001001 +011001101000 +010001010110 +010001010101 +010001010101 +010001010101 +010101010101 +010101010101 +010101010110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011101110111 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +011101111000 +011101110111 +011101110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001110111 +011101110111 +011101110111 +011001110111 +011001110111 +011001100111 +011001110111 +011110001000 +100010011001 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011001111000 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100110011010 +100110011010 +100110011010 +011001100110 +001100110100 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +100010001000 +100110101010 +011101110111 +011101110111 +011101110111 +011001100110 +011101110111 +011001100110 +100010001000 +100110011001 +100110011001 +100001111000 +011101110111 +011001100110 +010101000100 +010001000100 +010001000100 +010101010100 +010101010011 +010001010010 +010001100011 +001101110110 +001101110111 +010010001001 +010010011011 +011010011011 +010001000100 +001100110010 +010001000011 +011001010101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001100101 +100001110101 +100001110110 +100010000111 +100110011000 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +101010101010 +100110101010 +100110101010 +100110011001 +100110001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +010101010101 +010001000100 +010001000100 +010101100110 +011101111000 +011110001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +011101110110 +011001100101 +010101010101 +010101010101 +010001000011 +010000110010 +010000110010 +001100110010 +001000100001 +001000100001 +001100110010 +001101000011 +010001000011 +010001010100 +010101010100 +010101100101 +011001100101 +011001100110 +011001100110 +011101110111 +011110001001 +100010011010 +100010011001 +011110001001 +011110001000 +011101111000 +011101110111 +011001110111 +011001100110 +010101100110 +010101100101 +011001100110 +011110001000 +011110011001 +100010011010 +100010011010 +011110001000 +010001010101 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +001000100001 +001100100010 +001100100010 +010001000011 +011001010100 +011001100101 +011001100101 +010001000011 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010110 +010101101000 +010101100111 +001100110100 +000100010001 +000100100010 +010001000101 +011001111000 +011110001001 +010101010110 +000100100001 +000000000000 +000000000000 +000000000000 +000100010010 +001000110100 +001000110100 +000000010001 +000000000000 +000000000000 +000000000000 +000100100010 +001000110100 +001101000100 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +001000100010 +010001010101 +010101100111 +011001111000 +011010001001 +010101111001 +010001010111 +010001010110 +010101100110 +010101100110 +011001110111 +011001100111 +010101100111 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +100010011001 +100010011001 +100010011001 +011110001001 +010101100111 +001101000101 +010101100110 +011110001001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +100010001001 +100110011010 +101010101011 +101010111100 +101010111100 +101010111100 +101010111100 +101010101100 +100110011011 +011110001001 +011001110111 +010101100110 +010101100110 +010101100110 +011001100110 +011101110111 +011101110111 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100110011001 +101010101010 +101010101010 +101010101010 +101010101010 +100110101010 +100110011001 +100010001001 +011110001000 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011101110111 +011101110111 +011001110111 +011001110111 +011001110111 +011110001000 +100010011001 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011101111000 +011101111000 +100010011001 +100110011010 +100010011010 +100010011010 +100010011001 +100010011001 +011110001000 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +010001000101 +001101000100 +100010001000 +100110011001 +011001100110 +010101010110 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +100110011001 +100110011001 +011101110111 +010001000011 +010101000001 +011101010010 +011101100010 +010101100010 +010001100101 +001101110110 +010010001000 +011010011011 +011110011010 +001100110010 +010000110011 +011001010101 +011101110110 +011101110110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +100010000111 +100010001000 +100110011001 +100110011001 +100110011001 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +100110011010 +100110001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101110111 +011001100110 +010101100101 +010101010101 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001000 +011101100110 +011001100101 +010101010101 +010101010101 +010001000011 +001100110010 +001100110010 +001100110010 +001100110011 +010001010100 +010101100110 +011001110111 +011001100111 +011001100110 +011001100101 +011001100101 +010101100101 +011001100101 +011001100110 +011001110111 +011101111000 +011110001000 +011001110111 +010101100110 +010101010110 +011001100110 +011101110110 +011001110110 +011001110111 +011001110111 +011001110111 +011001111000 +011110001001 +100010011010 +100010011010 +100010011001 +011001111000 +010001000100 +001000100001 +001000010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100100010 +001100110011 +001100110011 +010000110011 +010101000011 +011001010101 +011001100101 +011001100101 +001101000011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010101 +011001111000 +011001111000 +011001110111 +010001010101 +001000110011 +010001000101 +011001111000 +011110001001 +010001010110 +000100010001 +000000000000 +000000000000 +000000000000 +000100010001 +001100110100 +001101000101 +001000110100 +001000110011 +001000110100 +001000110011 +001000110100 +001101000101 +001101000101 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010001 +000100100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000110010 +010001010101 +010101100110 +011001111000 +011001111001 +010101111000 +001101010110 +001101010101 +010101100110 +011001110111 +011001110111 +011001110111 +010101100111 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +010101100111 +001101000101 +010101100110 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011010 +100110101010 +100110101010 +100110101011 +101010101011 +101010101011 +101010101011 +100110101011 +100110011010 +100010001001 +100010001001 +100010001001 +100010001000 +100110001000 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +100110011010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011010 +100110011001 +100010011001 +100010001000 +011101111000 +011001110111 +011001110111 +011001100111 +011001110111 +011001110111 +011001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100110011010 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011110001000 +100010011001 +100010011010 +100010011001 +011110001001 +011101111000 +011101111000 +010101100110 +001101000101 +010001010110 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +100110011001 +011001100110 +010001000100 +010101010101 +010101010101 +011101110111 +100010001000 +100110011001 +100110011001 +100010001000 +100010001000 +011101111000 +011001100110 +011001100110 +011101110111 +011001100100 +011001000010 +100001010010 +100101100010 +100101100010 +011001010010 +010001100010 +010001110100 +010010001000 +011010101100 +010101110111 +010000110010 +011001100101 +011101110111 +011101110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100010000111 +100010001000 +100010011001 +100110011001 +100110011010 +100110011010 +100110011010 +100110011001 +100110011001 +100110011001 +100110011001 +100010001000 +100001110110 +011101100101 +011101100101 +011101100110 +011101100101 +100001110101 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011101111000 +100010011001 +100010011010 +100010011010 +100010011001 +011110001001 +011110001000 +011110001000 +100001110111 +011101110110 +011001100110 +011001100110 +010101100101 +010001010100 +010001000011 +010001000011 +010101010101 +011001100111 +011101111000 +011110001001 +011110001001 +011110001000 +011001110111 +011001110111 +011001100110 +010101010101 +010101010100 +010101010100 +010101010101 +010101010110 +010001010101 +001101000100 +001101000100 +010101010101 +011001110110 +011101110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101111000 +011110001001 +100010011010 +011110001001 +011001111000 +010101010110 +001100110010 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000100100001 +001100110011 +001100110011 +010000110011 +010101000100 +011001010101 +011001100101 +010101010101 +001101000011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010101100110 +011110001000 +011110001001 +011101111000 +010101100110 +010101010110 +011110001001 +011110001001 +010001010110 +000000010001 +000000000000 +000000000000 +000000000000 +000100100010 +010001000110 +010101101000 +010001010111 +010001010110 +001101010110 +001101010110 +010001010110 +010001010110 +001101000101 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000000010000 +000000010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +001101000011 +010001010101 +010101100110 +011001111000 +011001111000 +010101101000 +001101010110 +001101000101 +010101100110 +011001110111 +011001110111 +011001110111 +011001100111 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +011110001000 +010101100111 +010001010101 +010101100110 +011110001000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +100010011001 +100110011001 +100010011001 +100010011001 +100110011001 +100110011010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101110111011 +101010101010 +100110011001 +100010001001 +100010001000 +011101110111 +011101110110 +011001100110 +011001100101 +011001100110 +011101110110 +011101110111 +100010001000 +100110011001 +100110011001 +100010011001 +100010001001 +011110001000 +011101111000 +011101111000 +011001111000 +011101111000 +100010001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +100010001000 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011110001000 +100010011001 +100010011010 +100010011001 +011110001000 +011001100111 +011001100111 +010101010110 +010001000101 +010001000101 +001101000101 +010001000101 +010001000101 +010001010101 +001101000101 +010001000101 +010001000101 +011001100111 +100110011001 +010001000100 +001100110011 +010001000011 +010001000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +011001100110 +011101110111 +011101110110 +010001000011 +010000110001 +011101010001 +100001010001 +100101100001 +101001100010 +100101100010 +011101010010 +010101010010 +010001110101 +010110011010 +011110101011 +010101010101 +011001100101 +011101110111 +100001110111 +100001110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011001110110 +011001100110 +011101111000 +011110001001 +100010001001 +011110001001 +011001111000 +011001110110 +010101100110 +011001100110 +011101110110 +011101110110 +011001110110 +011001100110 +011001100110 +010101100101 +010101010101 +010101010101 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +011110001001 +011101111000 +011001110111 +010101100110 +010001010100 +010000110011 +010001000011 +001101000100 +001101000100 +001101000100 +010001010101 +010101100110 +011001110111 +011101111000 +011101110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001110111 +011001111000 +011001111000 +011001100111 +010001010101 +001100110011 +000100010001 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000100010001 +001000100010 +001100110010 +010000110011 +010101000100 +011001100101 +011001100101 +010101010100 +001101000011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001001000100 +010001010101 +010101100110 +011110001000 +100010001001 +011110001000 +011101111000 +011110001001 +011110001001 +010001010110 +000000010001 +000000000000 +000000000000 +000000000000 +000100100011 +010001010110 +011001111000 +010101100111 +010001010110 +001101000101 +001101000101 +001101000110 +001101000110 +001001000100 +000100100010 +000000010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000000010001 +000100100010 +001000100010 +000100100010 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +001000100010 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010101100110 +011001111000 +011001111000 +010101101000 +001101010110 +001101010101 +010101100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001001 +100010001001 +100010001001 +100110001000 +100110011001 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101110111011 +101010101010 +101010101010 +100010001000 +011101110111 +011001100110 +010101100110 +010101010101 +010001000100 +010000110011 +010000110011 +010001000011 +010001000100 +010101010101 +011001100110 +011101110111 +011110001000 +100010001000 +100010001001 +100010001001 +100010011001 +100110011001 +100110011010 +100110011010 +100110101010 +100110011010 +100010011010 +100010001001 +011110001001 +011110001000 +011101111000 +011001110111 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011110001000 +100010011001 +100010011001 +100010001001 +011101111000 +011001110111 +011001100110 +001101000101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +010001000101 +001101000101 +001101000100 +010001000100 +010101010110 +100010001000 +100010001000 +100001110111 +010101010101 +010001000011 +010001010100 +011101110110 +100010001000 +100110011001 +100110011001 +100010001000 +100001111000 +100010001000 +100001110110 +011001000010 +011101010001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +011101100010 +010101100101 +010010001001 +010110011011 +011010011001 +011001100101 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +011101110111 +011001110111 +011110001000 +100010001001 +100010011001 +100010001000 +011101111000 +011101110111 +011101111000 +100001110111 +011101110110 +011101100110 +011101100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011001100110 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011001111000 +011001110111 +010101100110 +010001010100 +001100110010 +001100110010 +010101010100 +011101110110 +011101110110 +011101110110 +011001100110 +011001100110 +011001100110 +010101100110 +010101100110 +011110001000 +100010011010 +100010011010 +100010011010 +011110011001 +011110001000 +011001111000 +011001110111 +010101100110 +010101010101 +010001000100 +001101000011 +010001000100 +010101010110 +011001110111 +011101111000 +011110001001 +100010011001 +011110001001 +011101110111 +011001100110 +010101010101 +010001000100 +010001000100 +010101010101 +010101010101 +010001010101 +001101000100 +001101000011 +001100110010 +001000100001 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010001 +001000010001 +001000100001 +001100100010 +010101000011 +011001010101 +011001100101 +010101010100 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +001101010100 +010001010101 +010101100110 +011110001000 +100010001001 +100010001001 +100010011010 +011110001001 +010101100110 +001000110011 +001000110011 +001000110011 +001000110011 +001101000101 +010101100111 +011001111000 +010001100111 +001101000100 +001000110011 +001000110011 +001000110011 +001000110100 +001000110100 +000100100010 +000000010001 +000000010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000000000 +000100010001 +000100100010 +000100100010 +000000000000 +000000010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001000110011 +010101010101 +011001100110 +011001100110 +010101100110 +010101100110 +010101100110 +010101010110 +010101010110 +010001010101 +010101100110 +011001100111 +011001111000 +011001111001 +010101111000 +010001010111 +001101010110 +010101100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011001111000 +011001111000 +011110001000 +011110001001 +011110001001 +011110001000 +011101111000 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100111 +011001110111 +011001110111 +011101110111 +100010001001 +100110011010 +100110011010 +100110011001 +100110011001 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +100110011001 +011110001000 +011001100111 +011001100111 +011001110111 +011001111000 +011001100111 +010101010101 +010001000100 +010000110100 +001100110011 +001100110011 +010000110011 +010001000100 +010101010101 +011001100110 +011101110111 +100010001000 +100010001001 +101010101010 +101110111011 +101110111011 +110011001011 +110011001100 +110011001100 +101111001011 +100110101001 +011110001000 +011001110111 +011001111000 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +011110001000 +011110001000 +100010001001 +100010001001 +011110001000 +011101111000 +011101111000 +010101010110 +001101000100 +001101000101 +010001000101 +001101000100 +010001000101 +010001000101 +010001000101 +001101000100 +001100110100 +001100110011 +010001000011 +011001010101 +100010001000 +100110011001 +101010101010 +100110011001 +011101110111 +010101010101 +010001000100 +010001000011 +001100110011 +001100110010 +001100110011 +001100110010 +001100110001 +010000110001 +010101000001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100001 +100001100010 +010101110101 +010010001001 +010010001010 +011110101011 +011001110111 +011101110111 +011101110110 +011101110110 +011101100101 +011101110101 +011101110101 +011101110101 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +011101110111 +011001100101 +010101010100 +011001110111 +100010001000 +100010011001 +100010001000 +011001100110 +010101010100 +011001100110 +011101110111 +100001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001110110 +011101110111 +011101110110 +011001100110 +011001100110 +010101100101 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +001101000011 +001000100001 +001000100001 +001000100001 +001100110011 +011001100101 +011101110110 +011101110110 +011101110110 +011001100110 +011001100110 +011001100110 +010101100110 +011001100111 +011110001000 +100010011001 +100010011001 +011110001001 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101100110 +010101010101 +010001010100 +010101100110 +011001111000 +011110001001 +100010011010 +100010011010 +100010011010 +011110001000 +011001110111 +010101100101 +010001010100 +001100110010 +001000100001 +001100100010 +001100110011 +001101000100 +010001010101 +010101100110 +010001000101 +001000100010 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010001 +001000100010 +001100100010 +001100100010 +010001000011 +011001010101 +011001100101 +010101010100 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010101110110 +011101111000 +011110001001 +011110001001 +011001111000 +010101010110 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010101100111 +010101101000 +010001010110 +001000110100 +000100100011 +001000100011 +001000100011 +001000110011 +001000110011 +000100100010 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +000100100010 +000000010001 +000000000000 +000000000000 +000000010001 +000100010001 +000000000000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000000010000 +000000010000 +000000000000 +001000110011 +010101010110 +011001100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +011001100111 +011001111000 +011001111000 +010101111000 +010001010111 +001101000101 +010001010110 +010101100110 +011001110111 +011001110111 +011001100111 +011001111000 +100010001001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011001110111 +010101010101 +010001000100 +010001010101 +010101010110 +010001000100 +001100110011 +010001000100 +010001010101 +010101010110 +010101100111 +011110001001 +100110011011 +100110101011 +100010011001 +100010001000 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +101010101010 +101010101010 +101010101010 +100110011001 +011110001000 +011001110111 +011001110111 +011110001001 +011110001001 +011110001001 +011001111000 +011001100111 +010101010110 +010101010110 +010001000100 +010000110011 +001100110011 +001100110011 +010001000100 +010101010101 +100110011000 +110111001100 +111011011100 +110111011011 +110011001010 +101110111001 +101110111001 +110011001001 +110111001010 +110111011011 +111011011100 +110011001011 +100110101010 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +011110001001 +011001111000 +011001111000 +011001110111 +011001110111 +011001100111 +011001110111 +010001000100 +001100110100 +001101000100 +010001000100 +001101000100 +010001000100 +001100110100 +010001000100 +001100110011 +001000100010 +001100110010 +001000010000 +001100100010 +011101100110 +100110011001 +101010101010 +101010101010 +101010101011 +101010101011 +101010101010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011010 +011101110111 +001000100001 +001100100001 +011101010010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +011001100100 +010001110111 +010010011010 +010010001010 +011110011001 +011101110110 +011101110110 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110101 +100001110101 +100001110101 +100001110110 +100001110111 +011101110111 +011001100110 +011001100101 +011101110111 +100010011001 +100110011001 +100010001000 +010101010101 +010001000011 +011001100101 +011101110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011001110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +010101010100 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100110011 +010001010101 +011001100110 +011101110111 +011110000111 +011101110111 +011001110110 +011001100110 +011001100110 +011001100110 +010101100110 +010101100110 +011001110111 +011001111000 +011001110111 +010101100110 +010001000100 +010001000100 +010101010101 +011001110111 +011001110111 +011001100110 +011001100110 +010101100101 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +011110001000 +011001110111 +010101100110 +010101010101 +001100110011 +001100100010 +001100110011 +010001010101 +010101100110 +011001111000 +011001111000 +010101100111 +001100110011 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000000010000 +000000010001 +001000100010 +001100110011 +001100110010 +001100100010 +010001000011 +011001010100 +011001100101 +010101010100 +001101000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001101000100 +010001010101 +010001010101 +010001010101 +010001100101 +010101100110 +010101100110 +010101100110 +010001010110 +001101000101 +001101000101 +001101010101 +001101010101 +001101010101 +010001010101 +010001010110 +010001010110 +001101000101 +001000110011 +001000100010 +001000100010 +001000100011 +001000110011 +000100100010 +000100010001 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100010 +000000010001 +000000000000 +000000000000 +000000010001 +000100010001 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010000 +000000010000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001000110011 +010001010110 +010101100111 +010101100110 +010101100110 +010101100111 +011001100111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001110111 +011001111000 +010101111000 +010001010111 +001101000101 +010001010110 +010101100110 +011001110111 +011001110111 +011001100111 +011001110111 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011001110111 +010101010101 +001100110010 +001100110011 +010101100111 +011101111001 +011001100111 +001100110011 +001100110011 +001100110100 +001101000101 +010001010110 +011001111000 +100010011010 +100010011010 +011110001000 +011001100110 +011001100110 +011101110111 +011101110111 +100010001000 +100010001000 +100110011001 +100110011001 +100110011001 +100110011001 +101010011010 +101010011010 +100110011001 +100010001001 +011101111000 +011101111000 +011110001001 +100010011010 +100010011010 +100010011010 +011110001001 +011101111000 +011001100111 +010101010110 +010001010101 +010001000100 +010001000100 +010101010101 +101010101000 +110011001010 +101010101000 +100010010110 +011110000101 +011001110100 +011110000101 +011001110100 +011101110101 +011110000101 +100010000101 +100110010110 +101110111000 +111011011011 +110011001011 +101010101010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011010 +100110011010 +100010011010 +011110001001 +011001110111 +010101100110 +010001010101 +010101010110 +010101100110 +011001100110 +010001000100 +001100110011 +010001000100 +010000110100 +001100110011 +001100110011 +001100110011 +001100100010 +001000010001 +001000010001 +001000010001 +000100010000 +001000100010 +010001000100 +011001100110 +100110011010 +101010101010 +101010101010 +101010101010 +101010101010 +100110101010 +101010101010 +100110011010 +100110011010 +100110101010 +101010101010 +011001100101 +000100010000 +010100110001 +100001100010 +100101100010 +100101100010 +100101100010 +101001100001 +100001100010 +011101110100 +011001110100 +010110001001 +011010011011 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110101 +100001100101 +100001110110 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100110011001 +100010001001 +011101110111 +011001100110 +011101110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +010101010101 +001100100010 +001000010000 +001000100001 +001100100001 +001100110011 +010001000100 +010101100110 +011001110111 +011110001000 +011110001001 +100010001001 +011110001000 +011101110111 +011001110110 +010101100110 +010101010101 +010101010100 +010101010100 +010101010101 +010101010101 +010001010101 +001101000011 +001100110010 +001100110010 +010001000100 +010101100101 +011001100111 +011001100111 +011001110111 +011001100110 +011001100110 +011101110111 +011110001001 +100010011001 +100010011001 +011110001001 +011110001000 +011101111000 +011001110111 +011001100110 +010101100110 +010001010101 +010001000100 +010101010101 +011001110111 +011110001001 +011110001001 +011110001001 +010101100111 +001000110011 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010010 +000100010010 +000000010001 +000000010001 +001000100010 +001100110011 +010000110011 +010000110011 +010101000011 +011001010101 +011001100101 +010101010100 +001100110011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010101 +001101000100 +001000110011 +000100110010 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +000100100010 +001000110011 +001000110011 +000100100010 +000100100011 +000100100011 +000100100010 +000000000000 +000000000000 +000000010001 +000100010001 +000100010000 +000100100000 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001000100010 +010001010110 +010101100111 +010101100110 +010101100110 +010101100111 +011001100111 +011001110111 +011001110111 +011001100111 +011001100111 +010101100111 +011001110111 +011001111001 +010101111000 +010001010111 +001101000101 +010001010110 +010101100111 +011001110111 +011001110111 +010101100111 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +011001111000 +011001111000 +011001111000 +010101100111 +010001010110 +001100110100 +001000100010 +010001000100 +011110001001 +100010011011 +100010001001 +010101010110 +001100110100 +001100110100 +010001000101 +010101010110 +011001100111 +011001111000 +011101111000 +011001100110 +010001000100 +010101000100 +010101100110 +011001100111 +011101111000 +100010001000 +100010011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110001001 +100110001000 +100001111000 +011101111000 +100001111000 +100010001001 +100010011001 +100010011010 +100010011010 +100010001001 +011110001000 +011001111000 +011001100111 +010101100110 +010101010101 +011101110111 +101010101000 +100110010110 +011101110100 +011001110011 +010101110011 +010101100011 +010101100011 +010101100010 +010001100010 +010101100011 +010101110011 +010101100010 +010101100010 +011001100011 +100010000101 +110011001001 +101110111000 +101110111010 +100110101010 +100010001000 +011101110111 +011001110111 +011001100111 +011001110111 +011001110111 +011101111000 +100010001001 +100010011001 +100010011010 +100010011010 +100010011001 +011110001001 +011001110111 +010101100110 +001101000100 +010001010110 +010101100110 +011001100110 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001100100010 +001100100010 +001000100001 +001000010000 +001000100001 +001000010001 +001000100001 +001100110010 +001000100010 +001000100010 +010101010101 +100110011001 +100110011010 +100110011010 +101010101010 +101010101010 +101010101010 +100110101010 +100110011001 +100110011010 +101010101010 +100110011001 +001100110010 +001000010000 +010100110001 +100001100001 +100101100010 +100101100010 +101001100010 +101001100010 +100101110010 +100001110010 +010110000110 +010110011010 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +100001110111 +100010001000 +100110011001 +100110011001 +100110011001 +100110011010 +100110011010 +100110011001 +100010001001 +100010001001 +100010001001 +100010001000 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011001100111 +010101100110 +010001000011 +001100110010 +001100110010 +010001000100 +011001100111 +011001111000 +011110001001 +011110011001 +100010011010 +100010011010 +100010001001 +011110001000 +011101110110 +011001100110 +010101100110 +010001010100 +001100110011 +001100110010 +010001000011 +001101000011 +001000110010 +001100110010 +010001000100 +010101100101 +011001110111 +011001110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011110001000 +011110001000 +011001111000 +010101100111 +011001100110 +011001100110 +011001110110 +011001100111 +011001100111 +010101100110 +010101100110 +011001100111 +011110001001 +011110001001 +011110001001 +011001111000 +010101100110 +001000100010 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000100010000 +000100010001 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +001000100010 +001100110011 +001100110011 +010000110011 +010101000100 +011001010101 +011001100101 +010101010100 +001100110011 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001101000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +000100110011 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001000110100 +000100100010 +000000010001 +000000010001 +000100010010 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100000 +000100010000 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100000 +000100010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000000010001 +000000010001 +001000110011 +010001010110 +010101100111 +010101100111 +010101100111 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001110111 +011001111001 +010101111001 +010001010111 +001101000101 +010001010110 +010101100111 +011001110111 +011001110111 +010101100111 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +011110001000 +011110001000 +011001111000 +011001110111 +011001110111 +010101100111 +010101100110 +010101100110 +010001010110 +010001010110 +001101000100 +001000110011 +001000110011 +010001010110 +011110001010 +100010011011 +100010001010 +011001100111 +010001000100 +001100110100 +010001000101 +010101010110 +010101010110 +010101010110 +011001010110 +011001010110 +011001100110 +011101110111 +011110001000 +100010001001 +100010011001 +100110011010 +100110101010 +100110101010 +100110011010 +100110011010 +100110011001 +100110001001 +100001111000 +100001110111 +011101100111 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +011001111000 +011101110111 +100110010111 +011101110100 +011001110011 +010101100011 +010001010010 +010001010010 +010001010001 +010001010001 +010001010010 +010001010010 +010001010010 +010001010001 +010001010001 +010001010010 +010101010010 +010101100010 +011001110011 +101110100101 +101010100110 +110011001010 +101111001011 +011001110111 +010001010101 +010001010101 +010001010101 +010001010101 +010101100110 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001001 +011001111000 +010101100110 +001101000101 +010101010110 +010101100110 +011001100110 +010001000011 +001100110010 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000010001 +001100100001 +001000100001 +001100110010 +001100100010 +001100100010 +001000100010 +001000100010 +011101110111 +100110101010 +100110011001 +100110011001 +101010101010 +100110011010 +100110101010 +100110011010 +101010101010 +100110101010 +100110011001 +010001000011 +001000010001 +001000010000 +011001000001 +100101100010 +101001100010 +101001100010 +101001110010 +101001110010 +100001110010 +011010000110 +010110011010 +011110011001 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110111 +100010001000 +100010011001 +100010011001 +100110011001 +100110011010 +100110011010 +100110011001 +100010011001 +100110011001 +100110011001 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011001110111 +011001100111 +010101100110 +010001000100 +010001000100 +011001100111 +011110001001 +100010011010 +100010011001 +100010011010 +100010011010 +100010011001 +100010001001 +011101110111 +011101110110 +011001100110 +010101100110 +010001010100 +001100110011 +001100110010 +001100110011 +010001000100 +010001010101 +010101100110 +011001111000 +011110001000 +100010001001 +011110001000 +011001110110 +010101010101 +010101010100 +010101010100 +010101010100 +010101100101 +010101100110 +010101100110 +010001010101 +010001000100 +010101010101 +011001100110 +011001110111 +010101110111 +011001100111 +011001100110 +010101100110 +011001110111 +011110001000 +011110001001 +011001111000 +010101100111 +010001000101 +001000100010 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000100010000 +001000100001 +001000100010 +001100110010 +010101000100 +011001010101 +011001100101 +010001010100 +001000110011 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001001000011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001101000011 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000000010001 +000000010001 +000100100001 +000100100001 +000000010001 +000000010010 +000100010010 +000100010010 +000100010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100010 +000100100011 +000100100010 +000100100010 +001000110011 +001101000100 +001101000101 +001100110100 +001000110011 +000100100010 +000100010010 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100010 +001000110011 +001000110011 +001000100010 +000100100010 +001000100010 +001000110011 +001101000100 +001101000101 +010001010110 +010001100111 +010101100111 +010101100111 +010101100111 +010101100111 +011001100111 +011001110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001110111 +011001111000 +010101111000 +010001010111 +001101000101 +001101000100 +010001010101 +010101100110 +010101100110 +010101100110 +010101100111 +011110001000 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001000 +011101111000 +011001110111 +011001110111 +010101100111 +010101100110 +010101100110 +010001010110 +010001010110 +010001010101 +010001000101 +010001000101 +001101000101 +001101000100 +001100110100 +001101000101 +010101010111 +011001111001 +011001111001 +011001111000 +010101010110 +001100110011 +001100110011 +010000110100 +010001000100 +010001000101 +010101000101 +011001010110 +011101110111 +100010001001 +100010011001 +100110011010 +100110101010 +100110101011 +100110101011 +100110101011 +100110101010 +100110101010 +100110011010 +100110011001 +100010001001 +100001111000 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011101111000 +011110001000 +011110001000 +011110000111 +011001100011 +010101100010 +010101010010 +010001010010 +001101000001 +001101000001 +001101000001 +001101000001 +001101000001 +001100110001 +001100110001 +001101000001 +001101000001 +010001000001 +010001010010 +010001010010 +010001000010 +011101100011 +100010000011 +011001110101 +011110011000 +100010101001 +011001100110 +010001010101 +010001010101 +010001000101 +010001010101 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010101100110 +010101100111 +011001100111 +010001000011 +001100110010 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001100100010 +001100100010 +001100100010 +001000100010 +001100100010 +001000100001 +010001000100 +100110011001 +100110101010 +100110011001 +100110011010 +100110011001 +101010101010 +101010101010 +101010101010 +101010101010 +100110011001 +001100110010 +001000010001 +001000010001 +010000110001 +100001100010 +100101100010 +101001100010 +101001100010 +101101110010 +100101110010 +011001110110 +010110001001 +011110101011 +011110000111 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101110111 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011001100111 +011001110111 +011001110111 +011001110111 +010101100110 +010101100110 +011001110111 +011110001001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001000 +011101110111 +011101110110 +011001100110 +010101100110 +010101100101 +010101010100 +010001000100 +010001010101 +011001100111 +011010001000 +011110001001 +100010011010 +100010011010 +100010011010 +011110001000 +011001100110 +010101010100 +010001000011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001101000100 +010001010101 +011001100111 +011001110111 +011001110111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +011001100111 +010101100111 +010001010110 +010001000101 +001000110011 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001100110010 +001100110011 +001000100010 +001000100010 +001100110011 +010000110011 +010101000100 +010101010101 +010101010101 +010001000100 +001000110010 +000100100010 +000100100011 +001000110011 +001000110011 +001000110011 +001001000011 +001101010100 +010001010101 +010001100101 +010001100101 +010001100101 +010001010100 +001101000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000100001 +000100100010 +000100100010 +000100100001 +000100010010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010010 +000100010010 +000000010001 +000000010001 +000100100010 +000100100010 +001000110011 +001101000100 +001101000100 +001101000100 +001000110011 +000100100010 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000110001 +001100110010 +010001010100 +010101100101 +010101100110 +011001110111 +011001111000 +011001111000 +010101100111 +010101100110 +010101100110 +010101100111 +011001100111 +011001111000 +011001111000 +011001111000 +010101111000 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +011001100111 +010101100111 +010101100110 +010101100111 +010101100111 +010001100111 +001101000101 +000100100011 +000100100010 +001000110011 +001000110100 +001100110100 +001101000100 +010001010101 +011001100111 +011110001000 +011110001000 +011110001000 +011001111000 +011001110111 +010101100111 +010101100110 +010001010110 +010001010110 +010001010101 +001101010101 +001101000101 +001101000110 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +010001010110 +011001111001 +011110001001 +011001111000 +010001000101 +001100110011 +001100100010 +001100100010 +001100100011 +010000110011 +010000110100 +010001000101 +011001010110 +011101111000 +100010001001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010001001 +011110001000 +011101111000 +011101111000 +011101110111 +011001110111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011110001000 +011110001001 +100010001001 +100010001000 +011001100101 +010101010011 +010001000010 +010001000001 +001101000001 +001100110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001100110001 +001100110001 +001100110001 +010001000001 +010001000011 +011101110111 +100010001000 +100001110101 +010001010100 +010001100101 +011110000111 +101110111010 +011101110110 +010001010101 +010001010101 +010101100110 +011001111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010101100110 +010101100110 +011001100111 +010001000100 +001100100010 +001100110010 +001100100010 +001000100001 +001100100010 +001100100010 +001100100001 +000100010000 +000100010000 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +011101110111 +100110011001 +100110011001 +100110101010 +100110011001 +100110011010 +100110011010 +100110011001 +100110011010 +100010001000 +001000100010 +001000010001 +001000010000 +001100100000 +011101010010 +100101100010 +101001100010 +101001110010 +101101110010 +101001110010 +011110000101 +010110011001 +011010101100 +100010011001 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110111 +011110001000 +011110001000 +100010001001 +100010001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100001111000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101111000 +011101110111 +011101110111 +011001100110 +011001100110 +011001110111 +011001110111 +011001100111 +011001100110 +011001111000 +011110011001 +100010011001 +100010001001 +011110001001 +011110001000 +011101111000 +011101110111 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011101111000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +011101111000 +010101100110 +010001010100 +001100110010 +001000100001 +001000100001 +001000100001 +001100110011 +010001000100 +010101100110 +011001110111 +011110001000 +011101111000 +011001110111 +010101100110 +010001010101 +010001000101 +010001000101 +010001010101 +010001010101 +001101000101 +001101000101 +001101000101 +001100110011 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010000 +000100000000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +001100100001 +010100110001 +011101010010 +100001110011 +100110000101 +100010000110 +100010011000 +100010011001 +100110101010 +100110101010 +100110101011 +100110101011 +100110111100 +100010101011 +100010011001 +011110001000 +011001110111 +010001010101 +001101000100 +001101000100 +001000110011 +001000110011 +001000110011 +001001000011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001001000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010001 +000100100001 +000100010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +001000110011 +001000110011 +001100110100 +001000110011 +000100100010 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +001000100001 +001000110010 +001101000011 +010001000100 +010001010101 +010101010101 +010101100110 +010101100110 +011001100110 +011101111000 +100010001001 +100010001001 +100010011010 +100010011010 +100010011010 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011010001001 +011001111000 +010101111000 +010101101000 +010101100111 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010001010110 +001101000100 +000100100011 +000100010010 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +001000100011 +001101000101 +010101100110 +010101100110 +010101100110 +010001010101 +010001000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101010110 +001101000101 +001101000101 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +001100110100 +010001010111 +011001111001 +011110001010 +010101101000 +001101000101 +001100100011 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +010000110011 +010101000101 +011001100110 +011001110111 +011110001000 +011110001001 +100010001001 +011110001001 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +011001100111 +011001110111 +011001110111 +011101111000 +011101111000 +011110001000 +011101111000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +100110101010 +011101110111 +010001000011 +001100110001 +001100110001 +001000100001 +001000100001 +001000100000 +001000100000 +001000100001 +001000100001 +001000100001 +001100110010 +001100100001 +001100110001 +010001000010 +010001000100 +011001010110 +011001100110 +011101100101 +001100110001 +001101000001 +010101100100 +101110111001 +101110111010 +010101010101 +010001010101 +010101100110 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010101010110 +010101100110 +011001100110 +010101100101 +001100100010 +001000100010 +001100100010 +001000100001 +001100100001 +010100110011 +010000100010 +000100000000 +000100010000 +010000110010 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000010001 +010001000100 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100110011001 +010101010100 +001000010001 +001000010001 +001000100001 +001100100001 +011101000001 +100101010010 +100101100010 +101001100010 +101001110010 +101001110010 +100010000101 +010110011010 +010010011010 +011010001001 +011101110110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011001110111 +011101111000 +011101110111 +011101110111 +011001100110 +011001100110 +011001110110 +011001110111 +011001100111 +011001110111 +011001111000 +011110001000 +011110001000 +011001110111 +011001100110 +010101100110 +011001100110 +011101110110 +100001110110 +011101110110 +011001100110 +011001100110 +011001100110 +011101110110 +100001110110 +100010000111 +100110101000 +101010101001 +101010101010 +101010101001 +100110011001 +100010001000 +011001110111 +010101100101 +010101010100 +001101000100 +001000110010 +001000100010 +001101000011 +010101100101 +011001110111 +011010001000 +011110001001 +011110001001 +011110001000 +011001110111 +010101100110 +010001000101 +001100110100 +001101000100 +001101000100 +001101000101 +010001010101 +010101100111 +010101100111 +010001010101 +001000100010 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +001000010001 +011001000001 +100101110011 +101110010100 +110010100101 +110010100101 +101010010101 +100010000110 +011110010111 +011010011000 +010110001001 +010110001010 +010110011010 +010110011011 +010110011011 +010110101100 +010110101100 +011010101100 +011010101101 +011110111101 +011110111101 +011110101100 +011110011010 +011001111000 +001000110011 +001000110011 +001000110011 +001101010100 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001001000011 +000100110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010001 +000100100010 +000100010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000100100010 +000100100010 +000000010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +001000110011 +001101000100 +010001010101 +010101100110 +011001110111 +011001111000 +011101111000 +011110001001 +011110001001 +100010001001 +100010001001 +100010001010 +100010011010 +100110011010 +100110101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110011011 +100110011010 +100010011010 +100010011010 +100010011010 +011110001010 +011110001001 +011110001001 +011010001001 +011001111001 +010101111000 +010101100111 +010001010110 +010001010110 +010001010110 +010101100110 +010101100111 +010101100111 +010001010110 +001101000101 +001000110100 +001000110100 +001000110100 +001000110100 +001000110100 +001001000101 +001101000101 +001101000101 +001101000101 +010001010110 +010001010110 +001101000101 +001101000100 +001100110100 +001100110100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000100 +001100110100 +001100100011 +001100100011 +001100100011 +001100100011 +001100100011 +001101000101 +010101101000 +011001111001 +010101101000 +010101100111 +010101010110 +010101010101 +010001000100 +010000110100 +010000110011 +010000110100 +010101000101 +010101010101 +010101010101 +010101010101 +010101100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +011101111000 +010101000101 +011001010101 +010101010100 +011001100101 +100010001000 +011101110111 +010101010101 +001100110011 +001100110011 +011001100110 +011101110111 +100010001000 +011101100110 +010101010100 +010101010100 +010101010100 +010101000100 +001100110011 +010001000010 +011101010001 +011001000001 +010101010010 +100010000111 +110011001011 +011001100110 +010001000101 +010101100110 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001000 +011001110111 +010101100110 +010001010101 +010001010110 +010101010110 +010101100110 +011001110111 +001100110011 +001000100010 +001100100010 +001100100001 +001100100001 +010100100010 +010000100010 +001000100001 +001100110010 +001100110010 +001000010001 +001000010000 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +011101110111 +100010001001 +100010001000 +100010001000 +100010001000 +100110011001 +100110011001 +010101010101 +001000010001 +001000100001 +001000010001 +001000100001 +001100100001 +011101010010 +100101010010 +100101100010 +101001100010 +101001110010 +101001110010 +100101110010 +011010000111 +010010001010 +010110001001 +011101110110 +011101100101 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110111 +011101110111 +011001110111 +010101100110 +011001111000 +011110001001 +100010001001 +011110001000 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100110 +011001110110 +011001110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +010101100110 +010101100110 +010101100110 +011001100110 +011001100111 +010101100110 +010101010101 +010001000100 +001101000011 +010001000011 +010101100101 +011101110110 +100001110111 +100010000111 +100110001000 +101010101001 +101110111001 +110111001001 +110111001000 +110010110110 +110010110101 +110110110101 +110110110110 +110110110101 +110110110101 +110010110101 +101010010110 +100001110101 +011001100101 +010001010101 +010001000100 +010001000100 +010101010101 +011001111000 +011110001001 +011110001001 +011110001001 +011110001000 +011001111000 +011001100111 +010101100110 +010001010101 +001101000100 +001101000100 +010001010101 +010101100111 +011001111000 +011001111000 +011001111000 +010101010110 +001000100010 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +010000100000 +100101100001 +110010010011 +110010010011 +101010000011 +101010000010 +101110010011 +100010000100 +010101110100 +001101110110 +001101110110 +010010000110 +010010001000 +001110001001 +001110001010 +001110001010 +001110001010 +001110001011 +001010001011 +001110011011 +001010001011 +001110001011 +001110011100 +010010011100 +010110011011 +011110101100 +011110011010 +010101111000 +010001010110 +001101010101 +010001010101 +010001010101 +010001100101 +010001100101 +010001010100 +001001000011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000110011 +010101010110 +011001110111 +011110001001 +100010001001 +100010001001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110101011 +100110101011 +100110101010 +100110101010 +100110101011 +100110101011 +100110101011 +101010101011 +101010101011 +101010101011 +101010101011 +100110101011 +100110101011 +100110101011 +100010011010 +100010011010 +011110001001 +011110001001 +011110001001 +011110001001 +011001111000 +011001111000 +011001110111 +011001111000 +011001111000 +011101111000 +011101111000 +011101111000 +011001111000 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101101000 +010101101000 +010101101000 +010101101000 +010101101000 +010001100111 +010001010110 +001101000101 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001000101 +010001000101 +001101000101 +001101000101 +001100110100 +001100110011 +001100110011 +001100110011 +001100100011 +001100100011 +001100110011 +001101000100 +010001010110 +010101100111 +011101111001 +100010001001 +011110001001 +011101111000 +011001100110 +011001010110 +011001010110 +011001100111 +011001100110 +010101010110 +010101010110 +010101100110 +010101100110 +010101100111 +011001100111 +011001110111 +011001111000 +011101111000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010011001 +011110001001 +011110001001 +100010001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +001100110011 +010001000011 +011001100110 +011001100110 +100010001000 +011001100110 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +100010001000 +010000110100 +011101110111 +011101110110 +010101010100 +011001010101 +010101010101 +010101010100 +011101010100 +101001110010 +101001100010 +011101010001 +011101100011 +110011001001 +100010000111 +010001000101 +010101100110 +011101111000 +011110001001 +100010011001 +100010011001 +100010011001 +011110001001 +011001111000 +010101100111 +010101100110 +010101100110 +010101100110 +011001100110 +011101110111 +010101100101 +001000100001 +001100100010 +001100100010 +010101010100 +010000110010 +001000010001 +001000100010 +001100110010 +001100100010 +001000100001 +001100110010 +001000010001 +001000100001 +001000010001 +001000010000 +001000010000 +011001100110 +100010001000 +100010001000 +100010001000 +011101110111 +011001100110 +010101010101 +001100100010 +001000010001 +001000010001 +001000100001 +001000100001 +001100110001 +011101010010 +100101100001 +100101100010 +101001100010 +101001110010 +101001110010 +100101110010 +011110000110 +010010001001 +010010001001 +011001110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011101110110 +100001110110 +100001110111 +011101110111 +011001110111 +010101100110 +011101111000 +100010001001 +100010011001 +011110001000 +011001110111 +010101100110 +011001110111 +011101110111 +100010000111 +100001110111 +100001110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011101100110 +011001110111 +011001110111 +011101110111 +011101110111 +011001100110 +011001100110 +010101100110 +010101010101 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001000100001 +001000110010 +001101000100 +010101100101 +011001110111 +100110011000 +101110111010 +110111011100 +110011011101 +101011001010 +101010111000 +100110100101 +100010010100 +100010000011 +011110000010 +011001110010 +011001110010 +011101110010 +011101110010 +011101110010 +100010000011 +100110000011 +101010000100 +100110000100 +011101100100 +010101010101 +010101100111 +011110001000 +011110001001 +011110001001 +011001111000 +011001111000 +011001110111 +011001100111 +010101100110 +010001010110 +010001010101 +010001010101 +010101100111 +011001111000 +011110001001 +011110001001 +011001111001 +010001010110 +001000100010 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +001100100000 +100101100010 +110110110100 +111010110011 +101001110001 +011101100010 +011101110010 +100001110010 +100010000010 +010101100011 +001101100100 +001001110110 +001001110101 +001101110100 +001001110101 +001101111000 +001110001001 +001110001010 +001010001010 +001010001010 +001010001010 +001110011011 +001110011100 +001110011100 +001010011011 +001110001011 +010010011100 +010010101100 +010110101100 +011110111101 +011110111100 +011010001001 +010001010110 +010001010101 +010001100101 +010001100101 +001101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100010010 +000000010010 +000000010010 +000000010010 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001000100010 +000100010000 +000000010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010001 +000100100001 +000100100010 +001101000100 +010101100111 +100010001001 +100110011011 +101010101011 +101010101011 +101010101011 +100110101011 +100110101011 +100110101011 +100110101010 +100110101011 +100110101011 +100110101011 +100110101010 +100110101011 +100110101011 +101010101011 +101010101011 +101010111100 +101010111100 +101010101011 +100110101011 +100110011010 +100110101011 +100110011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011010001001 +011001111000 +011001111000 +011001111000 +011010001001 +011010001001 +011010001001 +011010001001 +011001111000 +010101111000 +010101100111 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001000101 +010001000101 +010001000101 +010101000101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000101 +011001100111 +011101111000 +100010001001 +100010001001 +011101111000 +011001110111 +011001100111 +011001100111 +011001110111 +010101100111 +010101100110 +010101100111 +011001110111 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010011001 +011001100110 +010101010101 +011101110111 +011001100110 +010101010101 +011001010101 +101010101010 +011101110111 +011001100110 +011101111000 +100110011001 +100110011001 +010001000100 +011001100101 +011001100110 +011001010101 +010101010101 +010101010101 +011001100110 +011001100100 +101001100010 +101101110010 +100001010001 +100001100010 +101010010101 +101110101000 +010101010101 +010101100110 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +011110001001 +011101111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011110001000 +001100110011 +001100100010 +001100110011 +011001100110 +100010011001 +010101010101 +001000100001 +001000100001 +001100100010 +001100100001 +001100100001 +001000100001 +001000100001 +001000010000 +001000010000 +001100110010 +011001100110 +011101110111 +011001100110 +010001000011 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +010000110001 +011101010010 +100101100010 +100101100010 +100101100010 +101001100010 +101001110010 +100101110010 +011110000110 +010110011010 +010001100111 +011001100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011110001000 +011101111000 +011101111000 +011110001000 +100010011001 +100010011001 +011110001000 +011001111000 +011001110111 +011110001000 +011110001000 +100010000111 +100001110110 +100001110110 +100001110110 +011101110110 +011101100110 +011101100101 +011101100101 +011101100110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101111000 +011101110111 +011001100110 +010101100101 +010101100110 +010101010101 +001101000011 +001100110010 +001100110011 +001100110011 +001100110011 +001101000011 +010101010110 +011001110111 +100010001000 +101010111011 +110011001100 +101011001100 +011110101010 +011010101001 +011110010111 +011010010101 +011010000011 +010101110010 +010101100010 +010101100010 +010101100010 +010001010010 +010001010010 +010001010010 +010001010010 +001101000001 +010001000001 +010001000000 +011001010010 +011101100011 +011101110101 +011001110111 +011101111000 +011001111000 +010101100111 +010001010110 +010101100110 +011001100110 +011001100110 +010101100110 +010101100110 +010101010110 +010001010110 +011001110111 +011110001001 +011110001001 +011110001001 +011001111000 +001101000101 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100000 +000100100001 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +001100100000 +101001110010 +111111000100 +110110100011 +100101110010 +011001100010 +010101100010 +011001100010 +011001100010 +010001010010 +001101010010 +001001100011 +001001100100 +001001100100 +001001100011 +001001100100 +001001110101 +001001110110 +001001110111 +001001111000 +000101111001 +000101111001 +001010001010 +001010001010 +001010001011 +001010001011 +001010001010 +001010001011 +001010001011 +001001111010 +001110001011 +010110101100 +010110101100 +011010011011 +010101111000 +010001100101 +010001100101 +001101010100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +001000110011 +000100010000 +000100100001 +000100100001 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100011 +001000110011 +010001010110 +011101111000 +100010011010 +100110011010 +100110101011 +101010101011 +101010101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110011010 +100110011010 +100110011010 +100110101011 +100110101011 +101010101011 +101010101011 +101010101011 +101010101011 +100110011010 +100010011010 +100010011010 +100110011010 +100110011010 +100110011010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011010 +100110011010 +100010011001 +100010011001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011001111000 +011010001000 +011010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011010001001 +011001111000 +011001111000 +011001110111 +010101110111 +010101100111 +010101100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +010001010101 +010101010110 +010101010110 +010101010101 +010001010101 +010001010101 +010101010101 +010001010101 +010101010110 +011001100111 +011001110111 +011001100111 +011001100111 +011001110111 +011001111000 +011001111000 +011001110111 +011001110111 +011001111000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +011110001001 +100110011000 +011001100101 +011001100101 +011001100110 +011101110111 +011101110111 +010001000100 +001100110100 +001100110100 +001101000101 +001100110011 +010101000100 +011101110110 +011101110110 +011001010101 +010101010101 +011001100101 +010001000100 +010101010101 +011001010011 +100101100010 +101101110010 +101001100010 +101001110010 +110010100100 +101110110111 +011001100110 +010101100110 +011001110111 +011110001000 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011101111000 +011101111000 +011101110111 +011001110111 +011001110111 +011101110111 +011110001000 +011101110111 +001100110010 +001000100001 +010101010101 +100010011010 +100010011010 +011110001000 +010101010101 +001100110011 +001100100001 +001000100001 +001000100001 +001100100001 +001000100001 +001000100000 +010000110011 +001100110010 +001000100001 +001000010001 +000100010000 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +001000100001 +010000110001 +011001000001 +100001100010 +100101100010 +100101100010 +101001100010 +101001110010 +101001110010 +100010010101 +010110001001 +010110001010 +011110001000 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010001001 +100010011001 +100010001001 +100001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110111 +011101111000 +011101110111 +011001100101 +010101010101 +010101100110 +010101010101 +010001000100 +001101000011 +010001000100 +010001010101 +010101100110 +011001110111 +011110001000 +100110101010 +110011001101 +100110111100 +011010011010 +010110011001 +010110010111 +010110000100 +010101100011 +010001010010 +010001010010 +010001010010 +001101000010 +010001010011 +001101000010 +001101000010 +001101000010 +001101000001 +001100110001 +001100110010 +010101010100 +010101100101 +011001100110 +011101110111 +100010000111 +100010000110 +011001100110 +010001010101 +001101000100 +001000110011 +010001000100 +010101100110 +011001100111 +010101100110 +010101100110 +010101100110 +010101100110 +011001111000 +011010001000 +011001111000 +011001110111 +010001010110 +001000110011 +000100010001 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100000 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010100110001 +110010010010 +111010100010 +110010010011 +100001100010 +011001010001 +010101010010 +010001010010 +010001000010 +001101000010 +001101000010 +001101000001 +001001000010 +001001010010 +001001010011 +001101010010 +001001010010 +001001010010 +001001100100 +001001100110 +001001101000 +001010001010 +001001111001 +000101111001 +000101101001 +000101101001 +001001111001 +001001111010 +001001111010 +001010001010 +001010001011 +001010001011 +001010001011 +001010001011 +001110011100 +010110101100 +010101111000 +010001010101 +010001000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +000100110011 +000100010001 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000101 +010101100110 +011101111000 +100010001001 +100110011010 +100110101011 +101010101011 +100110101011 +100110101011 +100110101011 +100110101011 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110101010 +100110011010 +100110011010 +100010011001 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001000 +011110001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011001111000 +011001111000 +010101110111 +010101100111 +010001100110 +010001010110 +010001010101 +010101100110 +011101110111 +100010001000 +100110011001 +101010101010 +100110101010 +100110101010 +100110101001 +100010001000 +011110000111 +011001110111 +010101100110 +011001100111 +010101100111 +011001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +011110001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +100010001001 +011110001001 +011110001001 +100110011000 +011001100101 +010101010101 +010001000100 +011101110111 +001100110011 +001100110011 +010001010110 +001101000110 +001101000101 +010001000100 +001100100001 +001100110010 +011101100110 +011001100101 +010000110011 +011001010101 +011001100101 +011101110111 +011001100101 +100001010010 +101101110010 +101101110010 +101101110001 +111111000100 +110111001000 +011101110111 +010101010110 +010101100110 +011101111000 +100010011001 +100010011010 +100010011010 +100010001001 +011110001001 +011101111000 +011001110111 +011001100111 +011001100111 +011001110111 +011101110111 +011110001000 +100010001000 +011001110111 +001000100010 +001100110010 +100010011001 +100010011001 +100010001001 +100010011001 +100010001001 +100010001000 +011110001000 +011110001000 +011101110111 +010000110010 +000100010000 +001000010001 +001000010000 +001000010000 +001000010000 +001000010000 +001000010001 +001000010001 +000100010000 +000100010001 +001000010001 +000100010000 +000100010000 +001000100000 +010000110001 +011101010001 +100101100010 +100101100010 +100101100010 +101001100010 +101001110010 +101001110001 +100110000100 +011010011001 +010010001001 +011001111000 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +100010001000 +100010001000 +100010001001 +100010011001 +100010011010 +100010011010 +100110011010 +100110011010 +100110101010 +100110011010 +100010011001 +011101110111 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011001100101 +010101010101 +010101100110 +010101100110 +010101100101 +010101010101 +010101010110 +011001110111 +011110001000 +100010011001 +101111001100 +100110111100 +011010101011 +010110001000 +010110000110 +011001110101 +011001110100 +011101110101 +011001110101 +011001100101 +010101010101 +011001100110 +010101010101 +010101010101 +011001100110 +010101010101 +010101010101 +011001110110 +010001000011 +011101110111 +100110101010 +100010001001 +100110011010 +101110111011 +101010111011 +101110101010 +011001010101 +001000100010 +001000110010 +001101000100 +010101100110 +011001100111 +010101100110 +010001010110 +010001010101 +010001010101 +010101100110 +010101100111 +010101100111 +010101010110 +010001000101 +001100110100 +001000100010 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +010000100001 +110110100010 +110110100011 +100101110010 +011001010001 +010101010001 +010001010010 +001101000001 +001101000001 +001101000001 +001101000001 +001101000001 +001000110001 +001001000001 +001101000001 +001001000001 +001001000001 +001101000010 +001001000010 +001001010011 +000101010101 +000101010110 +000101100110 +000101100111 +000101111000 +000101101001 +000101101000 +000101101000 +000101011000 +000101101000 +001001111001 +001010001010 +001010001011 +001010001011 +001010001011 +001010001011 +001110011100 +010110011100 +010101111000 +001101010100 +001000110010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010001 +001000100010 +001000110100 +001101000100 +001000110100 +001000110100 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110100 +010001000101 +010101100110 +011110001000 +100010011001 +100110011010 +100110101011 +100110101011 +100110101011 +100110011010 +100010011010 +100010011001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011001 +100010001001 +100010001001 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011101111000 +011001111000 +011001110111 +100010011001 +101010111011 +110011001100 +101111001100 +101111001100 +101111001100 +101010111100 +101011001100 +101010111100 +101111001100 +110111011101 +111011101100 +110111011100 +110011001100 +101010101010 +100010011000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +100001110110 +011101100101 +010101010101 +010001000011 +010101000100 +001100100010 +010001000100 +010001010101 +001101000101 +001101000101 +010101010101 +010101000011 +001100100010 +001100100010 +010101010101 +010000110011 +010101010100 +011101100110 +011101110111 +010000110011 +010000100000 +101001100010 +101101110010 +101101110001 +111010100010 +111111010101 +100110010111 +010101010101 +010101100110 +011101111000 +100010011001 +100010011010 +100010011001 +100010001001 +011110001000 +011001100111 +010101010101 +010101010101 +010101100110 +011001100111 +011001110111 +011110001000 +011110001000 +100010001000 +011110000111 +010000110011 +011101110110 +100010011001 +011110001001 +011110001001 +100010001001 +100010011001 +100010011001 +100010011001 +011001100110 +001100110010 +001000010000 +001000010000 +001000010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +000100010000 +000100010000 +000100010001 +000100010000 +001000010001 +001000100001 +010000110001 +011101010001 +100101100010 +100101100010 +100101100010 +101001100010 +101001110010 +101001110010 +100110000100 +011110011001 +010110011011 +010101111001 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011110001000 +011110001000 +011110001000 +100010001001 +100010011001 +100010011010 +100110011010 +100110011010 +100110101010 +100110101010 +100010011001 +011101110111 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +010101010110 +010101100110 +011001100111 +011001110110 +011001100110 +011001110111 +011101110111 +100010101010 +101011001101 +100010111100 +010110001010 +010010000111 +010001110100 +010101100010 +011101110110 +101010101010 +100110011001 +100010001000 +100110011001 +011101110111 +011101110111 +011001100110 +011001100110 +010101010101 +011001100110 +011101110111 +100010001000 +011101110111 +100110101010 +010001010101 +011001100110 +101010101010 +100010001001 +001101000101 +100010001001 +100110011000 +001100110011 +010001010101 +010101100111 +011001111000 +011001111000 +010101100110 +010001010101 +001101000100 +001101000100 +010001000100 +010001000101 +001101000100 +001100110011 +001101000100 +001101000100 +001000110011 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +001000100000 +101110000010 +101110000010 +011101010001 +011001010010 +011001010010 +010001000001 +001100110001 +001100110001 +001100110001 +001101000001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001001000001 +001001000001 +001001000001 +001001000010 +001001010011 +000101010011 +000101010011 +000101010100 +000101010101 +000101100111 +000101101000 +000101101000 +000101101000 +000101101000 +000101101000 +000101111001 +001001111001 +001001111010 +001010001010 +001010001010 +001010001011 +001110001011 +010010011011 +010110001010 +010001100111 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010000 +000100100010 +001000110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001100110100 +001000110100 +001000110011 +001000110011 +001000110100 +001101000100 +010001010101 +011001100111 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010001001 +100010011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011010001000 +011001111000 +011001111000 +100110101010 +110011001100 +101111001011 +100010101001 +011010011001 +011010001000 +011010011000 +011010011001 +011010011001 +011010011010 +011010011010 +011010011010 +011110011001 +101010111011 +110011001011 +111011101101 +110111011100 +111011101101 +110111011100 +101110111011 +100010011001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +011101100100 +010101000100 +010101010101 +010001000011 +010101010101 +001100110011 +010001000100 +010001000101 +001101000110 +001101000101 +010001000100 +010101000100 +010000110011 +001100110010 +011001010101 +011001100110 +010001000100 +010001000100 +011001010101 +010101010100 +001000010000 +100101010010 +101101110010 +110001110010 +110010000001 +111111000100 +101110100111 +010101100110 +011001100110 +011110001000 +100010011001 +100010011001 +100010011001 +100010001001 +011101111000 +010101100110 +001100110011 +001101000100 +010101100110 +011001110111 +011001110111 +011110001000 +011110001000 +100010001000 +100010011001 +100010001000 +100010001000 +100010011001 +011110001001 +011110001001 +100010001001 +100010001001 +011110001001 +100010011001 +010001000011 +001000010001 +001000010000 +001000010000 +001000010000 +000100010000 +000100010000 +001000010001 +001000010001 +001000010001 +000100010000 +001000010001 +000100010001 +000100010000 +001000100000 +001100110001 +010101000001 +100001010001 +100101100010 +100101100001 +100101100010 +101001100010 +101001110010 +100101100010 +011101110011 +011110011000 +001101010110 +001101000101 +011001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011101110111 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011001100110 +010101100110 +010101100110 +011001110111 +011001110111 +011001110110 +011001110110 +100110101010 +101011001100 +011010011010 +001110001001 +010001110110 +001101100011 +010001010010 +011001100100 +101010101010 +100010001000 +011101110111 +010101010101 +010101010101 +011101110111 +011101110111 +100001111000 +011001100110 +010101010101 +010101010110 +011101110111 +100010001000 +011110001000 +100010001001 +010101010101 +100010001000 +100110011001 +001100110100 +001000110100 +010001000110 +100010001001 +011001110111 +011001111000 +011110001001 +011110001001 +011001110111 +010101100110 +001101000101 +001100110100 +001000110011 +001100110011 +001100110011 +001101000100 +010001010101 +010101100111 +010101100111 +001101000100 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +000100010000 +000100100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +011001000010 +100101100010 +010101000001 +010001000001 +010001000001 +001100110001 +001000100000 +001000110001 +001100110001 +001100110001 +001000110001 +001000110001 +001000100001 +000100100000 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001001000001 +000100110001 +000100110001 +000101000010 +000101000010 +000101000011 +000101010100 +000101010100 +000101010101 +000101100110 +000101101000 +000101101000 +000101101000 +000101101001 +000101111001 +001001111010 +001001111010 +000101111010 +001010001010 +001010001011 +010010011100 +011110111101 +011010011010 +001101010110 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100100010 +000000010010 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010001 +000100100010 +001000110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001000110100 +001000110100 +001000110100 +001000110100 +001000110100 +001101000100 +010001010101 +010101100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011101111000 +011110001000 +100010001001 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010001001 +100010011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011001111000 +011001110111 +010101100111 +011001110111 +101110111010 +101110111010 +100010100111 +011010000110 +010101110101 +010001110110 +010001110110 +001101110101 +001101110110 +010010000110 +010010000111 +001110001000 +010001111000 +010001111000 +011010011001 +100110101001 +101110111010 +101010101001 +110111011100 +111011011100 +111111101101 +111011011100 +101110111011 +100010011001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001000 +011001010011 +010001000011 +011101110111 +100001110111 +011101110111 +010001000100 +010101000101 +010101010110 +001101000110 +001101000101 +010001000011 +010101000011 +010101000100 +010000110011 +010101000100 +100010001000 +100110011001 +100110011001 +101010101010 +100010000111 +000100010000 +010000100000 +101001100010 +110001110001 +110001110001 +110110100011 +111011011000 +100110010111 +011001100111 +011101111000 +100010011001 +100010011001 +100010011001 +100010001001 +011101111000 +010101010110 +001000110011 +001101000100 +010101100111 +011001110111 +011001110111 +011101111000 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011110001001 +100010001001 +100010001001 +100010011001 +100010001000 +001100110010 +001000100001 +001000010000 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +000100010000 +000100010000 +000100010000 +001000100000 +001100110001 +010101000001 +011101010001 +100101010010 +100101100001 +100101100001 +100101100010 +100101100010 +100101110010 +100101100010 +010001010011 +011010011010 +010001101000 +000100100001 +011001100110 +011101110110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101111000 +011110001000 +011101111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011001110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011001110111 +100010011000 +100110101011 +010001111000 +001101111000 +001101100110 +001101010010 +010001010001 +011101110101 +101010101010 +101010101011 +011101110111 +100010001000 +001000100010 +010001010101 +011110001000 +011101110111 +011101110111 +011101110111 +011001100110 +011001010101 +011101100110 +011101110111 +100010001000 +100010001001 +100110011001 +100010011001 +010001000101 +001100110100 +001100110101 +001000110100 +010001010110 +100010011010 +011110001001 +011110001001 +011110001001 +011001110111 +010101100110 +010001010101 +001101000100 +001100110100 +001101000100 +010001010101 +010101100111 +011001111000 +011101111000 +011001111000 +010001000101 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000010000 +000100010000 +000000000000 +000100000000 +001000100000 +011001000001 +001100100000 +001000100000 +001100100000 +001000100001 +001000100001 +001000100001 +001000110001 +001100110001 +001000110001 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +000100100000 +000100100000 +000100100001 +000100100000 +000100100001 +000100100001 +000000010000 +000100100001 +001000110001 +001001000010 +000100110010 +000100110010 +000101000011 +000101000010 +000101010100 +000101010110 +000101010111 +000101010111 +000101101000 +000101101001 +000101111001 +001001111001 +000101111001 +000101111001 +001001111010 +001001111010 +001110001011 +010110101100 +011010101101 +010001111000 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +001000010001 +001000100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100011 +001000110011 +001000110011 +000100010000 +001000100011 +001101000100 +001101000101 +001101010101 +001101010101 +001101000101 +001101010101 +010001010110 +010001010101 +001101000101 +001101000100 +001001000100 +001000110100 +001001000100 +001101000100 +001101000100 +001100110100 +001000110011 +001000110011 +001100110100 +001101000100 +001100110100 +001000110011 +001101000100 +010001010101 +010101100110 +011001100111 +011001110111 +011101111000 +011110001000 +100010001001 +100010001001 +100010001001 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011001110111 +011001110111 +010101100110 +011101110111 +101110111010 +100110100111 +011001110101 +010001100011 +001101100011 +001101100011 +001101100011 +001101100011 +001101100011 +001101100011 +001101100100 +001101110101 +001101110110 +001101110110 +010001110110 +010110000111 +100010011000 +101010111001 +011110000111 +011110011000 +100010011001 +101010101010 +110011001011 +111111101101 +110111101101 +101010111010 +100010011001 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +010001000011 +010001000011 +101110111011 +101010101010 +010101010101 +010001000100 +010101010101 +010001000101 +001101000101 +001101000101 +010001000100 +010101010100 +010001000011 +001100110010 +001100110010 +100010000111 +100110011001 +101010101010 +100110011001 +100010001000 +001000010001 +000100010000 +011001000001 +101101110010 +110001110010 +110110100010 +111111000101 +101110111000 +100010011000 +011101110111 +011110001001 +100010001001 +100010001001 +100010001001 +011110001000 +010101100111 +010001010101 +010001010110 +011001100111 +011001111000 +011001110111 +011101111000 +011110001000 +100010001000 +100010011001 +100010011010 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +011110000111 +001100100001 +001000100001 +001000010000 +001000100001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +001000010001 +001000010001 +000100010000 +001000010001 +001000100000 +010000110001 +011001000001 +100001010001 +100101100001 +100001010001 +100101010010 +100101100010 +100101100010 +100101100010 +100101100010 +010101010100 +001101111000 +010110001010 +001000110100 +010101010100 +011101110110 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101111000 +011001110111 +010101100110 +011001110111 +011110001000 +011110001000 +011110001000 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011001100110 +011001100110 +011001100110 +011101111000 +110011001100 +011010001000 +001101110110 +001101100100 +001101010010 +010001000010 +011101110101 +101110111011 +101110111011 +101010101010 +011101110111 +011001100110 +011001110110 +011001100110 +011101110110 +100001110111 +010101010101 +011001010101 +011001100110 +011001100110 +011101110111 +011101110111 +100010011001 +100110101010 +100110101010 +010001010101 +001100110100 +001101000101 +001100110100 +001100110100 +001000110100 +010101100111 +100010011010 +011010001000 +011001111000 +011001110111 +010101100110 +010001010110 +010001010101 +010001010101 +010001010110 +010101100111 +011010001000 +011110001001 +011110001001 +011001111000 +001101000100 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000010000 +000100000000 +000100000000 +000100010000 +010000110001 +011001010010 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +001000100000 +001000100000 +001000100000 +001000100001 +001100110010 +010101010101 +010001000100 +001100110011 +000100010001 +001100110011 +010001000100 +010001010101 +010101010101 +010001000100 +010001000100 +010001000101 +001000100010 +000100010001 +000100100001 +001000110001 +000100110001 +000100110010 +000101000010 +000101000011 +000101000100 +000101000101 +000101010101 +000101010110 +000101010111 +000101101000 +000101101000 +000101101001 +000101101001 +000101101000 +000101101001 +001001111010 +001010001011 +001110011011 +010110101100 +010001110111 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000010001 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +001000010001 +001000100001 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000100010001 +001000110011 +001101000101 +010001010101 +001101010101 +001101010101 +001101010101 +010001010101 +010001010110 +010001010110 +001101010101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000100 +001000110100 +001000110011 +001000100011 +000100100010 +000100010010 +000100100010 +001000110011 +001000110011 +001100110100 +001101000100 +010001010101 +010101100110 +010101100110 +011001100111 +011001110111 +011101111000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001000 +011110001000 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +100110101000 +011010000101 +010001100011 +010001010010 +001101000010 +001001000010 +001001000001 +001001000010 +001001010010 +001001010011 +001101100011 +001101010010 +001101010010 +001101100011 +010001110011 +010001110100 +011001110100 +100010000101 +100010000101 +011001110110 +010001100110 +001101100101 +010101110110 +011110011000 +101110111010 +111011101101 +111111101101 +111011101101 +101111001011 +100110101010 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +010001000100 +011001100101 +101110111010 +100110011000 +010101010101 +010000110011 +010101000101 +010001000100 +001101000101 +001101000101 +010000110100 +010101000011 +010001000011 +001100100010 +001000100001 +010101010100 +100010001000 +100110011001 +100110011001 +100110011000 +001100110010 +000100010000 +001000010000 +011101000001 +110001110010 +110110010010 +110010000010 +100110010101 +100110011000 +100010001000 +011110001000 +100010001001 +100010001001 +100010001001 +011110001001 +011001111000 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011110001000 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +011110000111 +001100100001 +001000010000 +001000010000 +001000100001 +001000100001 +000100010001 +000100010000 +000100010000 +001000010000 +001000010001 +001000100001 +001000100001 +001100110001 +010000110001 +011001000001 +100001010001 +100001010010 +100101100001 +100001010001 +100101100010 +100101100010 +101001100010 +100101100010 +100101100010 +011101110110 +010010001010 +010010001001 +001101100111 +010001010101 +011101110110 +011101100101 +011101100101 +011101100110 +011101100110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +010101100110 +010001010101 +010101100110 +011101111000 +011110001000 +011101110111 +010101100101 +010101010101 +011001100110 +011101110111 +011101110111 +100001110111 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011101111000 +011101110111 +011001100110 +011001100110 +010101100110 +010101010101 +011001100110 +100110111011 +100010011001 +010001100100 +010001010010 +010101010001 +011001010010 +011110000110 +101010101010 +101010101010 +011101110111 +011101110111 +100110011001 +011001100110 +011001100110 +011101110111 +100010001000 +011101110111 +011101100110 +011001100110 +011001100101 +010101010101 +010101010101 +011101111000 +100110011001 +101010101010 +010101010110 +001000110100 +001101000100 +001100110100 +001100110100 +001000110100 +001100110101 +001100110101 +011001111000 +011001110111 +011001100111 +010101100111 +010101100110 +010101010110 +010101100110 +010101100110 +010101100110 +011001111000 +011110001001 +011110001001 +011001111000 +010101100111 +001100110011 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000100000000 +001000010001 +100001110101 +100110000110 +011001100110 +011101110111 +011101110111 +010101010101 +001100110010 +001000100001 +001000100001 +001100110010 +010101010100 +010101010110 +011001100110 +011001100110 +010001000100 +001100110011 +011001100110 +011001100111 +011101111000 +100010001000 +011101110111 +011101110111 +011101111000 +100010001000 +011001100110 +001100110010 +000100100000 +001000110001 +001000110001 +000100110010 +000100110010 +000100110010 +000100110011 +000101000100 +000101000100 +000101000101 +000101010110 +000001010111 +000101011000 +000101101000 +000101101000 +000101101000 +000101101001 +000101111010 +001001111010 +010010011100 +011010101101 +010110001010 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +001000100001 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000000010001 +001000110011 +001101000101 +010001010110 +010001010101 +001101010101 +001101010101 +010001010110 +010001010110 +010001010110 +001101010101 +001101000101 +001101000101 +001101000101 +001101000101 +010001010101 +010001010110 +010001010101 +001101000101 +001101000100 +001101000100 +001000110100 +001000110011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110100 +001000110100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +011001100111 +011001110111 +011001110111 +011101110111 +011101111000 +011110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +011001100111 +010101100110 +010101100111 +011001110111 +011001110111 +011001111000 +011101111000 +011101111000 +011001111000 +011001111000 +011101111000 +100010000110 +011001110100 +010001010010 +001101010010 +001001000001 +001001000001 +001001000001 +001001000001 +001001000001 +001001000001 +001001000010 +001001000010 +001101000010 +001101000001 +001101000001 +001101010001 +001101000010 +010001010001 +010101010001 +010101010010 +010001010010 +010001010011 +010001000010 +001101000011 +010001100110 +100010011000 +110111001011 +110111001011 +111011011100 +111011011100 +110111101101 +110011011100 +100110101001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +010001000100 +100001110111 +101010101010 +100010001000 +001100110011 +001100110010 +010001000100 +010000110100 +010001000101 +010001000101 +001100110011 +010000110010 +001100110010 +001000010001 +001000100001 +010000110011 +100010001000 +100110011001 +100110011001 +100110011000 +010001000100 +000100010000 +000100010000 +001100100000 +101101100010 +110110000001 +101110000010 +100001100011 +101010010111 +101010101010 +100010001001 +100010001001 +100010011001 +100010011001 +011110001001 +011001111000 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011110001000 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011000 +100110000011 +001100110001 +001000100001 +001100100001 +001100100001 +001100100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000110001 +001100110001 +010000110001 +011001000001 +011101010001 +100001010010 +100001010010 +100001010010 +100001010010 +100101100001 +100101100010 +100101100010 +100001100010 +011101100010 +011001100100 +010110011010 +010010011010 +001101111000 +010101100110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +011110001000 +011001110111 +011001100110 +011001110111 +011110001000 +100010001001 +011101111000 +010101100101 +010001010100 +011001100110 +011101110111 +011101110111 +100001110110 +100001110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011101110111 +011110001000 +011101110111 +011001100110 +011001100101 +010101010101 +010101010100 +011110011010 +010001111000 +001101010100 +010101000001 +100101010010 +101001110010 +101001110011 +100001110100 +011101100101 +010101010101 +011101110111 +100010001000 +011001100110 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +010101010101 +010101010100 +010101010101 +011101110111 +101010101010 +011001100110 +001000110011 +001101000100 +001100110100 +001000110100 +001100110100 +001100110100 +001101000100 +001100110101 +010001010110 +011001110111 +010101010101 +010101100110 +010101100110 +010101010110 +010101100110 +010101100110 +010101100111 +011001111000 +011001111000 +011001111000 +010101100110 +001101000100 +000100100001 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +010000110010 +101110101001 +101010011001 +100010001000 +011101110111 +011001100110 +011101111000 +011101110111 +010101010101 +011101110111 +011101110111 +011001100110 +010101010101 +011001110111 +011001100110 +011001100110 +001100110100 +010001010101 +001100110011 +010101010101 +010101000100 +001100110011 +010001000100 +010101100110 +011001100111 +100010001001 +100001111000 +010000110011 +001000100001 +001000110001 +000100110001 +001000110001 +000100100001 +000100110001 +000100110010 +000101000011 +000101000100 +000101000101 +000101010110 +000101010111 +000101010111 +000101011000 +000101101000 +000101101000 +000101111001 +000101111001 +001001111010 +010010001011 +011010101100 +010110001001 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000000010000 +001000110010 +001101000101 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101010101 +001101000101 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +001000110100 +001000100011 +001000100011 +001000110100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000101 +010001000101 +010001010101 +010001010101 +010101010110 +010101100110 +011001100110 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +010101100111 +010101100110 +010101100110 +010101100111 +010101100111 +011001110111 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011001110100 +010001010010 +001101000010 +001101000001 +001000110001 +001000110001 +001000110001 +001001000001 +001001000001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001100110010 +011001010100 +011001010100 +010001000010 +010000110001 +011001010001 +100001010010 +011001000010 +010101010011 +101010010110 +110010111001 +100110011001 +100110011001 +100110101001 +100010011001 +101111001011 +110111101101 +101110111011 +100110011001 +100010001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011110001000 +011110001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +010101010100 +100010000111 +101010101010 +100010001000 +001100110011 +001000100010 +010001000100 +010001000100 +001100110100 +010001000100 +010000110011 +001100110010 +001000100001 +000100010000 +001000100001 +001100110010 +100001110111 +100110011001 +100110011001 +100010001000 +010001000011 +000100010000 +000100010000 +001000010000 +011101010001 +110001110010 +110010000010 +100101100010 +101010010111 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001001 +011001111000 +010101100111 +010101100111 +010101100111 +011001110111 +011001110111 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +101010100111 +110010010011 +100001100010 +011001010001 +010100110001 +010000110000 +001100110001 +001100110001 +001100110001 +010000110001 +010000110001 +010000110001 +010000110001 +010000110001 +011001000001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +100001100010 +011001010001 +010001000001 +001100110001 +001100110001 +010101100101 +011010001000 +010001100111 +011001100110 +100001110110 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +100010001000 +011110001001 +011110001000 +011110001000 +100010011001 +100010011001 +100010001000 +011001110111 +011001110111 +011101110111 +011101110111 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110111 +011110001000 +011101110111 +011001100101 +011001010101 +010101010101 +011110001000 +010010001001 +001101110110 +010001010010 +100101100001 +101101110010 +101101110010 +101101100010 +100001100010 +011001010100 +011001100110 +011001100110 +010101010101 +010101010101 +011001100110 +011001100110 +011101110111 +011101110111 +011001100110 +010101010100 +011001100110 +011101110110 +010101010100 +010001000100 +100010001000 +100110011010 +010001000101 +001101000101 +001101000100 +001100110100 +001100110100 +001000110100 +001100110100 +001100110100 +001100110100 +001100110101 +011001111000 +010101100110 +011001100110 +010101100110 +010001010101 +010001010101 +010001010101 +010101100110 +010101100111 +010101100111 +010001010101 +001101000100 +001000110011 +000100010001 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +101010011000 +100110001000 +011101110111 +011101110111 +010000110100 +001000100011 +011101110111 +011101110111 +011101110111 +011001100110 +011101110110 +001100110011 +010101010101 +010001010101 +010101010101 +010001000101 +010101010110 +010101010101 +010101010110 +001100110011 +000100010001 +000100100010 +011101110111 +010101010101 +011101111000 +100010001000 +100001110111 +011001100110 +010101010100 +001100110010 +001000100000 +001000100000 +001000100000 +001000110001 +001000110010 +001001000011 +001001000100 +000101000101 +000101000110 +000101010110 +000101010111 +000101010110 +000101010111 +000101101000 +000101111001 +001001111010 +001001111010 +001001111001 +010001111001 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010001 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100110011 +000100110010 +000100110011 +001000110011 +001000110011 +001000110011 +000000010000 +001000100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +001101000101 +001101000100 +001000110100 +001000110011 +001101000100 +001101000101 +001101000101 +001101010101 +001101010101 +010001010101 +010001010101 +010001010101 +001101010101 +010001010101 +010001010101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001000110100 +001000110100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010101100110 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011101110111 +010101010010 +001101000001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110000 +001000110001 +001000110001 +001000110001 +001000100001 +001000100010 +010001000100 +011001100111 +011101100111 +100010001000 +010101000100 +010000110000 +100101100010 +101001100010 +100001010001 +100101100001 +110010100100 +110010110110 +100010011000 +011110001001 +010101111000 +001101100111 +010001111000 +011010001001 +101111001011 +110111011101 +110011001100 +100110101001 +011001110110 +010101100101 +010001010101 +010001010101 +010101100110 +010101110110 +011001110111 +011001111000 +011001111000 +011110001000 +011110001000 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +010000110011 +011001100101 +101010101010 +100010011000 +010001000100 +001100100010 +010001000011 +010001000100 +001000100010 +001000100010 +010001000011 +001100110010 +000100010000 +001000010001 +001000100010 +001100110011 +100010001000 +100110011001 +100010001000 +011001100101 +001000010001 +000100010000 +000100010000 +000100010000 +010100110000 +101101100010 +101101110010 +100001100011 +010101010101 +010101100111 +011010001001 +011110011001 +100010011001 +100010011001 +011110001001 +011101111000 +011001110111 +010101100111 +010101100111 +011001100111 +011001110111 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +101010101000 +101010100110 +101110010101 +100101100001 +011101010001 +011001000001 +010101000001 +010100110001 +010100110001 +011001000001 +011001010001 +011001000001 +011001000001 +011101010001 +100001010010 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011001000001 +100001010010 +011101010010 +010101000001 +001000110011 +001001000100 +001001000100 +001101000011 +010101110110 +010001100110 +011001100110 +011101100101 +011001100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110111 +100010001001 +100010011001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +011101110110 +011101100101 +011101100101 +011101110110 +011101110110 +011101110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110101 +011101110110 +011101110110 +011110000111 +011110001000 +011101110111 +011001100110 +011001010101 +011110011001 +011010011010 +001101110111 +010001100100 +100001100010 +101101110010 +101101110010 +101101110010 +101101110010 +100001010001 +011001010010 +100010000111 +100110011010 +100110011001 +100110001001 +100010001000 +011101100111 +010101010101 +011001100101 +011001100110 +011101110110 +010101010101 +010001000100 +011001100110 +100110011001 +101010101010 +011110001000 +010101010111 +001101000100 +001101000100 +001101000100 +001101000100 +001000110100 +001100110100 +001101000100 +001101000100 +001000110100 +010101100111 +011101111000 +010101100111 +010001010110 +001101000100 +001100110100 +001101000100 +010001010101 +010001010110 +001101000101 +001101000100 +010001010101 +010001000101 +001000100011 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +010101000100 +101010011001 +010101010101 +011101100111 +011101110111 +011001100110 +000100010001 +001100110011 +011101110111 +100010001000 +010101010101 +011001100110 +011101110111 +011001110111 +011001100110 +010101100110 +010001000101 +011001100110 +011001100110 +010001000100 +010000110011 +000100000000 +000000000000 +010101100101 +010001010101 +011101111000 +100010001000 +100001111000 +100010001000 +100010001000 +100001110111 +011001010101 +010101000100 +010000110010 +010000110001 +010000110001 +010000110001 +001100110001 +001100110010 +001000110010 +001101000010 +001001000011 +000101000100 +000101010101 +000101010111 +000101101000 +000101101001 +001001111010 +001010001011 +001001111010 +001101100111 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100010010 +000100010010 +000100010001 +000000010001 +000100010010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100110010 +000100110011 +001000110011 +001000110011 +001000110011 +000000010000 +001000100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +001101000101 +001101000100 +001000110100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001000110011 +001000110011 +001000110100 +001101000101 +010101100111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011001100110 +010001000010 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +000100100001 +000100100000 +001000110001 +001000100001 +001000100001 +001100110011 +001100110011 +010001000101 +010000110100 +010001000100 +011001010101 +001100100010 +010101000001 +100101100010 +101101110010 +101001100010 +101001100001 +110010010001 +111011000100 +100010000101 +010101110111 +010101111000 +001101010111 +001101010111 +001101101000 +010001101000 +010110001000 +101010111010 +110111011100 +101010111010 +011101110111 +100110000111 +100001110101 +011101100100 +010101100100 +010001010100 +010001010101 +010001010101 +010101100110 +011001111000 +011110001001 +100010001001 +011110001001 +011110001001 +011110001000 +001100110011 +001000100010 +100010001000 +100110011001 +010101010101 +001100110010 +010001000011 +010000110011 +001000100010 +001000100010 +010001000011 +001100110010 +000100010000 +001100100010 +001000100010 +001100110011 +100010001000 +100010001000 +011001100110 +001000100001 +000100010000 +000100010000 +000100010000 +000100010000 +010100110001 +101001100010 +101101110010 +011101100010 +001101010101 +001101100111 +010001111000 +011110001001 +100010011001 +100010011001 +100010001001 +011110001000 +011001111000 +011001110111 +011001110111 +011001100111 +011001110111 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100110011010 +100010011001 +101010010101 +101001110001 +100101100010 +100001010010 +011101010001 +011101010010 +011101010010 +011101010001 +011101010010 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +010101000001 +100001010010 +011101010010 +010101000010 +001001000011 +001001010110 +001001100111 +001001100111 +001101111000 +010001111000 +010001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101110111 +011110001000 +100010011001 +100010001001 +100010001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101110101 +011101110101 +011101110101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011110000111 +011110001000 +011101110111 +011001100110 +011110000111 +011110111100 +001110001001 +001001100101 +010101100011 +101001110010 +101101110010 +110001110010 +101101110001 +101101100010 +101001100010 +100001110011 +100010000111 +100010001000 +011101110111 +011101111000 +011101110111 +010101010101 +010101010100 +010001000100 +001100110011 +010000110011 +011101110111 +101010111010 +101010101010 +100001110111 +011001010101 +010101010110 +010101010110 +001101000101 +001100110100 +001100110100 +001100110100 +001000110100 +001100110100 +001100110100 +001100110100 +001101000101 +010001010110 +011101111000 +010101100111 +010001010101 +001101000100 +001000110010 +001000110011 +001101000101 +010001010110 +010001010110 +010001100110 +010101110111 +010101100111 +001100110100 +000100010001 +000100000000 +000100000000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000000000000 +000100000000 +000100010000 +000100010001 +000100010001 +001000010001 +001000010001 +001000100001 +001000100010 +100110000111 +011001100110 +001000100011 +001000100010 +010101010101 +011101110111 +011001100101 +001100110011 +011001100110 +011101110111 +010101010101 +010101010101 +011001100110 +100010001000 +010101010101 +011001100110 +011001100110 +011101110111 +010001000100 +010101010101 +001100110011 +010001000011 +010101010100 +010001000100 +010101010101 +100010001000 +011001100110 +001100100011 +001000010010 +001000100011 +010101010101 +100010001000 +100110000111 +100001100100 +100001010010 +011101010010 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +010101000001 +001101000010 +001001010100 +000001000110 +000001000110 +000001010111 +000101101000 +001001111010 +001001111010 +000101101000 +001001010111 +000100100011 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000010010 +000100010010 +000100100010 +000100100010 +000000010001 +000000010001 +000100010010 +000100010010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100110011 +000100110011 +001000110011 +001000110011 +001000110011 +000000010000 +000100100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +001101010101 +001101000100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001010101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001001000100 +001101000100 +010001010110 +011001110111 +011101111000 +011101111000 +011001111000 +011001111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011001110111 +001101000010 +001000100001 +001000110001 +001000100001 +000100100000 +000100100001 +000100100000 +001000100001 +000100100001 +000100100001 +001000100000 +001000100001 +001100110011 +010001000100 +001100100011 +001100110011 +001000010001 +001000010001 +010001000100 +010001000011 +010000110001 +100001010001 +101101110010 +101101110010 +101101110010 +110010010001 +111110110001 +110010100011 +011001110101 +010001100111 +001101101000 +001001010110 +001001010111 +001001101000 +001101100111 +101010010101 +110010110110 +011110000110 +101110100110 +110010010100 +101110000100 +010101010010 +010000110011 +011001010011 +010001000011 +001101000011 +010001010101 +011001110111 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +001100110011 +000100000000 +010000110011 +100010001000 +011110000111 +001100110011 +010001000011 +001100100010 +000100010000 +001000010001 +001100100010 +001100110010 +001000100001 +001000100001 +001000010001 +011001010101 +100010000111 +010001000100 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +010100110001 +100101010010 +101101110010 +100101110011 +010101100101 +001101100111 +010001110111 +100010011001 +100010011001 +100010001001 +100010001001 +011110001000 +011101111000 +011001110111 +011001100111 +011001100110 +011001110111 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011010 +100010011010 +101010101001 +110010100100 +101001110001 +100101100010 +100001100010 +100001100001 +100001010010 +100001010001 +011101000001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001100010 +011101010001 +100001010001 +011101010001 +010101000001 +001100110010 +001101010100 +001001010110 +001001100111 +001101100111 +010001111001 +010101111000 +011001110110 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +100010001001 +100010011001 +100010001001 +011110001001 +011110001001 +100010001001 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011110000111 +011110001000 +011110000111 +011001110111 +011110011000 +010110011010 +001101110111 +011001110100 +100101110010 +101110000010 +110001110010 +101101110010 +101101110010 +100001010010 +010000100000 +001100100001 +001100110011 +010001000100 +010101010101 +011001100110 +011001100110 +010101010101 +010101010101 +011101110111 +100110011001 +101010101010 +101010101010 +100110011001 +011001110111 +001100110011 +000100010000 +001000100010 +010001000100 +001101000101 +001101000100 +001101000100 +001100110100 +001100110100 +001000110011 +001000110100 +001100110100 +001101000101 +001101000101 +010101100111 +011001100111 +010001010101 +001101000100 +001100110100 +001100110100 +001101010101 +010101100111 +010101111000 +011001111000 +011010001000 +011001100111 +001101000100 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +010101010100 +100001110111 +001100110100 +001000110011 +001000100011 +001000100010 +001100110100 +011001100110 +011101110111 +010101010110 +011101110111 +011101111000 +011001100110 +010101010101 +010101010110 +010101010101 +011001100110 +011001100110 +010001000101 +011001100110 +011101110111 +011101110111 +010101010101 +010101010101 +011001010110 +011101111000 +010001000100 +001100110011 +010001000100 +011001100110 +011101110111 +011101111000 +011001100100 +011101010011 +100101100010 +100101100001 +100101010001 +100101010010 +100101010001 +100101010010 +100001010001 +011101000001 +011001000001 +010101000001 +001001000100 +000101010111 +000001010111 +000001010111 +000101101000 +000101011000 +000101101001 +001001111001 +001110001010 +010001111000 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100001 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100010010 +000100010010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100110011 +001000110011 +001000110011 +001000110011 +000100010000 +000100100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +001101000101 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +010001010101 +010001010101 +010001010101 +001101000101 +001101000101 +010001010110 +011001110111 +011110001000 +011110001000 +011001111000 +011001111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +010001000011 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100010000 +000100100000 +000100010000 +000100100000 +001100110010 +001100110011 +010101010101 +010000110100 +010000110011 +001100100010 +010000110011 +010000110011 +001100100011 +010001000011 +011001000010 +100001010010 +101001110010 +101101110010 +101110000010 +110010000001 +111010100001 +111011000011 +101010000011 +010101100100 +010101100110 +001101010110 +001001000110 +011001111000 +100110101001 +110111000111 +110111011001 +110011001010 +011101100100 +010101000010 +001100110010 +000100100010 +000100100010 +001000010001 +010101000010 +011001010100 +010101100110 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +001100110011 +000100010000 +001000010001 +001100110011 +010001010100 +010101010101 +010000110010 +001100100010 +000100010001 +000100010000 +001000010001 +001000100001 +001000100001 +001000010001 +010000110011 +010101010101 +001100110010 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010000110001 +100001010010 +101101100010 +101001110010 +011101100011 +010001100101 +010101100110 +101010111010 +101010111010 +100010011001 +100110011001 +100010001000 +011001110111 +011001100110 +010101100110 +010101100101 +011001100110 +011101110111 +011110001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100110101001 +110110110101 +101110010010 +101001110010 +100101100010 +100001100010 +100001100010 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100001010001 +011101010001 +011101010001 +100001010001 +100001100001 +100101100010 +100101100010 +100101100010 +100101100010 +011101010001 +010101000001 +001100110010 +001001000011 +001001000101 +001001010110 +001001010110 +001001010111 +010001101000 +011010001000 +011101110111 +011001100101 +011001110110 +011001110110 +011001100110 +011001100110 +011001110110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011001110110 +011101100101 +011101100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011110001000 +011110001000 +011001110111 +011110000111 +011110011001 +100010000101 +101001110010 +101110000010 +101101110010 +101101110010 +101101110010 +100101010010 +001100010000 +001100110010 +100010001000 +100110011001 +101010101010 +101010101010 +101010101011 +101010111011 +101010111011 +101010111011 +101010101010 +101010101010 +101010101010 +100010011001 +011001100110 +001100110011 +001100110011 +001000100010 +000100000000 +000100010001 +001101000100 +001100110100 +001101000100 +001100110100 +001000110011 +001000110011 +001000110011 +001000110011 +001100110100 +001100110100 +010101010110 +011001100111 +010001010101 +010001010101 +010001010101 +001101000101 +010001100110 +010101111000 +011001111001 +011010001001 +011010001001 +010101100111 +001101000100 +001000100010 +001000010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000000010000 +000100010000 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100100010 +011101110110 +010101000101 +001000100011 +001000110011 +001100110100 +001100110011 +001000100011 +001000110011 +011001100110 +011110001000 +011101110111 +011101110111 +011101110111 +010101010101 +010101010101 +010101010101 +010001000100 +001100110011 +001100110011 +010101010101 +010001000100 +010001000100 +011001010110 +010101010101 +010001000100 +010001000100 +010101010101 +100001110111 +100010001000 +100010001000 +011101110111 +011101110111 +100001100100 +100001100010 +100101100010 +100101100010 +100101010010 +100101010001 +100001010001 +100001010001 +100001000001 +011101000001 +010100110000 +001100110010 +001001000100 +000101010110 +000101010111 +000001010111 +000101101000 +000101101000 +000101101000 +001001111001 +001001111010 +010010001011 +010001111000 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100010010 +000100010010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100100011 +000100100011 +000100100010 +000100110011 +001000110011 +001000110011 +001000110011 +000100010000 +000100100010 +001101000100 +010001010101 +010001100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001010110 +010001010101 +001101010101 +001101000101 +010001010110 +011001110111 +011110001000 +011110001000 +011101111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +010101000100 +010000110011 +001100110011 +001000100001 +000100100001 +001000110011 +001101000100 +010001000100 +010101010110 +010001010101 +011001100110 +010001000100 +010101000101 +010101010101 +010001000100 +010001000100 +010101010101 +010001000100 +010101010101 +011001100110 +001100100001 +001100010000 +011101010001 +101001100010 +101101110010 +110010000010 +110010000001 +110010000010 +101110000010 +100001110010 +011001010010 +001001000100 +010001010111 +010101101000 +010101100111 +010101111000 +011001111000 +011110001001 +010101100111 +010001010110 +001001000101 +000100110100 +000100100011 +000100100011 +001100110011 +011001010100 +100110000111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +010101010101 +000100010000 +000100010001 +000100010000 +000100010000 +001000100001 +001100110011 +001000100001 +001000100001 +001000010001 +001000010000 +001000100000 +001000010001 +001000100001 +001000100010 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +100001010001 +101001100010 +101001110001 +010101010001 +011001100100 +100110011000 +011101110111 +100110101001 +100110011001 +100010011001 +100110101001 +100110101001 +011001100101 +010001000100 +010001010101 +011001100101 +011101110111 +011110000111 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +110010111000 +110110100010 +101101110010 +101001110010 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100001010001 +100101010010 +100101010010 +100001010010 +100001010010 +100001010001 +100001100001 +100101100010 +100101110010 +101001110010 +100101110010 +100101110010 +100101100010 +010101000001 +001000110011 +001001010101 +001001010101 +001001010110 +001001010110 +001001000110 +001001010110 +001101100111 +011010001000 +100010000111 +011101100101 +011001100110 +011001100110 +011001100110 +011101110110 +011101110111 +011101111000 +011001110111 +011001110111 +011001111000 +011110001000 +011110001000 +011110001000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110110 +011101110110 +011101110110 +011001110110 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +011110001000 +011101111000 +011001110111 +100010000111 +101110111010 +101010000011 +101101110001 +110010000010 +101101110010 +101101110010 +101001100010 +010100110001 +001000100001 +100010001000 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101011 +100110101010 +100110011001 +100010001001 +001100110011 +001000010001 +001000100001 +001100110010 +001000010001 +000100000000 +001000100010 +010001000100 +001100110011 +001100110011 +001000100011 +001000110011 +010001000100 +001100110100 +001100110100 +001100110100 +010001000101 +010101100110 +010001010101 +010001010101 +010001010110 +010001010110 +010101100111 +011001111000 +011010001001 +011010001001 +011001111000 +010101100111 +010000110100 +001100100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100010000 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +011101100110 +001100110100 +001000100011 +001000110011 +001101000100 +001100110100 +001100110100 +001101000100 +001100110100 +010101010110 +100010001000 +100010001000 +011001100110 +010001000100 +010101010101 +010000110100 +001100110011 +010101010101 +011101110111 +011101110111 +011101110111 +011001100110 +011001010110 +010101010101 +011001100110 +011101110111 +011001100110 +010101010101 +010000110100 +001100100011 +001000010010 +010000110011 +011001000010 +100001010001 +100101010010 +100101010010 +100101010001 +100101010010 +100101010001 +100101010001 +100001010001 +100001010001 +011101000001 +010000110001 +001001000010 +001001000100 +000101010110 +000001000110 +000101010111 +000101101000 +000101101000 +000101011000 +001101111010 +001101111010 +001101101000 +001000100010 +000000010000 +000100010001 +000100010010 +000100100010 +000100100010 +000100010001 +000100010010 +000100010010 +000100010010 +000100010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010000 +000100100001 +001101000100 +010001010110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010101 +010001010101 +010001010101 +010001100110 +011001110111 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011001010101 +010101000101 +100010001001 +100110011001 +100010001001 +100110011010 +100010001001 +010101100110 +010001010101 +011101110111 +011101100110 +010101000100 +010000110011 +010101000100 +010001000100 +010000110011 +010001000011 +010101010100 +010101010100 +010101000100 +011001010101 +000100010000 +001000010000 +011101000001 +101001110010 +110001110001 +101101110010 +101101110010 +101110000010 +100101100010 +010001000001 +001001000101 +001001000101 +001001000101 +001001000110 +001001010110 +001101010111 +010001101000 +010001101000 +010001101000 +001101010111 +001001010110 +001001000110 +001001000101 +010101111000 +001101000101 +010001000011 +100001110101 +100010000111 +011110001000 +011110001000 +011110001000 +011110001000 +010101010101 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +001000010001 +001000010001 +001000010001 +001000010000 +001000010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010000100000 +100101010010 +101001100010 +100001100010 +010101000010 +100001110101 +011101110101 +010101100110 +010001010110 +001001000101 +001001000101 +001001010101 +010101110111 +100110101001 +100001110110 +010101010100 +010101100100 +011101110111 +011101111000 +011110000111 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100110101001 +110110110101 +101110000010 +101001110010 +100101110010 +100101100010 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100001010001 +100001010010 +100001010001 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101110010 +100101110010 +100101100010 +011001010010 +001101010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001000101 +001001010110 +001101111000 +011110001000 +100001110110 +011101100101 +011101100101 +011101100101 +011101100110 +011101110111 +011001110111 +011001110111 +010101100110 +011001110111 +011110001001 +100010011001 +011110001000 +011001110111 +010101100110 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001100110 +011001100110 +011001110110 +011001110110 +011001110111 +011001111000 +011101110111 +011001110110 +011101110111 +101110111000 +101110010010 +110010000010 +110010000010 +110010000010 +101101110010 +011000110001 +001000010000 +010001000011 +100110011001 +100110011010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101010 +101010101011 +100110011001 +100110011010 +011001100110 +001000100001 +001100100010 +001100100010 +010000110100 +001100110100 +001000100010 +000100000000 +001000100001 +001000100010 +001100110011 +001000100011 +001000100011 +001100110011 +010001000100 +001100110011 +001100110100 +010001000100 +010101010110 +010001010101 +010001010101 +010001010110 +010001100110 +010101100111 +011001111000 +011001111000 +010101111000 +010101101000 +010001010110 +001100110100 +001000100010 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +001100110010 +001100110011 +001000100001 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010101000100 +010101000100 +001100110011 +001000100011 +001000110011 +001100110100 +001100110100 +001101000100 +001100110011 +001100110100 +001100110100 +001100110100 +011001100111 +100110011001 +011101110111 +010001000100 +010000110100 +010001000100 +010001000100 +010001000100 +010101000101 +010101010101 +010001000100 +010001000100 +010101010101 +010001000100 +001100110011 +010001000100 +011001100110 +011101110111 +011001100110 +010001000100 +001100110011 +010000110010 +011101010010 +100001000001 +100001010001 +100101010010 +100101010010 +100101010010 +100101010001 +100101010001 +100101010010 +100001010010 +100001010001 +010101000010 +001001000100 +001001010110 +000101010111 +000101010111 +000101010111 +000101010111 +000101101000 +001101111010 +010010001011 +001101111010 +000100100010 +000000000000 +000000000000 +000100010001 +000100100010 +000100100010 +000100010010 +000100100010 +000100010010 +000100010010 +000100010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +000100010000 +000100100001 +001101000100 +010001010110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010101100110 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011001100110 +010101010101 +100110011001 +100010001000 +011101111000 +100010011001 +100110011010 +011101111000 +001100110011 +001100110011 +010101010101 +010101000100 +001100110011 +010000110011 +010101010100 +010101000100 +010101000100 +001100110011 +011001100110 +100010001000 +100010000111 +010001000100 +000100000000 +001000010000 +011101010001 +101001110010 +101101110010 +101101110010 +101101110010 +011101010001 +001000110010 +000100110100 +001000110100 +001000110101 +000100110101 +001001000110 +001001000111 +001001010111 +001101010111 +001101010111 +001101101000 +001101101000 +001101101000 +001101101000 +010001100111 +010001111001 +000101000110 +000100100010 +010101010100 +011101110111 +011110001000 +011110001000 +011110001000 +010101010101 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +001000010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +011101000001 +101001100010 +100101100010 +011101010001 +010101010011 +010101010100 +001101000011 +001001000100 +000100110100 +000100110100 +001001000101 +001001000101 +001001000101 +001101010111 +100110011001 +110111001000 +101110100101 +101010010101 +100010000111 +011110001000 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100110011001 +101110100111 +101110010010 +101001110010 +100101100010 +100101100010 +100001010001 +011101010001 +100001010001 +100001010001 +100101100010 +100001100010 +100001100010 +100001010001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100001100001 +100001100010 +010001010100 +001001010110 +001001000101 +001001010101 +001001010110 +001001010110 +001001010110 +001001000101 +001001010110 +001001100111 +010001100111 +100110011001 +100110000111 +011101100101 +011101100101 +011101100101 +011101110111 +011101110111 +011001110111 +011001100111 +011001111000 +100010011001 +100010011001 +011110001000 +010101100111 +010101100110 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001110110 +011001110110 +011001110111 +011001110111 +011001110111 +010101100110 +011001100110 +101110110111 +110010100010 +110010010010 +110010000010 +110001110010 +101101110010 +100001000001 +001100100000 +001100110010 +100010011001 +100110011010 +101010101010 +101010101010 +100110011010 +100110011010 +101010101010 +101010101010 +101010101010 +100110011010 +100110011010 +100110011001 +001100110011 +001000100001 +001100110010 +001100110011 +001100110011 +001000100010 +001000100010 +000100010000 +000000000000 +001000100001 +001100110010 +001100110011 +001100110011 +001100110100 +001100110100 +001100110011 +001100110011 +001100110011 +010001010101 +010001000101 +001101000101 +010001010101 +010001010101 +010001010110 +010101100111 +010101101000 +010101101000 +010101101000 +010001010110 +001100110011 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +010001000011 +010001000011 +010000110011 +001000010001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +010001000100 +001100110011 +001000100011 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001100110011 +001100110011 +001000100010 +010101010110 +100110011010 +100010001000 +011001100110 +010101010101 +010101000101 +010001000100 +011001010110 +011101100111 +011101110111 +011001100110 +011001100110 +011101110111 +100010001000 +100110011001 +100110011001 +100010001000 +100010001000 +100010001000 +100010001000 +011101100101 +011101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100001010010 +010101000011 +001001000101 +000101010111 +000101010111 +000101010111 +000101000111 +000101010111 +000101010111 +001001101000 +010010011011 +001101010110 +000000000000 +000000000000 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010001 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +000100010000 +000100100001 +001101000100 +010001010110 +010101100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010001010110 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001100110 +010001100110 +010001100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010101100110 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011001110111 +011001100110 +010001000100 +001100110100 +001000100100 +001000110011 +010001000100 +011001100110 +011001010110 +010101010101 +011001010101 +010000110011 +001100110011 +010101000100 +010001000011 +010000110011 +010101000100 +011101110111 +100110011001 +100110011001 +100110011001 +100010001000 +001100100010 +000100000000 +001100100000 +100101100010 +101101110010 +101101110010 +101001110010 +010101000001 +001000100010 +000100110011 +000100110011 +000100110100 +000100110100 +001000110101 +001001000110 +001001010111 +001001000110 +001101011000 +001101101000 +001101100111 +001101010111 +001101101000 +010001101000 +001101101000 +001001010111 +000100110100 +010001000100 +011101100101 +100010001000 +011110001000 +011101111000 +011101110111 +001000100001 +000100000000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +001000010001 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010100110001 +100101010010 +100101100010 +100101010010 +011101010001 +010001010100 +001001000100 +001001000011 +001000110100 +000100110100 +001000110100 +001000110100 +001000110100 +001001000101 +001001010110 +001101100111 +100010011000 +110011001001 +100110000101 +100010000101 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100110011001 +110010010100 +101001110001 +100101110010 +100101100001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010010 +011101010001 +100001010001 +100001100001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100001 +100101100010 +100001100010 +011001010010 +001101010101 +001001010110 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +010001100111 +100110101010 +101010011000 +011101100101 +011001100101 +011101110111 +011110001000 +011110001000 +011110001000 +011110001001 +100010011001 +100010011010 +011110001001 +011001110111 +011001110111 +011001110111 +011110001000 +011101110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011101110110 +011001110110 +011001110111 +011101110111 +011001110111 +010101100110 +010101100101 +101110100101 +111010100010 +110010000010 +101101110010 +101101110010 +101101110010 +011001000001 +000100010000 +001100110011 +100110011001 +100110011010 +100110011010 +101010101010 +101010101010 +101010101010 +100110101010 +101010101010 +100110011010 +100110011010 +100110011010 +011001110111 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010000 +000100010000 +000100010000 +000000000000 +000100010000 +001000100001 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +010001010101 +010001000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010111 +010101101000 +010101101000 +010101101000 +010101010110 +010000110100 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +010001000100 +010101000100 +001100110010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001000100010 +001100110010 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000110011 +001100110011 +001000100011 +001000100010 +001000100011 +001000100011 +000100100010 +011101110111 +100110011001 +100010001000 +100110001000 +100110011001 +011101110111 +010101010101 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110100 +010000110011 +001000100010 +001000100010 +001000010001 +001100100001 +010100110001 +011101000010 +100001010001 +100001010001 +100001010001 +100101010010 +100101010010 +100101010010 +100101010010 +100101010010 +100101100010 +100101100010 +100001010001 +010101000010 +001101000100 +001001000110 +000101010111 +001001011000 +000101011000 +000101011000 +000101011000 +001001111001 +010010001010 +001001000100 +000100010010 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000000010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010001 +000000010001 +000000010010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000100010000 +000100010001 +001101000100 +010001010110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001100110 +010001100110 +010101010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +010001010110 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +010001000100 +001100110101 +001000110100 +001000100011 +000100100010 +000100010001 +000100010001 +001100110011 +010101010101 +011101110111 +011101100111 +011101100110 +011101110111 +011101111000 +100010001000 +100110001001 +100110011001 +100110011010 +101010011010 +100010001001 +001000100010 +000100000000 +001000010000 +011001000001 +101001100010 +101001100010 +100101100001 +010000110001 +000100110011 +000100110011 +000100110100 +000100110100 +000100110011 +000100110100 +000101000101 +001001000110 +001001010110 +001001010111 +001001101000 +001001101000 +001001101000 +001101101000 +001101101000 +001101101000 +001101101000 +001001000110 +001101010101 +010000110010 +100001110111 +011110001000 +011101111000 +011110001000 +011001010011 +000100000000 +000100010001 +000100000000 +000100000000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100000 +011101010001 +100101010010 +100101010001 +100001010010 +011001000001 +001001000011 +001001000100 +001001000100 +000100110011 +001000110100 +000100110100 +000100110100 +001000110100 +001001000101 +001001010110 +001101100111 +010001111000 +011110001001 +101010101010 +011001010100 +011101110110 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +101010010111 +101110000010 +100101110010 +100101100010 +100101100010 +100001010001 +100001010001 +011101010001 +100001010010 +100001010010 +100001100010 +100001010010 +100001010010 +011101010001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100001100010 +011101010001 +010101000010 +001001010101 +001001000101 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001100111 +001101100111 +001001010110 +001101010110 +100110101001 +101010101000 +100001110101 +011101110110 +011110001000 +011110001000 +011110001001 +100010011001 +100010011010 +100010011010 +100010011001 +011110001001 +011110001000 +011110001000 +100010001000 +011101110110 +011101110110 +011101110110 +011101110110 +011101110101 +011101100101 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101110110 +011101110110 +011001110111 +011101110111 +011001110111 +011001100110 +011101110101 +111011010100 +110110100001 +110010000010 +101101110010 +101101110010 +101001100010 +001100100000 +000100010000 +001100100010 +100010001000 +100110011010 +100110011001 +100110011010 +100110101010 +100110011010 +100110011001 +100110011010 +100110011001 +100110011001 +100110011010 +010101010101 +001000100001 +001000010001 +001000010001 +001000100001 +001000010001 +000100010000 +000100010000 +001000010001 +000100010000 +000000000000 +000100010000 +001100110010 +010000110011 +001100110010 +001100110011 +010001000100 +001100110011 +010001000100 +010001010101 +010001000100 +001101000100 +001000110100 +001000110100 +001101000101 +010001010111 +010101101000 +010101111000 +010101111000 +010101100111 +010001000100 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000100010 +001100100010 +001100100010 +001100100010 +001000100010 +001000100010 +010101000100 +011101110110 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100010 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +010001000101 +011001100110 +010101010101 +011101111000 +100010001000 +100110011001 +100110011010 +100010001001 +011001100110 +010101000101 +010101000101 +010101010101 +011001010110 +010101010101 +010001000100 +010001000100 +010101010101 +010101010101 +010001000100 +001100100011 +001000010001 +001000010000 +010000100001 +011001000001 +100001010001 +100101010001 +100101010001 +100101010010 +100101010010 +100101010001 +101001100010 +101001100010 +100101100010 +100001010001 +011001010001 +001101000011 +001001000110 +000101010111 +001001111001 +001001111010 +001001101001 +000101101001 +001001111010 +010010001010 +001101000101 +001000110100 +001000110011 +000100100010 +000100100010 +000100100010 +000100010010 +000000010001 +000000010001 +000000010001 +000000010010 +000100100010 +000000010010 +000000010001 +000000010001 +000000100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000000010000 +000100010001 +001101000100 +010001010110 +010101100110 +010001100110 +010101100110 +010101100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000101 +010001000101 +010001010101 +010001010101 +010001010110 +010001100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001100110 +010001010110 +010001100110 +010101100110 +010001100110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010001010110 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +010101100110 +001000110011 +001000110100 +001000110011 +000100010010 +000100010001 +000100010000 +000100010001 +001000010001 +011001100110 +100110011001 +100110011001 +100110011001 +100010001000 +100110001001 +100110011001 +100110011001 +100110011010 +100110011010 +100010001000 +001000010001 +000100000000 +000100010000 +010000110000 +100101100010 +100101100010 +011101010001 +001000100001 +000100110011 +000100110011 +000100110100 +000100110100 +000100110011 +000100110100 +000100110101 +000101000101 +001001010110 +001001010111 +001001010111 +001001101000 +001001101000 +001001101000 +001001010111 +001001011000 +001001011000 +001001010111 +001101010111 +000100100011 +001100110010 +100001110111 +011110001000 +011001111000 +101010000101 +010000100000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +001000010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +001000010000 +010000110001 +100001010001 +100101010001 +100001010010 +100001010001 +011001000001 +010000110001 +000100110010 +001001000100 +000100110100 +000101000100 +000101000100 +000100110100 +001001000100 +001001000101 +000101000101 +001001000101 +001001100111 +001101101000 +010110001000 +011110011001 +001101000011 +100010000111 +100010011001 +100010011010 +100010011001 +100010011001 +100110011001 +101110010101 +101001110010 +100101100010 +100101110010 +100101100010 +100001010001 +100001010001 +100001010010 +100001100010 +100101100010 +100001100010 +100001010001 +100001010010 +100001010001 +100001010001 +100101100010 +100101100010 +100101110010 +101001110010 +100101110010 +100101100010 +100001100010 +100001010010 +011001010001 +010001000001 +001001000100 +001001010101 +001001010101 +001001010110 +001001010110 +001001010110 +001001000110 +001001010110 +001001010111 +001001100111 +001001100111 +001001010110 +001001000101 +001000110011 +011101110111 +101110101001 +100110000111 +011110001000 +011110001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110101 +011101110110 +011001110111 +011101110111 +011001110111 +011001100101 +101010100110 +111111010100 +110110010001 +110010000010 +101110000010 +101101110010 +100001010010 +001100100000 +001000010001 +000100010001 +010101000100 +100110011001 +100110011001 +100110101010 +100110101010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +001100110011 +001000010001 +001000100001 +001000010001 +001000010001 +000100010001 +000100010000 +000100010001 +000100010000 +001000010001 +001100100010 +001000100001 +001000010000 +010000110010 +001100110010 +010001000011 +010001000100 +001100110011 +010001000100 +010001010101 +010001000100 +001101000100 +001101000100 +001101000100 +010001010110 +010101100111 +011001111000 +011001111000 +011001111000 +010101100110 +010001000100 +010000110010 +010000110010 +001100110010 +001100110001 +010001000010 +010001000010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100011 +001100100010 +001100100010 +001100100010 +001100100010 +001000100010 +010000110010 +101010011000 +100001110101 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000010001 +001000010001 +001000100010 +001000100010 +001100110011 +001000100010 +000000000000 +010001000100 +100010011001 +100110011001 +100010001001 +100110011001 +100110011001 +100110101010 +100110011010 +100110011001 +100110011001 +100110011001 +100110001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001111000 +011101110111 +001100110011 +000000000000 +001000010000 +011101000001 +100101010010 +100101010010 +100101100010 +100101100010 +101001100010 +101001100010 +101001100010 +101001100010 +100101100010 +100001100001 +011001010001 +001101000011 +001001000101 +000101010111 +000101101000 +001001111001 +001001111010 +000101101001 +001101111001 +010001100111 +001100110100 +001000110011 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000000010001 +000000010001 +000100010010 +000100010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +001000110011 +001000110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110011 +000000010000 +000100010001 +001101000100 +010001100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000100 +001000110100 +001000110100 +001000110011 +001100110100 +001101000100 +001101000101 +001101010101 +010001010101 +010001010101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001100110 +011001100111 +011001111000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +011110001001 +011110001000 +011110001000 +011110001001 +100010001001 +010101100110 +001000100011 +001000110100 +001000110011 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +010001000100 +011001110111 +100110011010 +100110011010 +100110011001 +100110001001 +100110011001 +100110011001 +100110011001 +100110011001 +011101100111 +000100010000 +000100000000 +000100000000 +010000100000 +100001010001 +100101010010 +010100110001 +001000100010 +000100110011 +000100110011 +000100110100 +000100110100 +000100110100 +000100110100 +000100110100 +000101000101 +000101010110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010111 +001001010111 +001001011000 +001001011000 +001001010111 +001001010111 +001001000101 +000000000000 +010101010100 +011110001000 +011101111000 +100010000110 +100101100011 +010000100000 +000100000000 +000100010000 +000100000000 +000100000000 +000100010000 +001000010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +001000100000 +010000110000 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011001000001 +001000110010 +000100110100 +000101000100 +000101000100 +000101000100 +001001000100 +000101000100 +001001000101 +001001000101 +000100110100 +001001010110 +001101111000 +001101101000 +010110001001 +010001100111 +010001010101 +100010000111 +100110011001 +100010011010 +100010011001 +100110011001 +101110010011 +100101110010 +100001100001 +100101110010 +100101110010 +100001100010 +100001100001 +100101100010 +100101100010 +100101100010 +100101100010 +100001100001 +100001010001 +100001010001 +100101100001 +100101100010 +100101100010 +100101110010 +100101110010 +100101100010 +100101100010 +100001100010 +100001100010 +011001010001 +010001000010 +001001000101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +001001100111 +001001010110 +001001010110 +000100010001 +001101000100 +010101110110 +011101110110 +100010001000 +011110001001 +011110001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011001110111 +011101110111 +011001110111 +011001100110 +101110100111 +111111000011 +110110010001 +110010000010 +101101110010 +101001110010 +010100110001 +001000010000 +001000100001 +001000100001 +001000100001 +010101010101 +100010011001 +100110011001 +100110011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011001 +011110001000 +001000100010 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +000100010001 +000100010000 +001000100001 +000100010000 +000100010000 +000100010000 +000100010001 +001100110011 +001000100001 +001100110011 +001100110011 +001100110011 +010001010101 +010001000101 +010001000100 +010001000011 +001101000100 +010001000101 +010101010110 +010101111000 +011001111000 +011001111000 +010101100111 +010101010110 +010001000011 +010000110010 +001100110001 +001100110001 +001101000001 +001101000001 +001101000010 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +010000110010 +001100110010 +010000110010 +001100110010 +001100110010 +001100110011 +001100110010 +001100100010 +001100100010 +001000100010 +001000100001 +001100110001 +100101110110 +100001110101 +010000110010 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100010 +000000000000 +000100010001 +001000100010 +001000100011 +010101100110 +100010011001 +100010011001 +100010001001 +100110011001 +100110011001 +100110011001 +100010001001 +100110011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +011101110111 +011101100110 +001000010001 +000000000000 +001100100000 +011101010001 +100101010010 +100101100010 +100101100001 +100101100010 +101001100010 +101001100010 +101001100010 +100101100010 +100101100001 +100101100010 +011001010010 +001101000001 +000101000100 +000101000110 +000101101000 +001001111010 +000101101000 +001001111000 +001101100111 +001000110011 +000100110011 +000100100010 +000000010001 +000100100010 +000100010010 +000100010001 +000000010001 +000000010001 +000100010010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000000010001 +000100010010 +001000110011 +001000110100 +000100100011 +000000010001 +000000010001 +000100100010 +000100100010 +001000110011 +001000110011 +000100010001 +000100010001 +001101000100 +010101100110 +011001110111 +011001110111 +010101110111 +010101100111 +010101100111 +010101100110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001101000100 +001000110100 +000100110011 +000100100011 +000100100010 +000100100011 +000100100011 +001000110011 +001000110011 +001000110100 +001000110100 +001101000100 +010001010101 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +100010001001 +010101100110 +001000100011 +001000110011 +001000100010 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +001000100010 +001100110011 +011001100111 +100010001000 +100110011010 +100110001001 +100110001001 +100110001001 +100110011001 +100010001001 +010001000100 +000000000000 +000100010000 +000100000000 +010000100000 +100001010001 +100001010001 +010000110001 +001000110010 +000100110011 +000100110011 +000100110100 +000100110100 +000100110011 +000100110100 +000100110100 +000101000101 +000101000110 +000101000110 +001001000110 +001001010111 +000101010110 +001001101000 +001001101000 +001001010111 +001001101000 +001001010111 +001001100111 +001001101000 +000100100011 +001000100001 +011101110111 +011110001000 +011101111000 +100110000110 +100101100010 +010000110001 +001000010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100000 +001100100000 +001100010000 +001100100000 +001000010000 +000100000000 +000100000000 +000100010000 +001000010000 +001100110001 +010100110001 +011001000001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010010 +100001010010 +011101000001 +001100100001 +000100110011 +000100110100 +000100110100 +000100110100 +000101000100 +000101000100 +000101000101 +001001010101 +000101000100 +001001010101 +001101100111 +001101100111 +001101111000 +010001111000 +010001100111 +010101100110 +100110011000 +100110011010 +100010011010 +100110011000 +101010000011 +100001100001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100001100001 +100001100010 +100001100010 +100101100010 +100001100010 +100001100010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100001110010 +011101100010 +010101000010 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +001001010110 +001001100111 +001001100111 +001001100111 +001001010111 +001101100111 +000100100010 +001000100010 +010001111000 +010001100110 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010011001 +011110011001 +011110001001 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011001110111 +011001110111 +011001110111 +011001100110 +100010000101 +111111000100 +110110100001 +110010000010 +101101110010 +101001100010 +010000110000 +001000100001 +001000010001 +001000010001 +000100010001 +000100010001 +001100110011 +011001110111 +100010011001 +100110011010 +100010011001 +100010011001 +100010011001 +100110011001 +011110001000 +001000100010 +000100010001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +001000010000 +000100010000 +000100010000 +000100010000 +001000100010 +010001000100 +010001000100 +001000100001 +001100100010 +001100110010 +001101000100 +010001000101 +001101000100 +001101000100 +010001000011 +010001000100 +010001010101 +010101010110 +010101100111 +011001111000 +010101100111 +010001100101 +010001010100 +010001000010 +001100110010 +001100110001 +001100110001 +001100110001 +001101000001 +001101000001 +010001000010 +010000110010 +010000110010 +010001000010 +010001000010 +010001000010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +010000110011 +001000010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000000000000 +000000000000 +000000000000 +000100010001 +000000000000 +000000000001 +000100010001 +010001010101 +100010001000 +100010001000 +100010001001 +100010001001 +100110001001 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +011101110111 +011101111000 +100010001000 +100001111000 +100001111000 +011101110111 +010000110011 +000000000000 +000000000000 +010000110001 +011101010001 +100001010001 +100101010001 +100101100010 +100101100001 +101001100001 +101001100010 +100101100010 +101001100010 +100101100010 +100001100010 +011001010010 +001101000011 +000101010110 +000101010111 +000101101000 +000101011000 +001001111001 +010010001010 +000100110100 +000100100010 +000000010001 +000000010001 +000100100001 +000100100010 +000000010001 +000000010001 +000000010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +000100100010 +000100100010 +000100010010 +000000010001 +000100100010 +001000110100 +001100110100 +000100100011 +000000000001 +000000010001 +000100100010 +000100100010 +001000110011 +001001000011 +000100010001 +000100010001 +001100110011 +010101010110 +011001100111 +011001110111 +010101110111 +010101100111 +010101100111 +010101100110 +010001100110 +010001010110 +010001010110 +010001010101 +010001010101 +001101000101 +001101000100 +001101000100 +001000110100 +001000110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +010001010101 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001000 +011110001001 +010101010101 +001000100010 +001000100011 +000100100001 +000100010001 +000100010001 +000100010001 +000000000000 +000100010000 +001000010001 +000100010001 +001000100010 +001100110011 +011101110111 +100110001001 +100110001001 +100010001000 +100010001000 +011101110111 +001000010001 +000000000000 +000000000000 +000100000000 +010100110001 +100001010001 +011101000001 +010000110000 +001000100010 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110011 +000100110100 +000100110100 +000101000101 +000101000101 +000101000101 +001001010111 +000101000101 +000101010111 +000101010111 +000101000110 +001001100111 +001001101000 +001101111000 +001001101000 +001101010110 +010000110001 +010101010100 +011110001000 +011110001000 +011101110111 +100101110101 +100001100010 +010100110001 +001100100000 +001000010000 +001000010000 +010000110001 +010101000001 +010101000001 +011001000001 +011001000001 +011001000001 +010100110001 +001100100000 +001100100000 +010100110001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010010 +100101010010 +100101010001 +100001010001 +011001000001 +001100100001 +000100110011 +000100110100 +000101000101 +001001000100 +001001000101 +000101000101 +000101000101 +001001000101 +001001000101 +001001000101 +001001010110 +001001010110 +001101100111 +010001111000 +010001111000 +010001110111 +100010001001 +100110101010 +100010011010 +100110011000 +100101110010 +100101100001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010001 +100001010001 +100001100010 +100001100010 +100101110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100001100010 +011001000010 +001101010100 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010110 +001001100111 +001001100111 +001001100111 +001001100111 +001001100111 +001001000100 +000100100010 +010001111000 +001101111000 +001101010101 +100010001000 +011001110111 +011001111000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011101110111 +011001110110 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001110110 +011001110111 +011001110111 +011001110111 +011001110110 +100010000101 +111011000100 +110110010001 +110010000010 +101101110010 +101001110010 +011001000001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001100110011 +010101010101 +011001100110 +011110001000 +011110001000 +011110001000 +100010001001 +010001010101 +001000100001 +000100010001 +000100010001 +001000100001 +000100010000 +000100010000 +001000010000 +000100010000 +001000100001 +001100110011 +010001010101 +010001010101 +010001000100 +001000010001 +001100110010 +010000110011 +010001000100 +001101000100 +001101000011 +001101000011 +001101000011 +010001000011 +010001000100 +010001010101 +010101100110 +010101100110 +010001010101 +001101000011 +001100110010 +001100110010 +001100110010 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001100110001 +001101000010 +001100110010 +001000100010 +001000100001 +001000100001 +000100100001 +000100100001 +001000100010 +000100000000 +000000000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000000000000 +000000000000 +000000000001 +000000000000 +000000000000 +001101000100 +011110001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101111000 +100010001000 +100010001000 +100001110111 +100001110111 +011101100110 +001000010001 +000000000000 +000000000000 +010000100000 +011101000001 +100001010001 +100101010010 +100101100010 +101001100001 +101001100010 +101001100010 +101001100010 +100101100010 +100101100010 +011001010010 +001101000011 +000101000110 +000101010111 +000101101000 +000101101000 +001001111010 +010010011100 +001001010110 +000100100010 +000100010001 +000100100001 +000100100001 +000100100010 +000000010001 +000000010010 +000000010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +000100100010 +000100100010 +000100010001 +000000010001 +000100100010 +001000110100 +001100110100 +000100100010 +000000000001 +000000010001 +000100100010 +001000110011 +001101000100 +001101000100 +000100010001 +000100010001 +001000110011 +010001010101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010001010110 +010001010110 +010101010110 +010101100110 +010101100110 +010001010110 +010001010101 +010001010101 +001101000101 +001101000100 +001000110011 +000100100010 +000100100010 +000100100010 +001000110011 +010001010101 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +011110001001 +011110001000 +011110001000 +011101111000 +011101111000 +010101010101 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001100100010 +011101110110 +011101110111 +011101110111 +011001100110 +001000100010 +000100000000 +000100000000 +000000000000 +001000010000 +011001000001 +011101000001 +011001000001 +010000110000 +001000100001 +000100110011 +000100100011 +000100110011 +000100100011 +000100110011 +000000100011 +000100110011 +000100110100 +000100110100 +000101000101 +000101000101 +001001010110 +000100110101 +001001010110 +000101000110 +000101000110 +000101100111 +001001101000 +001001101000 +001001101000 +001101101000 +010101010100 +010101000010 +011101111000 +011110001000 +011110001000 +011110001000 +011101110101 +100001100010 +011001000001 +010100110001 +011001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001010001 +100101100010 +100101010010 +100101010010 +100001010001 +100001010001 +011000110001 +010000100000 +001000110011 +000100110100 +000100110101 +000100110100 +001001000101 +000101000101 +000101000101 +000101000101 +001001000101 +000101000101 +001001010110 +001001100110 +001001100111 +001001100111 +001101100111 +001101101000 +010101111000 +100010011001 +100110011010 +100110000111 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010010 +100001010010 +100001100010 +100101110010 +100101110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100001100010 +011001000001 +001101000011 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010110 +001001100111 +001001100111 +001001100111 +001001100111 +000101010110 +001001000100 +000100100010 +001101111000 +001001111000 +001001000101 +011001100110 +011001100110 +010101100110 +011101111000 +011110001000 +011110001000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001100101 +011001100110 +011001100110 +011101100101 +011101100101 +011001100101 +011001100101 +011001100110 +011001110110 +011001110111 +011001110111 +011001110110 +100001110101 +111010110010 +110110010001 +101101110010 +101101110010 +101001100010 +011101010010 +001000100000 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100010 +010001000100 +010001000100 +001100110011 +010001000100 +001100110010 +001000010001 +000100010000 +000100010000 +000100010000 +001000010000 +001000010001 +001100110010 +001100110011 +010001000100 +001101000101 +001101010101 +010001000100 +001000010001 +001100110010 +010001000011 +001101000011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110010 +001101000011 +001101000011 +010001010100 +010001000100 +001101000011 +001000110010 +001100110010 +001100110001 +001100110010 +001100110010 +001100110010 +001100110001 +001100110001 +001100110001 +001000110001 +001000110001 +001000110001 +001000110001 +001100110001 +001100110001 +001100110001 +001000110001 +001100110001 +001100110001 +001000110001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100010 +001000100010 +000100010000 +000000000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010101010101 +011110001000 +011110001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +011001010101 +000100010001 +000000000000 +000000000000 +000100010000 +011001000001 +100001010001 +100101010001 +100101100010 +101001100010 +101001100010 +101001100010 +101001100010 +101001100010 +100101100010 +100001100010 +010101010010 +001001010101 +000101010111 +000101101000 +000101011000 +000101101000 +001001111001 +001101111001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000100010010 +000100010010 +000000010010 +000000010010 +000000010010 +000100100010 +000100100010 +000100010001 +000000010001 +000100100010 +001000110100 +001100110100 +000100100010 +000000000001 +000000010001 +000100100010 +001101000100 +010001010101 +010001010101 +000100010001 +000000010001 +001000100010 +010001010101 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +001101000100 +001000110011 +001000100011 +001000110011 +001000110011 +010001010101 +010101100111 +010101110111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101110111 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +011001111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011001110111 +010101100111 +010101100110 +010101010110 +001101000101 +000100010001 +000100010001 +000100000000 +000100010000 +000000000000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +010000110011 +011101110111 +010101010101 +000100010001 +000100000000 +000100000000 +000100000000 +000100000000 +010000110001 +011101000001 +011101000001 +011000110001 +010000110000 +001000100001 +001000110011 +000100100011 +000100100011 +000100110011 +000100110011 +000100110011 +000100110011 +000101000100 +000101000101 +000101000110 +000101000110 +000101000110 +000100110100 +000101000101 +000101010111 +000101000110 +000101010111 +000101011000 +001001010111 +001001101000 +001001101001 +010001100111 +011001000011 +011101110111 +011110001000 +011110001000 +011010001000 +010001100111 +011101010011 +100101100010 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +011001000001 +010100110000 +001000110010 +000100110100 +000100110101 +000100110100 +000101000101 +000101000101 +001001000101 +001001010101 +000101010110 +000101010110 +001001010110 +001001100111 +001001100111 +001101100111 +001001010110 +001101111000 +001101100111 +011110001000 +100010011010 +100110000110 +100001100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100001100010 +100101100010 +100101100010 +100001010010 +100001010001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100001100010 +100001100010 +100101100010 +100001100010 +100001100010 +011101010010 +011001000001 +010001000010 +001001010110 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010110 +001001010111 +001001100111 +001001100111 +001001100111 +001001100111 +001001000100 +000100100010 +001101111000 +001101101000 +001101010110 +001100110011 +011101110111 +011001100111 +011110001000 +011110001001 +011110001000 +011001110111 +010101100110 +011001110111 +011001110111 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001110110 +011001100110 +011001100110 +011001110110 +011001110110 +011001110110 +011001100101 +011001100110 +011001110110 +011001110110 +011001110111 +010101110111 +100010000101 +111111000010 +110110000001 +101101110010 +101101110010 +101001100010 +011001000001 +001000100000 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +001000010001 +000100010000 +000100010000 +001000100001 +010101000100 +010000110011 +001000100001 +010000110011 +001100110011 +001100110100 +001100110100 +001101000100 +010001000100 +001000010001 +001100110010 +001101000011 +001101000011 +001101000011 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001101000011 +001101000011 +001101000011 +001101000011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011101110111 +100001110111 +010101010101 +000100000000 +000000000000 +000000000000 +001000010000 +011001000001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100001 +100101100001 +100101100010 +100101100010 +100101100010 +100001100010 +011101010010 +001101010100 +001001100111 +001001101000 +000101010111 +000101010111 +000001000110 +001101111001 +001000110100 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000000010010 +000000010010 +000100010010 +000100010010 +000000010010 +000000010001 +000000010010 +000100100010 +000100100010 +000100010010 +000000010001 +000100100010 +001101000100 +001101000101 +001000110100 +000000010001 +000000010001 +001000110011 +010101010110 +011001110111 +011001100110 +000000010001 +000000010000 +000100100010 +001101000101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010101 +001000110100 +001000110011 +001000110011 +001000110011 +001101000101 +010101100110 +010101110111 +011001110111 +011001110111 +010101110111 +010101110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101110111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +010101100110 +010001010110 +010101100111 +011001110111 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011001111000 +011001110111 +011001100111 +010101100111 +010001010110 +010001010101 +001101000101 +001101000101 +001101000100 +001101000100 +000100010001 +000100010001 +000000000000 +000100010000 +000000000000 +000100000000 +000100000000 +000100010000 +000000000000 +000100010001 +000100010000 +000100010001 +000100010000 +001100110011 +010000110011 +000100010001 +000000000000 +000100000000 +000100000000 +000100000000 +001100100000 +011001000001 +011101000001 +011001000001 +011001000001 +010100110000 +001100100000 +000100100010 +000100100011 +000100100011 +000100110011 +000100110011 +000100110100 +000100110100 +000101000100 +000101000101 +000101000101 +000101000110 +000101000110 +000100110100 +000100110101 +000101000110 +001001000110 +001001010111 +001001010111 +001001101000 +001001101000 +001001011000 +001101100111 +011101111000 +011110001000 +011101111000 +011110001000 +011101111000 +001101010110 +010001000010 +100101100010 +100101100010 +100101100010 +100001010001 +100001010001 +011101000001 +100001010001 +011101010001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010001 +100001010001 +100101010001 +100101100001 +100001010001 +011101000001 +010100110000 +001100100001 +000101000100 +001001000101 +000101000101 +000101000101 +000101000101 +001001000101 +001001000101 +000101010101 +000101000101 +001001010111 +001001100111 +001001010111 +001001100111 +001001010110 +001101100111 +001101111000 +010101111000 +100010011001 +100110000110 +100001100001 +100001010010 +100001100010 +100101100010 +100101100010 +100001100001 +100001010001 +100101100010 +100001010001 +100001100010 +100001010001 +100001100010 +100001100010 +100001100010 +100101100010 +100101100010 +100101110010 +100101100010 +100001100010 +100001100010 +011101010001 +100001010010 +100001100010 +011101010001 +011001010001 +010101000010 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +001001010111 +001001010111 +001001100111 +001001100111 +001001101000 +001001000101 +000100110011 +001101111000 +001101111001 +001101100111 +001000110011 +010101100110 +011110001000 +011110001001 +100010011001 +011110001000 +011001110111 +011001110111 +011001110111 +011101110111 +011101110110 +011101100110 +011101100110 +011101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001110110 +011001110111 +011001110111 +011001110111 +011001110111 +011001110110 +110110110011 +110010000001 +101101110010 +101101110010 +100001010001 +010000110000 +001000010000 +000100010000 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100010001 +000100010000 +001000100001 +001100100010 +001100100010 +001000010001 +001100100010 +001100110011 +001000110010 +001100110011 +001100110011 +010000110011 +000100010001 +001100110010 +001101000011 +001101000100 +001101000100 +001100110011 +001100110010 +001100110010 +001000110010 +001100110010 +001100110010 +001100110011 +001101000100 +001101000101 +010001010101 +001101000100 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110100 +100010001000 +011101111000 +011110001000 +011101111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011101110111 +011101110111 +011101100110 +010101000100 +000000000000 +000000000000 +000000000000 +001000010000 +011001000001 +100001010001 +100001010001 +100101010010 +100101100010 +100101010001 +100101100010 +101001100010 +100101100010 +100101100010 +100001100010 +011101100010 +010001010100 +001001100111 +001001101000 +000101011000 +000101101000 +000101010111 +000101000110 +001001010110 +000000100010 +000100010010 +000100100010 +000100100010 +000000010001 +000000010001 +000000010001 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +000100100011 +001000110011 +000100100010 +000000010001 +000100100010 +001101000100 +001101000110 +001101000101 +001000110100 +000100100010 +001000110011 +010101100110 +011101110111 +011001110111 +000000010001 +000000000000 +000100100010 +001101000100 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010001010110 +001101000100 +001000110100 +001000110011 +001000110011 +001101000100 +010001010110 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010001100110 +010001010110 +010001010110 +010101100110 +011001110111 +011101111000 +011110001000 +011110001000 +011001111000 +011001110111 +011001100111 +010101100111 +010101100110 +010001010110 +001101000101 +001101000101 +001100110100 +001000110100 +001100110100 +001100110100 +001101000100 +001101000101 +001000100010 +000100010000 +000100000000 +000100000000 +000000000000 +000100000000 +000100000000 +000100000000 +000000000000 +000100010001 +000100010001 +000100010000 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010000 +010100110001 +011000110000 +011000110001 +011001000001 +011000110001 +010000110000 +001100100000 +001000100001 +000100100011 +000100110011 +000000110011 +000100110011 +000100110100 +000100110100 +000100110100 +000000110101 +000101000101 +000101000101 +000101000110 +000100110101 +000101000101 +001001000110 +001001000110 +010001101000 +001001010111 +001001101000 +001001101000 +001001100111 +001001010111 +011110001001 +011110001000 +011101111000 +011110001000 +011001111000 +001001010110 +001000100001 +100101100010 +100101100001 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101100010 +100101100010 +100001010010 +011101000001 +011000110000 +010000110001 +001001000100 +000101000101 +000101000101 +000101000101 +001001000101 +000100110100 +000100110100 +000100110100 +001001000101 +000101010110 +000101000101 +000101000101 +000101010110 +001001000101 +000101000101 +001101111000 +010001100111 +011001110111 +100110000111 +100001100010 +011101000001 +011101000001 +100001100010 +100101100010 +100001100010 +100001100010 +100101110010 +100101100010 +100101110010 +100101100010 +100001100010 +100001100010 +100001100010 +100001100010 +100101100010 +100001100010 +100001010001 +011101010001 +011101010010 +011101010001 +100001100010 +100001100010 +011101010001 +011101010001 +010101000010 +001001010101 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +001001100111 +001001010111 +001001010111 +001001101000 +001001101000 +001001101000 +001001010110 +001001010110 +001001111000 +001101111001 +001101101000 +001001010101 +001101010101 +100010001001 +100010011001 +100010011001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101110110 +011001110110 +011001110111 +011001110111 +011001110111 +011001110110 +010101100110 +110010010100 +110010000010 +101101110010 +101001100001 +100101100010 +011101010010 +001100110001 +001000100000 +001000100001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +001000010001 +001000100001 +001000100001 +000100010001 +001100100001 +001100110010 +001100110010 +001100110010 +001100110010 +010101000010 +001100110010 +001101000011 +001101000100 +010001010101 +001101000100 +001101000011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110010 +001101000011 +001101000100 +010001010110 +010001010110 +001101000100 +001101000011 +001100110010 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110010 +001000110010 +001000110001 +001000100010 +000100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010000 +000000000000 +000100000000 +001000010001 +001000100001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +011001100110 +011110001000 +011001110111 +011001110111 +011101110111 +011110001000 +011101110111 +100010001000 +011101110111 +011101110110 +011101110111 +011101100110 +010101000100 +001000010001 +000000000000 +000000000000 +000000000000 +000100010000 +010100110001 +100001010001 +100001010001 +100001010001 +100101010010 +100101010010 +100101100010 +101001100010 +100101100010 +100101100010 +100101100010 +011101100010 +010101010100 +001001101000 +001001111001 +000101101000 +000101101000 +000101101000 +000101000110 +001001000110 +000000100011 +000100010010 +000100100010 +000000100010 +000000010001 +000000010001 +000000010001 +000100010010 +000000010010 +000000010010 +000000010001 +000000010010 +001000110011 +001101000100 +001000110100 +000100100011 +000100100010 +001000110100 +001101000101 +010001010110 +010001010110 +001101000101 +001101000100 +011001100110 +011101110111 +011001100111 +000000010001 +000000000001 +000100010010 +001101000100 +010101100110 +010101100111 +010101100110 +010101100110 +010001010110 +010001100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +001101000101 +001101000100 +001101000100 +001101000100 +001000110100 +001101000101 +010101100110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001100111 +010101100111 +010101100110 +010001010110 +010001010101 +010101100110 +011001110111 +011110001000 +011110001000 +011101111000 +011001110111 +010101100110 +010001010110 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000100 +001100110100 +001100110100 +001101000100 +001101000101 +001000100010 +000100010000 +000100010000 +000100010001 +000000000000 +000100010000 +000100010000 +000000000000 +000100000000 +001000100001 +000100010000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +001100100000 +010100110000 +011000110001 +011001000001 +011000110001 +010100110000 +010000100000 +010000100000 +001100100001 +000100100010 +000000100011 +000000100011 +000100110011 +000100110100 +000100110100 +000100110100 +000000110100 +000101000110 +000101000101 +000101000110 +000101000101 +000101000110 +001001010111 +000101000110 +001001010111 +001001100111 +001001101000 +001001111000 +001001101000 +001001010111 +011010001000 +100110011001 +011101111000 +011110001000 +011001111000 +001001010110 +000100100010 +100001010010 +100101010001 +100001010010 +100001010001 +100001010001 +100001010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010010 +100101010010 +100001010001 +011101000001 +011001000001 +010100110000 +001000110011 +000101000100 +001001000101 +000101000101 +001001000101 +000101000100 +000101000100 +000100110011 +001001000101 +000101010110 +000101000101 +000101000101 +001001010110 +001001000101 +000100110011 +001001010111 +010001111000 +010001100110 +100010001000 +100001010010 +011101000001 +011001000001 +011101010001 +100001010010 +100001010010 +100001100010 +100101110010 +100101110010 +100101110010 +100101100010 +100001100010 +100001100010 +100001100010 +100101110010 +100001100010 +100001100010 +011101010001 +011101010001 +100001100010 +100001100010 +100001010010 +100001100010 +011101100001 +011101100010 +011001010010 +001001010101 +001001010101 +001001010101 +001001010110 +001001010110 +001001010110 +001001010111 +001001010111 +001001100111 +001001101000 +001001100111 +001001100111 +000101010110 +001001100111 +001001101000 +001001111001 +001101101000 +001101111000 +001001010111 +011001111000 +100010011001 +100010011001 +100010011001 +011110001001 +100010001001 +100010001001 +011110001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001110110 +011001110111 +011001110111 +011001110111 +010101100110 +010101100101 +110010010011 +110010000001 +101101110010 +101001110010 +101001110010 +100001100010 +010101000001 +001000110001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010001 +001000100010 +001000010001 +001100100001 +010000110010 +001100110010 +001100110010 +001100110010 +010001000010 +001100110011 +001101000011 +010001000100 +010001010101 +010001000100 +001101000011 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001101000011 +001101000100 +001101000101 +001101000100 +001101000011 +001100110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110010 +001100110011 +001100100001 +001100100001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110011 +011101111000 +011001110111 +011001100110 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +001100110011 +000100000001 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +010000110001 +011101010001 +100001010001 +100101010010 +100101010010 +100101100010 +100101100010 +100101100001 +100101100001 +100101100010 +100101100010 +100001100010 +010101100100 +001001100111 +001001111001 +001001111001 +000101101000 +001001111001 +000101010111 +000101000101 +001001000101 +000000100010 +000100100010 +000100100010 +000100100010 +000000100001 +000100010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000100010 +001000110011 +001101000100 +001101000101 +001000110100 +000100100011 +000100100011 +001000110100 +010001010101 +010001010111 +010001010110 +010001010101 +011001100110 +011101110111 +011001100110 +000000010001 +000000000001 +000100010001 +001101000100 +010101010110 +010101100111 +010101100110 +010101100110 +010001010110 +010001100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001010110 +001101000101 +001101000101 +001101000101 +001000110100 +001101000100 +010101100110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +010101100110 +010001010110 +010101100110 +011001110111 +011110001000 +011101111000 +011001110111 +011001100111 +010101100110 +010001010101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001100110100 +001100110100 +001000110100 +001100110100 +001000100010 +000000000000 +000000000000 +000100010000 +000100010001 +000100010000 +000100000000 +000000000000 +001000100010 +001000010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +001100100000 +010100110000 +010100110001 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +000100100010 +000100100011 +000000100011 +000000100011 +000100110100 +000100110100 +000100110100 +000000110100 +000101000101 +000101000101 +000101010110 +000101010110 +000101000110 +000101010111 +000101000110 +000101010111 +000101100111 +000101010111 +001001101000 +001001101000 +001001010111 +010001100111 +101010101010 +011110001000 +011110001000 +011010001000 +001001010110 +000100100010 +010101000001 +100101010001 +100001010010 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101010001 +011101010001 +100001010001 +100101010001 +100101010001 +100001010001 +100101010001 +100101010001 +100001010001 +011101000001 +011101000001 +010100110001 +001100110010 +001001000101 +000100110100 +001001000101 +000101000101 +000101000101 +000101000101 +000100110011 +000100110100 +001001010110 +000101010101 +000101010101 +001001010110 +001001010110 +000100110011 +001001010110 +001001101000 +001101100111 +011101110111 +011001000010 +011001000001 +011101010010 +100001010001 +100001010001 +011101010001 +100001010010 +100001010001 +100001100010 +100101100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001010010 +011101010001 +100001010001 +100001100010 +100001010010 +100001010001 +100001100010 +100001100010 +011001010010 +001101010101 +001001000101 +000101000101 +001001010110 +001001010110 +000101010110 +001001010111 +001001010111 +001001100111 +001001100111 +001001010111 +001001100111 +001001010111 +001001101000 +001001101000 +001001101000 +001001100111 +001001101000 +001101111000 +010001010101 +100010001001 +011110011001 +100010011001 +100010001001 +100010001001 +100010001001 +011110001000 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001110110 +011001110111 +011001110111 +011001110111 +010101100110 +011001100100 +110110100011 +110010000001 +101101110010 +101001110010 +101001110010 +100101100001 +011101010010 +001100110001 +000100100001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +001000010010 +001000100001 +001100100001 +011001010010 +001100110001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +001101000011 +001101000100 +001101000100 +001100110011 +001000110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001101000011 +001101000011 +001100110011 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000100001 +000100100000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +011001100110 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011101110111 +011001100110 +010001000100 +001000100010 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100100000 +011101000001 +100001010001 +100001010001 +100101010010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +011001100011 +001101100101 +001101100110 +001001100111 +000101101000 +001001111001 +001001101000 +000000110101 +000100110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100100010 +000100100011 +000100110011 +000100100011 +000100110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100011 +001000110100 +001101010101 +001101000101 +010001000100 +011001010100 +011101100101 +010101010101 +000000010001 +000000000001 +000000010001 +001100110100 +010101010110 +010101100111 +010101100110 +010101100110 +010001100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010001100110 +010001010101 +010001010101 +001101000101 +001101000100 +001101000100 +010001010110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +010101100110 +010101100110 +011001110111 +011001110111 +011001100110 +010101100110 +010101100110 +010101100111 +010001010110 +001101000101 +001101000100 +001101000101 +001101000101 +001101000101 +001100110100 +001000110100 +001000110011 +001000100011 +001000100011 +001000110011 +001000110011 +000000000000 +000000000000 +000100010000 +001000100001 +001000010001 +000100010001 +001000100001 +001100100001 +010100110001 +010000110000 +001100100000 +001100100000 +001000010000 +001100100000 +001100100000 +010000100000 +010000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100100000 +010100110000 +011000110001 +011000110001 +010000100000 +001000100010 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000100110100 +000000110100 +000101000101 +000101000101 +000101010110 +000101010110 +000101000110 +000101010111 +000101010110 +000101010111 +001001100111 +000101010111 +001001101000 +000101010111 +001001101000 +001001000110 +100010001001 +100010001000 +011110001000 +011010001001 +000101010110 +000000100010 +001100100001 +100101010010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100101010001 +100001010001 +100001010001 +011101000001 +011001000001 +010000110010 +000100110100 +000101000100 +000101000101 +000101000101 +000101000101 +000100110101 +000100110100 +000100110100 +000101000101 +001001010110 +000101010110 +001001100111 +001001010111 +000100110100 +001001010101 +001001010110 +001101100110 +001101000101 +010101000010 +011101010001 +100001100010 +100101100010 +100001100010 +100001010010 +100001010010 +011101000001 +011101010001 +100001010010 +100001100010 +100001010001 +100001010010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001010010 +100001010001 +100001100010 +100001100010 +100001100010 +100001010010 +011101010010 +011001010010 +001101010100 +001001000101 +000101000101 +001001010110 +001001010111 +001001010110 +001001010111 +001001010110 +001001010110 +001001101000 +001001010111 +001001100111 +001001101000 +001001010111 +001001100111 +001001101000 +001001100111 +001001100111 +001101111001 +001001010110 +011001100110 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011101110111 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001100101 +011001110110 +011001110111 +011001110111 +011001110111 +100010011000 +101010101000 +110110100011 +110010000001 +101101110010 +101001110010 +101001110010 +101001100010 +100101100010 +010101000001 +001100100000 +001100100000 +001100110001 +001100110001 +001000010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100001 +001000100010 +000100010001 +000100010000 +001100100001 +001100100000 +000100010000 +001000010010 +001000100001 +100001110011 +010000110001 +001100110010 +001100110010 +001100110010 +001100110010 +010001000011 +010101010100 +010101010100 +001100110011 +001100110010 +001000110010 +001000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000100001 +001000100001 +000100010000 +000100010000 +000100000000 +000100000000 +001000100010 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010001000100 +010101100110 +010101010101 +010101010101 +010101010101 +010001000101 +001100110011 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +010000100000 +011001000001 +100001010001 +100001010001 +100101010001 +100101010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100001100010 +011101100010 +010001100011 +010001100100 +001001100111 +000101101000 +000101011000 +000101010111 +000101000110 +000000100011 +000100110011 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +000100100011 +001000110100 +001001000101 +001001000101 +001000110100 +000100100010 +000000010010 +000000010010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110011 +001000110011 +001100110011 +010101000011 +011001010100 +010101010100 +000000010001 +000000000000 +000000010001 +001000110011 +010001010110 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010101 +010101010110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000100 +001101000100 +010001010110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +010101100111 +011001110111 +011001110111 +010001010101 +010001000100 +010101100110 +011001110111 +010101100110 +001101010101 +001101000100 +001100110100 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000110011 +001000110011 +001100110011 +000100010001 +000000000000 +000000000000 +000100010000 +000100010000 +001000010000 +010000110001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110001 +010100110001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100100000 +010100100000 +010100110000 +011001000001 +011000110001 +010000100000 +001000100001 +000000100010 +000000100010 +000000100010 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000000110101 +000101010110 +000101000110 +000101000110 +000101010111 +000101010110 +000101000101 +000101010110 +000101010111 +001001011000 +001001101000 +001001101000 +001001000110 +010101101000 +100010011001 +011110001000 +010110001001 +000101000101 +000100100011 +001100100001 +100001100010 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +100001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100001010001 +100001010001 +100001010001 +100001010001 +011001000001 +010000110001 +000100110100 +000101000100 +000101000100 +000101000101 +000101000101 +000101000101 +000100110100 +000101000100 +000101000101 +000101000101 +001001010110 +001001010111 +000101010111 +000101000100 +000101000100 +001001100110 +001001100110 +001101010110 +010000110010 +011101010001 +100001010001 +100001100010 +100001100010 +100001010001 +011101010010 +011101000001 +011101000001 +011101010001 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +100001100010 +100001010010 +100001010010 +100001100010 +100001100010 +011101010010 +011101010001 +011001000010 +001101010100 +001001000101 +001001000110 +001001000110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010111 +001001101000 +001001100111 +001001100111 +001001101000 +001001010111 +001001100111 +001001100111 +001001100111 +001101111000 +001101111001 +001101111001 +001001000100 +011001110111 +011110001000 +011110001000 +011110001000 +011101111000 +011001110111 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001110110 +011001110111 +011001110111 +011001110111 +011001110111 +011001100101 +110010010010 +101110000010 +101001110010 +101001110010 +101001110010 +101001110010 +100101100010 +100001100010 +011101010001 +011101010001 +011101100010 +011001010010 +001100100001 +000100010001 +000100010001 +000100100010 +000100100001 +000100010001 +000100100010 +001000100010 +000100100001 +001100110011 +001100110010 +010000110001 +011001000001 +011101100010 +011001010010 +010000110001 +001100100001 +011101100011 +010101000001 +001000110001 +001000110010 +001100110010 +011001010100 +101010011000 +011101110111 +100010011000 +011101110110 +001101000011 +001000110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000110010 +001000110001 +001000100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000100000000 +000000000000 +000000000000 +000000000000 +001000100001 +001000100001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +000000000000 +000000000000 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +001000100010 +001000100010 +000100010001 +000000000000 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010000 +010000110000 +011001000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100001100010 +011101100010 +011001100010 +010101100011 +010001110111 +001001101000 +000101000110 +000101000110 +000101010111 +000101000110 +000100110100 +000100100010 +000100100010 +000100100010 +000000010001 +000000010001 +000100100010 +001000110011 +001101000101 +010001010111 +010001010111 +001101000101 +000100100011 +000000010001 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +001000110011 +001000110010 +001100110010 +010001000011 +010101010100 +010101010100 +000000010001 +000000000000 +000000000000 +001000110011 +010001010101 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000100 +001001000100 +010001010110 +010101100111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +011001100111 +011001110111 +011001100111 +001101000100 +001101000100 +010101010110 +010101100111 +010101100110 +010001010101 +001101000101 +001000110100 +000100100011 +000100100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110100 +001000100010 +000000000000 +000000000000 +000100010001 +001000100001 +000100000000 +001100100000 +011101010010 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110001 +011000110001 +011000110000 +010100110000 +001000100001 +000100100010 +000000100010 +000000100010 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000101000101 +000000110100 +000000110101 +000101010111 +000101000110 +000000110100 +000101000110 +000101000110 +000101010111 +001001010111 +000101010111 +000101000110 +001101100111 +100010011001 +011110001000 +010101111000 +001001000101 +001000100010 +001000100000 +011101010010 +100101100010 +100001100010 +100001010001 +011101010001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010000110001 +001001000100 +000100110101 +000100110100 +000101000101 +000101000101 +000101000101 +000101000100 +000101000101 +000101000101 +000101000101 +000101010101 +001001100111 +001001100111 +000101010101 +000100110011 +001001010110 +001001100110 +001101010110 +001100110010 +011101010001 +011101010001 +011101010001 +100001010010 +011101010001 +011101010010 +011001000001 +011001000001 +100001010001 +100101100010 +100001100010 +011101010001 +011101010001 +100001010010 +100001100010 +100001010001 +100001100010 +100001100010 +100001100010 +100001010010 +100001010010 +100001010010 +011101010010 +011101010001 +011001000010 +001101010101 +001001000110 +001001000110 +001001000110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010111 +001001100111 +001001100111 +001001100111 +001001101000 +001001100111 +001001100111 +001001101000 +001001101000 +001001111001 +001101111001 +001001010111 +001000110100 +010101010101 +011101111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +010101100101 +010101100101 +010101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100100 +011001100100 +011001100101 +011001100110 +011001110111 +011110001000 +010101111000 +001101100110 +001101010100 +110010010011 +110010000010 +101001110010 +101001110010 +101001110010 +101001110010 +100101100010 +101001100010 +100101100010 +100101100010 +100101100010 +100001010001 +010101000001 +000100010000 +000100010000 +000100010001 +000100100001 +000100010001 +001000100001 +010001000010 +010101010010 +010101000010 +011001010010 +100101100010 +100101100001 +100101100010 +100101110010 +100101110011 +010101000010 +010101000001 +100101110011 +010001000010 +001000110010 +001100110001 +101010011000 +011001100110 +001101010110 +001101010110 +010001100111 +011001111000 +001101000100 +001000110010 +001100110010 +001100110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100000 +001000010001 +000100000000 +000100010001 +010001000100 +001101000011 +001000100001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +010101000011 +011001000010 +011001000010 +001100100001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000010000 +010000110000 +011101000001 +011101000001 +100001010001 +100001010001 +011101010001 +100001010001 +100001010001 +100001100001 +100101100010 +100101110010 +100101110010 +100001100010 +011101010010 +011001100010 +001101100110 +000101010111 +000101000110 +000101010111 +000101011000 +000101010111 +000100110101 +000000010010 +000100100010 +000000010001 +000000010001 +000000010001 +000100100010 +000100110011 +001101000101 +010001100111 +010101100111 +010001010110 +001000110100 +000000010010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +001000110010 +001100110011 +010001000011 +010001000100 +000000000000 +000000000000 +000000000000 +001000100011 +010001010101 +010101100110 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100110 +010001010101 +010001010101 +001101000101 +001101000100 +001100110100 +010001010101 +010101100111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011101111000 +011101111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +010001000100 +001101000100 +010001010110 +010101100111 +010101100110 +010101100110 +010001010101 +001101000100 +001000110011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100011 +001000100011 +001100110011 +000100010001 +000000000000 +000100010000 +001000010000 +000000000000 +001000010000 +010100110001 +011101010001 +011101000001 +011001000001 +011001000001 +011001000001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110001 +011000110001 +011000110001 +011001000001 +011000110000 +010100100000 +001100100000 +001100110010 +000000010010 +000000010010 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000000110101 +000101000110 +000000110101 +000000110101 +000101000110 +000101000101 +000101000110 +001001000110 +000101000110 +000101010110 +001001010111 +100010101010 +011110001000 +010101101000 +001000110101 +000100100010 +000000010000 +011001000001 +100101100010 +100101100010 +100001010010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101010001 +100101010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010010 +100001010001 +100001010001 +011101000001 +010000110001 +001101000011 +001000110100 +000100110100 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +001001100111 +001001100111 +001001010110 +000100110011 +001001010110 +001101100111 +010001100110 +001000100010 +011001000001 +011101010001 +011101000001 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +011101010001 +100001100010 +100001010010 +011101010010 +011101010001 +100001100010 +100101100010 +100101100010 +100001100010 +100001010010 +100001010001 +100001010010 +011101010010 +011101010001 +011101010001 +011001000001 +010101000010 +001101000101 +001001000110 +001001000110 +001001000110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010110 +001001010111 +001001100111 +001001100111 +001001101000 +001001100111 +001001101000 +001001101000 +001001101000 +001001101000 +001101111001 +001001000100 +001000110011 +001100110011 +011001110110 +010001100110 +010001010101 +010101100110 +011001110110 +011001110110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +010101100101 +010101100101 +010101100101 +011001100110 +011110001000 +011010001000 +010001111000 +001001010101 +001000110011 +100110000011 +101110000010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101100010 +100101100010 +100101100010 +100001100010 +011101100010 +010001000001 +001000100001 +000100100001 +000100100001 +001000100001 +001100110001 +011001010001 +100001100010 +100001100010 +100101110010 +101001110010 +101001110010 +100101100010 +100101100001 +101001110010 +100101110010 +011101010010 +101110000011 +110010110101 +100001110100 +010101010011 +100010000111 +001101010101 +001001010110 +001001010110 +001001000101 +001101100111 +010101110111 +010001000100 +001100110010 +001100110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100100000 +001100110001 +001000100001 +001100110011 +001000100010 +000100010000 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +001000100001 +010101000010 +011001000001 +010000110001 +001000010000 +001000010000 +000100010000 +000000000000 +000000000000 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000100000 +010000110000 +011101000001 +100001010001 +100001010010 +100001010001 +011101010001 +100001010001 +100001010010 +100001100010 +100001100010 +100101100010 +100101100010 +100001100010 +011001010001 +010001000010 +001001000100 +000101000110 +000001000110 +000101010111 +000101010110 +000101010111 +000101000101 +000000010001 +000100010010 +000000010001 +000000010001 +000000010001 +000100100010 +001000110011 +001101000101 +010101100111 +010101100111 +010001010110 +001101000100 +000100100010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +001000110010 +001000110011 +001101000011 +001101000100 +000000000000 +000000000000 +000000000000 +001000100010 +010001000101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010101 +001101010101 +001101000101 +001101000100 +001101000100 +010001010101 +010101100111 +011001110111 +011001111000 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +010001000100 +001100110100 +010001010110 +010101100111 +010101100110 +010101100110 +010001010110 +001101000100 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001100110100 +010001000100 +000000000000 +000100000000 +000100010001 +000000000000 +001000010000 +001100010000 +011001000001 +011101000001 +011001000000 +011000110001 +011001000001 +011001000001 +011000110001 +011000110001 +011000110001 +011001000001 +011000110001 +011000110001 +011000110001 +011000110001 +011001000001 +011101000001 +011001000001 +011000110001 +010100100000 +001100100000 +010000110010 +000100010001 +000000010010 +000000100011 +000000110100 +000000100011 +000000110011 +000000100011 +000000100011 +000000110011 +000000110100 +000000110101 +000000100100 +000000100100 +000000110101 +000101000110 +000101000101 +000101000110 +000101000110 +000101000110 +000101010110 +001001010111 +011110011010 +100110011001 +010101101000 +001000110110 +000100100010 +000000100010 +010000110001 +100001100001 +100101100010 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +011101000001 +010000110000 +010000110011 +001000110100 +000100100100 +000100110100 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101010110 +000101010110 +001001010110 +000100110100 +001001010110 +010101110111 +010101010100 +000100100010 +010101000001 +011101010001 +011101010010 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +011001000001 +011101010001 +011001000001 +011101000001 +100001010010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010001 +100001010001 +100001010010 +011101010001 +011101010001 +011101010010 +011001000001 +010100110001 +001101000100 +001001000110 +001001000110 +001001000110 +001001010111 +001001010111 +001001010111 +001001010111 +001001010110 +001001010111 +001001100111 +001001101000 +001001101000 +001001101000 +001001101000 +001001101000 +001001111000 +001001101000 +001101111001 +001101100111 +000100100010 +001000110011 +010001000100 +010001100110 +010001010100 +010101100110 +011001110110 +011001110110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001110111 +011110011001 +010101111000 +001101100111 +001001000101 +001000110011 +001100110001 +100101110010 +101001110010 +100101100010 +101001110010 +101001110010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +011101100010 +010101000001 +001100110001 +001100110001 +010000110001 +010101000001 +100001100010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101110010010 +101110010010 +101010000001 +110010010010 +110110100100 +110010100111 +011001110101 +001001000101 +000101000110 +001001010110 +001001000101 +001001010110 +001001100111 +010001111000 +001100110010 +001100110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +001000100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +001100110010 +000100010000 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +010000110001 +010000110001 +001000010000 +001000010000 +001100100001 +001000100001 +000000000000 +000000000000 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000010000 +001000100000 +010000110001 +011101000001 +100001010001 +100001010010 +100001010001 +011101010001 +100001010001 +100001010010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100001 +011101100010 +001000110010 +000100110100 +000101000110 +000101010111 +000101010110 +000101000110 +000101000110 +001001010110 +000000100010 +000100010001 +000000010001 +000000010001 +000000010001 +000100100010 +001000110100 +001101010110 +010101100111 +010101100111 +010001010110 +001001000100 +000100100010 +000000010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +000000000000 +000000000000 +000000000000 +000100100010 +010001000101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010101 +001101000101 +001101000101 +001101000100 +001100110100 +010001010101 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +010001010101 +001100110100 +010001010110 +011001100111 +010101100110 +010101100110 +010001010110 +001100110100 +000100100010 +000100100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110100 +001101000100 +000100100010 +000100010001 +000100010001 +000000010000 +001000100000 +001100010000 +010000100000 +011101000001 +011101000001 +011001000001 +010100110000 +010100110000 +011000110000 +011000110000 +011101000001 +011001000001 +011000110000 +011000110001 +011000110001 +011000110001 +011000110001 +011100110001 +011000110001 +011000110001 +010100110000 +010000100000 +001100110010 +001000100011 +000000010010 +000000010011 +000000100100 +000000110011 +000000110011 +000000100011 +000000110011 +000000110011 +000000110100 +000100110101 +000000110100 +000000110100 +000001000101 +000101000110 +000101000101 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +010001111001 +100110101010 +010101111000 +001000110110 +000100100010 +000000100010 +001000100001 +011101010001 +100001010001 +100101100010 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101100010 +100101100001 +100101100010 +100101100010 +100101100001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010000110001 +001100110011 +001000110011 +000100100100 +000100100100 +000100110101 +000100110101 +000101000101 +000101000110 +000101000101 +000101000101 +000101000101 +000101010110 +000101010110 +001001010110 +000100110100 +000101000101 +010001100111 +001101000011 +000000100010 +001100110001 +011001000001 +011101010001 +011101010001 +100001010010 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010001 +100001010010 +100001100010 +100001010001 +100001010010 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +001101000100 +001001000110 +001001000110 +001001000111 +001001010111 +001001010111 +001001010111 +001001010111 +001001010110 +001001010111 +000101010111 +001001010111 +001001100111 +001001101000 +001001101000 +000101101001 +001001101000 +000101101000 +001101111001 +001110001010 +000101000100 +001000110011 +001000100010 +010001010101 +010101100110 +011001110111 +011001110111 +011001110110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011010001000 +011010001001 +010001110111 +001001100111 +001001000101 +001001000011 +000100100010 +100101100010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +101001110010 +100101110010 +100101100010 +100001100010 +011001000001 +011101010001 +100001100010 +100101110010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101110000010 +110010010010 +101010000010 +101001110010 +101110000010 +101001110011 +001101010100 +001001000110 +001001010110 +001001010110 +001001010111 +001001010111 +000101010110 +001101100111 +010001100110 +001000100001 +001000110010 +001000110010 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010000 +001000100001 +000100000000 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +001000100001 +000100010000 +001000100001 +001000010000 +001000010001 +000100010000 +000000000000 +000000000000 +000000000001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010000 +001100100000 +001100100000 +010100110001 +011101000001 +100001000001 +100001010001 +011101010001 +011101010001 +100001010010 +100001010010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +011001010011 +000100110100 +000101000110 +000101000110 +000101000110 +000101000110 +000101010110 +001001101000 +000100100011 +000100010001 +000000010001 +000000010001 +000000010001 +000100100010 +001000110100 +001101010110 +010101100111 +010101100111 +010001010110 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +000100110011 +001000110011 +001000110011 +001101000100 +000000010001 +000000000000 +000000000000 +000100100010 +001101000100 +010101010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010001010101 +001101000101 +001101000101 +001101000100 +001000110100 +010001010101 +010101100111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010001010101 +001101000100 +010001010101 +011001100111 +010101100111 +010101100110 +010001010110 +001101000100 +001000100010 +001000100011 +001000100011 +001000110011 +001000110100 +001000110100 +001100110100 +001101000100 +001101000101 +010001000101 +010001010110 +001000110100 +000100010001 +000000010001 +000000010000 +001000100000 +010000100000 +001100100000 +010100110001 +011101000001 +011101000001 +011001000001 +011000110001 +011001000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110000 +011000110001 +011000110000 +011000110001 +011000110001 +011000110001 +011000110000 +010100110000 +010100100000 +001100100001 +001000110011 +000000010010 +000000010011 +000000100011 +000000100011 +000000110011 +000000100011 +000000110011 +000000110011 +000000110100 +000100110101 +000000100100 +000000110100 +000001000101 +000101000101 +000100110101 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +001001101000 +100010101011 +011001111001 +000100110101 +000100100010 +000000100010 +000100010001 +011101000001 +100001010001 +100001100010 +100101100010 +100001010001 +100001010001 +100001010010 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101100010 +100101100010 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010000110001 +001101000011 +001000110011 +000100100101 +000100100100 +000100110101 +000100110101 +000100110101 +000101000110 +000101000101 +000101000101 +000101000101 +000101000110 +000101010110 +001001010110 +000101000101 +000101000101 +010001100110 +001000110011 +000100100011 +001000100001 +010101000001 +011001000001 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010001 +100001010010 +100001010010 +100001010010 +011101010001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000010 +010001000011 +001001000101 +001001000110 +001001000111 +001001000111 +001001010110 +001001010111 +001001010110 +001001010110 +000101010111 +000101010111 +000101010110 +001001010111 +001001100111 +001001101000 +001001111001 +001001101001 +001001101000 +001001111001 +001110001011 +001001010110 +000100110010 +001000100001 +001101000011 +011001111000 +011101111000 +011001110111 +011001100110 +011001100101 +011001100100 +011001100100 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +010101100110 +010110001001 +010001111000 +001001100111 +001001010110 +001001000100 +001001000011 +001100110001 +101001110010 +101110000010 +101001110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +101001110010 +100101110010 +100101110010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101010000010 +101010000010 +101001110010 +101001110010 +100101100010 +001001000101 +000101000101 +000101000101 +000101010110 +001001100111 +001001100111 +000101010110 +001001010111 +010001111001 +001101000011 +001000110001 +001000110010 +001100110010 +001000110001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100000 +001000100001 +010101000010 +001100100001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +001000100000 +001100100000 +010000110000 +011000110001 +011101000001 +011101000001 +011101010001 +011101010001 +011101010010 +100001010010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100001 +011101100010 +001101000100 +000101000110 +000101010110 +000101010111 +000101010111 +000101100111 +001001111001 +000100110100 +000000010001 +000000100001 +000000010001 +000000010010 +000100100010 +001000110011 +001101000101 +010001100111 +010101100111 +001101000101 +001000100011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110011 +000100110011 +001000110011 +001000110011 +001101000100 +000000010001 +000000010001 +000000010000 +000100100001 +001101000100 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100111 +010101100111 +010101110111 +011001110111 +010101100111 +010001010110 +001101000101 +001101000101 +001101000100 +001000110011 +001101000101 +010101100111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011010001000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +001101000100 +010001010101 +010101100111 +010101100111 +010101100110 +010001010110 +001101000100 +001000100011 +001000100011 +001000110011 +001100110100 +001101000101 +010001000101 +010001010101 +010101010110 +010101100110 +011001100110 +011001100110 +010001010101 +000000010001 +000000010001 +000000010001 +000100010000 +001100100000 +001100100000 +001100010000 +011000110001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110001 +011000110001 +011000110000 +011000110000 +010100110000 +010100100000 +001100100001 +001000100010 +000000010010 +000000010011 +000000100011 +000000100011 +000000100011 +000000100011 +000000110100 +000000110011 +000000110100 +000000110101 +000000100100 +000000110100 +000101000101 +000101000101 +000000110100 +000101000101 +000101000110 +000101000110 +000101000111 +000101000111 +000101011000 +011010001001 +011110001010 +000100110101 +000100100010 +000000100010 +000000010000 +011000110001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010010 +100101100010 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110001 +010001000011 +001000110011 +000100100100 +000100100100 +000100110101 +000100110100 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000101010110 +001001010110 +000101000101 +000101000101 +010001100110 +001000110011 +000100100010 +000100100010 +010000110001 +010101000001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010001 +011101000001 +011101000001 +011101010001 +011101010001 +100001010010 +100001010010 +011101010001 +011101010001 +011001000001 +011000110001 +100001010001 +100001010001 +011101000010 +010001000011 +001001000101 +001001000110 +001001000111 +001001000111 +001001010110 +001001010110 +001001010110 +001001010110 +001001010111 +000101010111 +000101010111 +001001100111 +001001100111 +001001101000 +001001111001 +001001101000 +001001111000 +001001101000 +001110001010 +001001100111 +001001000100 +001000100001 +001000110010 +010101100110 +011110001000 +011001110111 +011001100110 +011001100101 +011001010100 +011001010100 +011001010100 +011001100101 +011001100101 +011001010100 +011001100101 +011001100101 +011001100101 +010101111000 +010010001001 +001101110111 +001001010110 +001001010101 +001001000011 +001100110001 +010101000001 +101110000010 +101110000010 +101001110010 +101001110010 +101001110010 +101010000010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101100010 +100101100010 +101001100010 +101001100010 +101001100010 +101001110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +101001110010 +101001110010 +100101110010 +101001110010 +101001110010 +101001110010 +100101110001 +100101100010 +100101100010 +100001010010 +001101010100 +000101010110 +000101000101 +000101010110 +001001100111 +000101010111 +001001100111 +001001100111 +001101101000 +010001100111 +001000100010 +001100110010 +001000110010 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100000 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100100001 +000100010000 +010101100100 +101010000110 +011001010100 +001000100001 +001000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010001 +000100100001 +001000100001 +001000100000 +001100100000 +010000110001 +010100110001 +011101000001 +011101000001 +011101000001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010010 +100101100010 +100101010001 +100101010001 +100101100010 +100101100010 +100101100010 +011001010010 +001101010101 +000101010111 +000101010111 +000101010111 +000001000110 +000101101000 +001001111001 +001001010110 +000000100010 +000000010001 +000000010010 +000000010010 +000000010010 +000100010010 +001000110011 +001101000100 +001101000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +000100110011 +001000110011 +001000110011 +001101000100 +000000000000 +000000000000 +000000000000 +000100010001 +001101000100 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010110 +010101100110 +010101100111 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +010101100111 +010001010110 +001101000101 +001101000101 +001101000100 +001000110100 +001101000101 +010101100110 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011010001000 +011010001000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +001101000100 +010001010101 +010101100111 +010101100111 +010101100110 +010001010110 +010001000101 +001100110100 +001100110100 +001101000101 +010001010101 +010101010110 +010101100110 +011001100110 +011001100111 +011001110111 +011001110111 +011001100110 +011001100110 +001100110011 +000000010000 +000000010001 +000000010000 +000100010000 +001000100000 +001100100000 +010000100000 +011101000001 +011101000001 +011101000001 +011000110000 +011000110001 +011001000001 +011000110001 +011000110000 +011000110000 +011000110000 +011000110000 +011000110001 +011000110001 +011000110000 +011000110000 +010100110000 +010100110000 +010000100000 +001100110001 +000100010001 +000000010010 +000000010011 +000000100011 +000000110100 +000000110100 +000000110011 +000000110100 +000000110100 +000000110100 +000000100100 +000000110100 +000101000110 +000000110101 +000000110101 +000101000110 +000001000110 +000101000110 +000101000110 +000101000111 +000101011000 +001101101000 +011010001010 +001001000110 +000100100010 +000000100010 +000000010001 +001100100001 +011101000001 +011101000001 +011101010001 +100001010001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010010 +100101100010 +100101100010 +100101100001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110000 +001101000010 +001000110010 +000100100100 +000100100100 +000100100100 +000100110100 +000101000101 +000101000110 +000101000101 +000101000101 +000101000101 +000101000101 +000101010110 +000101000110 +000101000101 +000100110100 +001101010101 +000100110011 +000100100010 +000100100001 +001000100001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +011101000001 +011101000001 +011101010001 +100001010010 +100001010010 +011101010001 +011101010001 +011001000001 +011000110001 +100001010010 +100001100010 +011101010010 +010101000010 +001000110100 +001001000101 +001001000110 +001001000111 +001001000110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010110 +000101010111 +001001100111 +001001100111 +001001100111 +000101101000 +001001101000 +001001111000 +001001101000 +001110001010 +001110001001 +001001010110 +000100100001 +001000100001 +010001000100 +011110001000 +011001110111 +011001100110 +011001100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010100 +010101010101 +010110001001 +010010001010 +001101100111 +001001010110 +001001010100 +001100110010 +010000110001 +011101010001 +101110000010 +101001110010 +101001110010 +101001110010 +101001110010 +101010000010 +100101110010 +100101110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001100010 +101001100010 +100101100001 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +101001110010 +100101100010 +100101110010 +101001110010 +101001110010 +100101110010 +100101100010 +100001010001 +011101000001 +001101000011 +000101000110 +000101000110 +000101000110 +000101010110 +000101010110 +001001101000 +001001100111 +001001101000 +010010001001 +001100110011 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100100000 +000100100000 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000100001 +100110010111 +100110000111 +010001000100 +011001000010 +010101000001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010001 +000100100001 +001000100001 +001000100000 +001100100000 +010000110001 +010100110001 +011000110001 +011101000001 +011101000001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100001010001 +100001010001 +100101100010 +100101100010 +100101110010 +100001110010 +010101100100 +001001010110 +000101010111 +000101010111 +000001000111 +000101010111 +000101011000 +001001100111 +000100100011 +000000010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010001 +000100100010 +000100100010 +000100100001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100110010 +000100110010 +001000110011 +001001000011 +001101000100 +010000110011 +001000100010 +000100010001 +000100010001 +001100110011 +010001010101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010110 +010101010110 +010101100110 +010101100110 +010101100111 +010101110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001110111 +010001100110 +010001010101 +001101000101 +001101000100 +001101000100 +010001010101 +010101100110 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011010001000 +011010001000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +001101000100 +010001000101 +010101100111 +010101100111 +010101100110 +010101010110 +010001010110 +010001010101 +010001010101 +010101100110 +010101100111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +010101100110 +010101010101 +000100100001 +000000010000 +000000010000 +000000000000 +000100010000 +001100100001 +010000100000 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110001 +011000110000 +010100110000 +011000110001 +011000110000 +010100110000 +010100110000 +011000110000 +011000110001 +011000110001 +011000110000 +011000110000 +010100110001 +001100100001 +000100010001 +000000010010 +000000010011 +000000010011 +000000110100 +000000110100 +000000110011 +000000110100 +000000110100 +000000110100 +000000100100 +000000110100 +000000110101 +000000110101 +000000110101 +000100110101 +000101000110 +000101000110 +000101000110 +000101000110 +000101010111 +001001101000 +001101101000 +001001000110 +000100110011 +000100110011 +000000010001 +000100010000 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101010001 +100001010001 +100101010001 +100101100010 +100101100010 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110000 +001100110010 +001000110010 +000100110100 +000100100100 +000100100100 +000100110101 +000101000101 +000101000110 +000101000101 +000101000101 +000101000101 +000101000101 +000101010110 +000101000101 +000101000100 +000100110100 +001001000101 +000100110011 +000100100010 +000100100001 +000100010001 +010101000001 +011101010001 +011101010001 +011101010001 +011001000001 +011101010001 +011001000001 +010100110001 +011001000001 +011101000001 +100001010001 +100001010010 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011001000001 +100001100010 +100001110010 +100001100010 +010101000010 +001001000100 +000100110101 +001001000110 +001001000111 +000101000110 +000101010110 +001001101000 +001001010111 +001001010111 +000101010110 +000101010111 +001001101000 +001001100111 +001001100111 +001001101000 +000101101000 +001001101000 +001001101000 +001110001010 +001110001010 +001101111000 +000100100010 +001000100001 +001100110010 +010101100110 +011001110111 +011001100110 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +010101100101 +010110001001 +001101111000 +001001010111 +001001010110 +001001000011 +010001000001 +010000110000 +011101010010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001100001 +101001110010 +101001110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +100101110010 +100101100010 +100001010010 +011000110001 +010000110001 +001001000101 +000101000110 +001001010110 +001001010111 +000101000110 +001001010111 +001001101000 +000101101000 +010010001010 +001101010101 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010101010100 +100110011000 +010101010101 +001000110011 +100001100011 +011101000001 +001000100000 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010001 +001000010001 +001000100001 +001100100001 +010000110001 +010101000001 +010100110000 +010100110001 +011000110000 +011101000001 +011101000001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +100001010001 +100101010010 +100101010010 +100101100010 +100101110010 +100001110011 +010101100100 +001101010110 +001001011000 +000101101000 +000101101000 +000101011000 +001101101000 +001001000101 +000000010010 +000100010010 +000000010010 +000000010010 +000000010001 +000000010000 +000000010001 +000000010001 +000000010001 +000100010001 +000100100010 +000100100010 +000100110011 +000100100011 +000100100010 +000100100010 +000100100010 +000100110010 +000100110011 +001000110011 +001001000011 +001101000100 +100110000111 +100101110111 +100001110110 +011101100101 +011001100101 +011001100110 +011001100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010101100110 +010101100110 +010101100111 +010101100111 +011001110111 +011001110111 +011001110111 +011001111000 +011001110111 +010101100110 +010001010101 +010001010101 +001101000100 +001101000100 +010001010101 +010101100111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +011101111000 +011101111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +001100110011 +001101000100 +010101010110 +010101100110 +010001010110 +010101010110 +010101100110 +010101100111 +011001100111 +011001110111 +011001111000 +011101111000 +011101110111 +011101110111 +011001100110 +010101100101 +010101010101 +010001010100 +010001010101 +010101010110 +010001010101 +000100010001 +000100010000 +000000010000 +000100010000 +001000010000 +001000010000 +011001000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110000 +011000110000 +011000110001 +011001000001 +011000110001 +011000110000 +011000110000 +011000110001 +011000110000 +011000110001 +011000110000 +010100110001 +010100110000 +001000100001 +000000010010 +000000010011 +000000010011 +000000100100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110100 +000000100100 +000000100100 +000000110100 +000000110100 +000000110101 +000100110101 +000000110110 +000101000110 +000101000110 +000101000110 +000101010111 +001001101000 +000101000110 +000100110110 +000100110011 +000100110011 +000000100001 +000100010000 +010100110001 +011101000001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101100001 +100001010001 +100101010001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +010100110000 +001100110010 +000100110011 +001000110100 +000100100100 +000100100100 +000100110101 +000101000110 +000101000110 +000101000110 +000101000101 +000101000101 +000101000101 +000101010110 +000101000101 +000101000100 +000100110100 +000101000100 +000100110100 +000100100010 +000100100010 +000100010001 +010000110001 +011101010001 +011101010001 +011101010010 +011101010001 +011101010001 +011001000001 +010100110000 +011001000001 +011101010001 +011101000001 +011101010001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010010 +011001000001 +011101010001 +100101110010 +100001110010 +100001100010 +011001010010 +001101000100 +000100110101 +000101000110 +001001000111 +000100110101 +000101010110 +001001101000 +001001010111 +000101010111 +000101010110 +000101010111 +001001100111 +001001100111 +001001101000 +001001101000 +001001101000 +001001100111 +001001101000 +001001111010 +001110001010 +001101111001 +000100100011 +001000100001 +011001010010 +010101100101 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +010101100110 +011010011010 +001101101000 +001001100111 +001001000101 +001001000010 +010001000001 +010000110000 +011101010001 +101001110010 +101001110010 +100101110010 +100101100010 +101001110010 +100101110010 +101001110010 +100101110010 +101001110010 +101001110010 +101001110010 +101001100010 +101001100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101110010 +100101100010 +100001100010 +100001100010 +100101110010 +101001110010 +100101110010 +100101100010 +100101010010 +100001010001 +011001000001 +010000100000 +001101000011 +000101000101 +000101000101 +000101010110 +001001010110 +001001010111 +001001100111 +001001100111 +001001111001 +001101111000 +000100100001 +000100010001 +000100010001 +000100100001 +001000100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100010000 +000100100000 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +100110000111 +011001100110 +001101000100 +001000110011 +100101110010 +101101110010 +011001010001 +010000110001 +001000010001 +000100000000 +000000000000 +000100010000 +000100100000 +001000100001 +001100100001 +001000100001 +001100110001 +001100110001 +010100110001 +011001000001 +011001000001 +011000110001 +011000110001 +011101000001 +011101000001 +100001010001 +100001010010 +100001010010 +100001000001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010010 +100001010001 +100001010010 +100001010001 +100101110010 +100101110010 +100101110011 +010101100101 +001001010111 +001001111001 +000101101000 +000101101000 +001001101000 +010110001001 +001001000101 +000100010001 +000000010001 +000000010010 +000000010010 +000000010001 +000000010001 +000000010001 +000000010001 +000100010010 +000100100010 +000100100011 +000100110011 +001000110011 +000100100010 +000100100010 +000100100010 +000100110011 +000100110011 +001000110011 +001001000011 +001101000100 +101010001000 +101010011000 +100110000111 +101010011000 +101010011000 +101010011000 +101010011000 +101010011000 +100110000111 +011101110111 +011001100110 +010101100110 +010101010101 +010001010101 +010001010101 +010001100110 +010101100110 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001110111 +010101100110 +010001010101 +010001010101 +001101000100 +001101000100 +010001010101 +010101100111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011101111000 +011101111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001100111 +010101010110 +001100110011 +001100110011 +010001010101 +010101010110 +010001010101 +010001010110 +010101100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +010101100110 +010101010101 +010001010100 +001101000100 +001100110100 +001100110100 +001101000100 +001101000101 +010101100110 +010101010101 +001000010001 +000100010000 +000100010000 +000000000000 +000000000000 +010000100001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +011101000001 +011101000001 +011000110000 +010100110000 +011000110000 +011000110001 +011000110000 +011000110001 +011000110001 +011000110001 +011000110000 +011000110000 +011001000001 +010000110000 +000100010001 +000000010010 +000000010011 +000000100011 +000000100100 +000000100100 +000000110100 +000000110100 +000000110100 +000000100100 +000000100100 +000000100100 +000000110100 +000000110100 +000100110101 +000000110110 +000101000110 +000101000110 +000101000110 +000101010111 +001001101000 +001001010111 +000100110110 +000100110011 +000100110011 +000000010001 +001000010000 +001100100000 +011001000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +011101000001 +100001010001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +011101000001 +010100110000 +010000110001 +001000110011 +000101000101 +000100110101 +000100100100 +000100110101 +000100110110 +000101000110 +000101000110 +000101000101 +000101000101 +000101000101 +000101010110 +000101000101 +000101000100 +000101000100 +000101000100 +001001000100 +000100100010 +000100100010 +000100100001 +001100110001 +011101010001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010001 +010101000001 +011001000001 +011101010001 +011001000000 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101010001 +100001010001 +100101110010 +100101110010 +100101110010 +100001100010 +011101010010 +001101000011 +000100110101 +001001000110 +001001000111 +000100110110 +000101010110 +000101100111 +000101010111 +000101010111 +000101010110 +000101010111 +001001101000 +000101101000 +000101100111 +000101100111 +001001100111 +001001101000 +001001111000 +001001111010 +001001111010 +001001111001 +000100110100 +001000100001 +011001010010 +011001010011 +011001100110 +010101100110 +010101100101 +010101100101 +010101100101 +010101100101 +011001100101 +011001100101 +011001100101 +011001010100 +010101010101 +010101110111 +010110001001 +001101100111 +001001010101 +001001000011 +010001000010 +010101000001 +001100100000 +100001010010 +101001110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +101001100010 +101001100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101110010 +100101100010 +100101110010 +100101100010 +100101100010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010010 +100001010001 +011101000001 +010000100000 +010000110010 +001001010101 +000101000110 +001001010110 +001001010111 +001001100111 +001001101000 +000101101000 +000101101000 +010010001001 +000100100010 +000100010000 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100010000 +000100010000 +000100100000 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010001000011 +100110011000 +010001010110 +001001000100 +000100100010 +101010000010 +110110100010 +100101100010 +100101010010 +011101000010 +001100010000 +000100010000 +001000100000 +001100110001 +001100110001 +010000110001 +001100100000 +001100100001 +001100110001 +010100110000 +011000110001 +011001000001 +011000110001 +011001000001 +011101000001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001100010 +100101110010 +100101110010 +100101110010 +011101110011 +001101100110 +000101101000 +000101101000 +001001010111 +001001010111 +010001101001 +010110001001 +010001010110 +000100010010 +000000010001 +000000010001 +001100110011 +010101010101 +010001010101 +001100110011 +000100100010 +000100100010 +000100100011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100110011 +000100110011 +001000110011 +001001000011 +001101000100 +100110000111 +100110000111 +100110000111 +100110000111 +101010000111 +101010000111 +101010011000 +101110011000 +101110011000 +101110011000 +101110011000 +101010011000 +100110000111 +011101110111 +011001100110 +011001100110 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001110111 +010101100110 +010001010101 +010001010101 +010001000100 +001101000100 +001101000101 +010101100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101100110 +001101000100 +001100110011 +010001000100 +010001010101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101010110 +010001010101 +010001010101 +001101000100 +001100110011 +001000110011 +001000110011 +001000110100 +001000110100 +001000110011 +001101000100 +010001010110 +011001110111 +011001100110 +010001000100 +001100100010 +001000010001 +000100100010 +001100100000 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110001 +011000110001 +011001000001 +011101010001 +011101010001 +010101000001 +001100100001 +000000010010 +000000010010 +000000010011 +000000100100 +000000100100 +000000110100 +000000110100 +000000100100 +000000100011 +000000100011 +000000100100 +000000110100 +000000110100 +000000110101 +000000110101 +000100110110 +000101000101 +000101000110 +000101010110 +001001011000 +001001010111 +000100110110 +000000100011 +000000100010 +000100100010 +000100010000 +001000010000 +010100110001 +011101000001 +011101000001 +011101000001 +011000110001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +011101000001 +011000110000 +010000110001 +001000110011 +000100110100 +000100110100 +000100100100 +000100110101 +000100110101 +000101000110 +000101000110 +000101000110 +000101000101 +000101000110 +000101000110 +000101000101 +000101000100 +000101000100 +000101000100 +000101000101 +000100100011 +000100100010 +000100100010 +001100100001 +011001000001 +011001000001 +011001000001 +011001000001 +100001010001 +011101010001 +011001000001 +011001000001 +011101010001 +011001000001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +100001010001 +100101100010 +011101010001 +100101110010 +100001100001 +011101010001 +011101010001 +010001000011 +000100110100 +001001000101 +001001000111 +001001000110 +001001010111 +001001010111 +000101010111 +000101010111 +000101010110 +000101010111 +000101101000 +000101101000 +000101100111 +001001100111 +001001100111 +001001101000 +001001111001 +001001111001 +001001111001 +001001111010 +001001010110 +000100100001 +010001000010 +011001010010 +010101010101 +011001100110 +010101010101 +010101010101 +010101010100 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101010101 +010110001001 +001101111001 +001001010110 +000101000100 +001001000010 +010101000001 +010100110001 +001100100000 +100001100010 +101001100010 +101001110010 +101001110010 +101001100010 +100101100010 +100101100010 +100101110010 +101001110010 +100101110010 +100101110010 +101001110010 +100101100010 +100101100010 +101001110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100001010010 +100001010010 +100001010010 +100001010001 +011101010001 +010100100000 +001100100000 +001101000100 +000101010110 +001001010110 +000101101000 +001001101000 +001001101000 +000101101000 +000101101000 +001110001010 +001000110100 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100010000 +000100010000 +011101110110 +011001110111 +001101010110 +000100100011 +010000110001 +110010100011 +110010010010 +101001110001 +100101100010 +100101010001 +100001010001 +011001000001 +011001000001 +010100110001 +001100110000 +001100100001 +001100100000 +001100100000 +010000110001 +010100110001 +010100110001 +011000110001 +011001000001 +011000110001 +011101000001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010010 +100001010001 +100001010001 +100001010010 +100001010010 +100001100010 +100101100010 +100101110010 +100101110010 +100101110010 +100001110010 +010101110100 +001001010110 +000101010111 +000101010110 +001001000110 +001001010110 +001101010111 +010101111001 +010001100111 +000100100010 +010101100101 +100110011001 +011001111000 +011001111000 +011110001001 +010101100110 +000100100011 +000100100010 +000100110011 +001000110011 +001000110011 +000100100010 +000100110011 +000100110011 +000100110011 +001000110011 +001001000011 +001101000100 +101010000111 +100110000111 +101010000111 +100110000111 +100110000111 +100110000111 +100110000111 +101010000111 +101010000111 +101010010111 +101110011000 +101110011000 +101010011000 +101010011000 +101110011001 +101110011001 +100110001000 +100110001000 +100010001000 +011110001000 +011101111000 +011001110111 +011001110111 +011001110111 +010101100110 +010001010101 +010001010101 +010001010101 +001101000100 +001101000100 +010101010110 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +010101100110 +010001000100 +001100110011 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +010001000101 +001101000100 +001100110100 +001000110011 +001000100011 +000100100010 +001000100011 +001000100011 +001000110011 +001000110011 +001000110011 +001000100010 +001100110011 +010001010101 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +011001110111 +010101010100 +011101000010 +011101000001 +011101000000 +011101000001 +011101000001 +011000110000 +011000110001 +010100110000 +010100110000 +010100110000 +010100100000 +010100100000 +010100110000 +011000110001 +011000110001 +011000110000 +011101010010 +011101010001 +011001000001 +010000110000 +001000100001 +000000010010 +000000010011 +000000010011 +000000100100 +000000100100 +000000110100 +000000100100 +000000100011 +000000100011 +000000100011 +000000100100 +000000110100 +000000110100 +000000110101 +000100110101 +000101000101 +000101000110 +000101000110 +001001010111 +001001010111 +001001000111 +000000100100 +000000100010 +000100100010 +000100010001 +001000100000 +010000100000 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +011101000001 +100001000001 +011101000001 +100001000001 +100001010001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +010100110001 +001000110010 +000100110011 +000100110011 +000100100100 +000100100100 +000100110101 +000101000110 +000101000110 +000101000110 +000101000101 +000101000101 +000100110100 +000100110100 +000101000101 +000101000101 +000101000100 +000101000101 +000100100011 +000100100010 +000100100010 +001000100000 +010100110001 +011101010001 +100001010010 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +011101000001 +011001000001 +011001000001 +011001000001 +011000110001 +011101000001 +011101000001 +100001010001 +100101100010 +011101010001 +100101100010 +100101100010 +100001100001 +100001100010 +011101010001 +010001000010 +001001000101 +001000110101 +001001000110 +001001000111 +000101010111 +000101010111 +000101010111 +000101010111 +000101010111 +000101010111 +000101101000 +000101101000 +000101100111 +001001100111 +001001100111 +001001111001 +001001111001 +001001111001 +001001111001 +001001111001 +001001101000 +000100100001 +001100110001 +010101010010 +010101010100 +011001100101 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000100 +010101000011 +010101100110 +011010101011 +001001111000 +001001010101 +000101010100 +001101010011 +010101000001 +010000100000 +001100100000 +100001100010 +100101100010 +100101100010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101110010 +101001110010 +101001110010 +101001110010 +101001110010 +100101110010 +100101100010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100001 +100101010001 +100101100001 +100101100010 +100001010010 +100001010010 +100001010010 +100101100010 +100001100010 +100001010001 +100001010001 +010100110001 +001100010000 +001101000011 +000101010101 +000101010110 +000101010111 +000101101000 +001001101000 +000101101000 +000101101000 +001110001010 +001001000110 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100100000 +000100100000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +000100100000 +000100010000 +001000100001 +100010000111 +001101010110 +000100110100 +000100100010 +010000110001 +100001010001 +101110000010 +101110000001 +100101100010 +100101100001 +100101100010 +100001010010 +100001010001 +011001000001 +010100110001 +010000110001 +010000110001 +010000110001 +010100110000 +010100110001 +010100110001 +010100110000 +011001000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010001 +100001010001 +100101010001 +100101100010 +100101100010 +100001010001 +011101000001 +100001010010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100001110011 +010101010100 +000101000101 +000100110101 +000100110101 +001001000110 +001000110101 +001001000101 +010101111000 +011010001001 +010001100111 +001000110100 +000000100100 +000100110101 +001001010111 +010001101000 +010101100111 +001000110011 +000100110011 +001000110011 +001000110011 +000100110011 +000100110011 +000100110011 +001000110011 +001000110011 +001101000011 +001101000100 +101010000111 +100110000111 +101010000111 +101010000111 +101010000111 +100110000110 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010000111 +101010011000 +101110011000 +101110101001 +101110011000 +101110011000 +101110101000 +101010011000 +101010011000 +100110011000 +100110001000 +100010000111 +011001110110 +010101010101 +010001010101 +001101000101 +001101000100 +001000110100 +010001010110 +010101110111 +011001111000 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +011001110111 +011001100111 +011001100111 +011001100111 +010101100110 +010001010101 +001101000011 +001101000011 +001101000100 +001100110100 +001100110100 +001100110100 +001000110100 +001000110011 +001000110011 +001000100011 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +001000100010 +001100110011 +010101010110 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011101110111 +011101100011 +011101000001 +011101000000 +011101000001 +011101000001 +011000110001 +011000110000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100110000 +011000110000 +011000110001 +011000110000 +011101000001 +011101000001 +011001000001 +010100110000 +010000100001 +000100010001 +000000010010 +000000010011 +000000100011 +000000100011 +000000100100 +000000100100 +000000010010 +000000100010 +000000100011 +000000100100 +000000110100 +000000110100 +000100110101 +000000110101 +000101000101 +000101000101 +000101000110 +001001010111 +001001010111 +001001000111 +000000100100 +000000100010 +000000100010 +000100100001 +001100100000 +001100100000 +010100110001 +011101000001 +011101000001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +011000110001 +010000110001 +000100100010 +000100110100 +000100110100 +000100100100 +000100110101 +000101000110 +000101000110 +000101000110 +000101000101 +000101000101 +000100110100 +000100110100 +000101000101 +000101000101 +000101000100 +000101000100 +000100100010 +000100100010 +000100100010 +001000100000 +010000100000 +011001010001 +011101010001 +100001010010 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +010101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101010001 +100001010001 +100001010001 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +011101000001 +010000110001 +001001000100 +000100110100 +001001000110 +001001000111 +000101010110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010111 +000101010111 +000101010110 +000101010111 +001001100111 +001001100111 +001001101000 +001001101000 +001001111001 +001001111001 +001001111000 +001001111000 +000100110010 +001100100001 +010101000001 +010101000011 +010101010101 +010101010100 +010101010100 +010101010100 +010101000011 +010101000100 +010101000100 +010101000011 +010001000011 +010101110111 +010110011011 +001001100111 +000101010101 +001001000100 +010001010010 +010101000001 +010000100000 +001100100000 +100101100010 +100101100010 +100101110010 +101001110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +101001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100001100010 +100101100010 +100001010001 +100101010001 +100101100010 +100101100010 +100001100010 +100001010010 +100001010010 +100101100010 +100001100010 +100001010001 +011101000001 +011000110001 +001100010000 +001000110010 +001001010101 +000101000110 +000101010111 +000101010111 +000101101000 +000101101000 +001001111000 +001001111001 +001001010110 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +010001010100 +011110001000 +001001000101 +000000110011 +000000100001 +000100010000 +001100010000 +101001100001 +110010000010 +101001100001 +100101100010 +100001010001 +100001010001 +100001010001 +011101000001 +011000110001 +010100110001 +010100110001 +010100110000 +011001000001 +011000110001 +010100110001 +010100110000 +011001000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010010 +100101010010 +100101010010 +100101100010 +100101100010 +100001100010 +100001010001 +100001010001 +100001010001 +100101100001 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100001110010 +011001010011 +001000110100 +000100110100 +000100100100 +000100110100 +000100110100 +000100110011 +010001010110 +001001010110 +000000110101 +000100110101 +000000110101 +000000110101 +000100110110 +000101000111 +010001111001 +011110001001 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000011 +001101000100 +011101100101 +100001110110 +100110000111 +101010011000 +101010000111 +101010000111 +101010010111 +101010000111 +100110000111 +100110000111 +100110000111 +100110000111 +100101110110 +100110000111 +100110000110 +101010000111 +101010011000 +101010010111 +101010011000 +101010011000 +101010000111 +101010011000 +101110011000 +101110011000 +101010011000 +101010011000 +100110000111 +100001110110 +011001100101 +010001010100 +010101010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001100111 +011001100111 +010101100110 +010101010101 +010001000100 +001101000100 +001101000100 +001000110011 +001000100011 +001000110100 +001100110100 +001101000100 +001100110100 +001000110011 +000100100010 +000100010010 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010101010110 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +100001100100 +100001000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110001 +010100110000 +010100100000 +010100100000 +010100110000 +010100110000 +010100110000 +010100100000 +011000110000 +011000110000 +011000110001 +011001000001 +011000110001 +010100110000 +010100110000 +001100100001 +000000010001 +000000010010 +000000010010 +000000100011 +000000100011 +000000100011 +000000010010 +000000010010 +000000100010 +000000100011 +000000110011 +000000100011 +000100110100 +000100110101 +000100110100 +000100110101 +000101000101 +001001000110 +001001000111 +001001000111 +000100100100 +000000100010 +000000100010 +000100100001 +001100100000 +001100100000 +010000110000 +011001000001 +011101000001 +011101000001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +011101000001 +011100110001 +010100110000 +001100100001 +000100110011 +000100100100 +000100100100 +000100110101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000101 +000100110100 +000100110101 +000101010101 +000101000101 +000101000100 +000101000100 +000100100010 +000100100010 +000100100010 +001000100001 +001000010000 +011001000001 +011101010001 +100001010001 +011101010001 +011101010010 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +100001010010 +011101010001 +100001010001 +100001010001 +100001010001 +100001100010 +100101100010 +100101110010 +100101100010 +101001100010 +101001110010 +011101010001 +010000110000 +001001000011 +000100110100 +001001000110 +000101000110 +000101000110 +000101010111 +000101010111 +000101010111 +000101010111 +000101010111 +000101010110 +000101000101 +001001100111 +001001100111 +000101010111 +000101010111 +001001101000 +001001111001 +001001101001 +001001111000 +001001111001 +001001010101 +001000100001 +010000110001 +010101000011 +010101010100 +010101010100 +010101000011 +010101000011 +010001000011 +010001000011 +010101000011 +010101000011 +010001000011 +011010001001 +001110001010 +000101010110 +000101000100 +001001000011 +010001000010 +010101000001 +001100100000 +010000100000 +100001100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101010010 +100101100010 +100101100010 +100101100010 +100001100010 +100001100010 +100001100010 +100001010010 +100101100010 +100101100010 +100001010010 +100001010001 +100001010010 +100001100010 +100001100010 +100001010001 +011101010001 +011000110001 +010000100000 +001000100001 +001001010101 +000101010110 +000101010110 +000101010111 +000101101000 +000101101000 +001001111000 +001001111001 +001001100111 +000100010001 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +011101110110 +010101110111 +000100110100 +000000100010 +000000010001 +001000010000 +010000100000 +100101100001 +110110010010 +101001100001 +100101010010 +100001000001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110001 +011000110001 +011000110001 +011000110001 +011001000001 +011000110001 +011000110001 +011001000001 +011101000001 +011101010001 +011101010001 +100001010001 +100001010010 +100101100010 +100101100010 +100001100010 +100001010010 +100001100010 +100001010010 +100001010010 +100101010010 +100101100010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100001100010 +011001010010 +001000110010 +000100110100 +000000100011 +000000100011 +000000010010 +000100100010 +000100110100 +000000110101 +000101000110 +000100110110 +000000110101 +000000100100 +000000100100 +000001000110 +000101000111 +010101111001 +011010001001 +001101000100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000011 +001101000100 +001000100001 +001100110010 +010101000011 +011001010101 +011001100101 +100001110110 +100110000111 +101010001000 +101010001000 +101010011000 +101110011000 +101110011000 +101110011000 +101010010111 +101010011000 +101010000111 +101010000111 +101010000111 +101010011000 +101010011000 +101010010111 +101010000111 +101010000111 +101010000111 +101110011000 +101110101001 +101110101001 +101110101001 +101110101000 +101010011000 +101010011000 +100110011000 +100110001000 +100010001000 +011101110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011101111000 +011101111000 +011101111000 +011001111000 +011001111000 +011001110111 +011001100111 +011001100111 +011001100110 +010001010101 +001101000100 +010001010101 +010001010101 +001101000100 +001000110100 +001101000100 +001101000100 +001101000100 +001000110011 +000100100010 +000100010001 +000100010001 +000100010010 +000100100010 +001000100010 +001000100011 +001000100010 +001000100010 +001100110011 +010101010101 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +100001110101 +100101010010 +011101000001 +011000110000 +011000110000 +011000110000 +011000110001 +011000110001 +010100110000 +010100100000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110001 +011000110001 +011000110000 +010100110000 +010100110000 +010000110000 +000100010001 +000000010010 +000000010010 +000000010010 +000000100011 +000000100011 +000000010010 +000000010010 +000000010010 +000000100010 +000000100011 +000000100011 +000000100011 +000100110100 +000100110100 +000100100100 +000100110100 +000100110100 +000100110101 +001001000110 +000100100100 +000000010010 +000000100010 +000100100001 +000100010000 +001100100000 +010000100000 +011000110000 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011000110000 +010100110000 +001000100001 +000100100011 +000100100100 +000100110101 +000100110101 +000101000101 +000101000101 +000101000101 +000101000101 +000100110101 +000101000101 +000101010110 +000101000101 +000101000100 +000101000100 +001000100010 +000100100001 +000100100010 +000100010001 +000100000000 +010100110001 +011101010001 +011101010001 +011101010001 +011101010010 +011001000001 +011101000001 +011001000001 +011001000001 +011001000001 +011101010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101110010 +100001100010 +100101110010 +101001110010 +101001110010 +101001100010 +100001010010 +010000110000 +001000110010 +001001000101 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010111 +000101010110 +000101000110 +001001010111 +000101010110 +000101010110 +000101010111 +001001101000 +001001111001 +001001101001 +001001111001 +001001111010 +001001100111 +001000100001 +001100110001 +010101000011 +010101010100 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +011010011010 +001001101000 +001001010110 +000101000101 +001101000011 +010001010010 +010000110001 +001100100000 +010000110001 +100001100010 +100101100010 +100101100010 +100001100001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101010010 +100001010010 +100001010010 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100101100010 +100101100010 +100001010001 +100001010001 +100001100010 +100001100010 +100001100010 +100001100010 +011101010001 +011000110001 +010000100000 +001100100000 +001001010100 +000101010110 +000101000110 +000101010111 +000101101000 +000101101000 +000101101000 +000101111001 +001001111000 +000100100010 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000100100001 +100010001000 +001101010101 +000100110011 +000100100010 +001000100001 +001100100000 +010000100000 +100001010010 +101101110010 +101001100010 +100101010010 +100001000001 +100001010001 +011101000001 +011101000001 +011001000001 +011000110001 +011000110001 +011000110001 +011000110001 +011000110001 +011000110001 +011001000001 +011101000001 +011101010001 +100001010010 +100001100010 +100001100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010010 +100001010010 +100001010010 +100001010010 +100101100010 +100101010010 +100101100010 +100101100010 +100101110010 +100101110010 +100001110010 +011101100010 +011001000001 +001000110010 +000100100011 +000000010010 +000000010001 +000000000001 +000100100010 +000100110100 +000100110101 +000000110100 +000000100100 +000000110101 +000000110100 +000000100100 +000000110110 +000001000111 +000101000110 +010101111001 +010001100111 +001000110100 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001101000100 +001101000100 +010000110011 +001100100010 +001100100001 +001000100001 +001000010001 +001100100010 +001100100010 +010101000100 +011001010101 +011101110110 +100110000111 +101010001000 +101110011000 +101110011000 +101110101000 +110010101001 +101110101001 +110010101001 +110010101001 +110010101001 +101110101001 +101110011000 +101010011000 +100110000111 +101010000111 +101110011000 +110010101001 +110010101001 +101110101001 +110010101001 +110010111001 +110010101001 +110010111001 +101110101001 +101110011000 +101010011000 +100110011000 +100010001000 +100010000111 +011101111000 +011101111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011101111000 +011101111000 +011101111000 +011001111000 +011001110111 +011001110111 +011001100110 +010001010101 +001101000011 +010001010101 +010101100110 +010101100110 +010001010101 +001101000101 +001101000100 +001000110011 +000100100010 +000100010001 +000100010001 +000100010010 +000100010010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100001 +001000110011 +010101010101 +011001110111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011101111000 +100001110110 +100101100010 +100001010001 +011101000001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100100000 +010100110000 +010100110000 +011000110000 +011000110000 +011000110000 +010100110000 +010100110000 +010000100000 +001000010000 +000000010001 +000000010010 +000000010010 +000000100011 +000000100010 +000000010010 +000000010010 +000000010010 +000000010010 +000000100010 +000000010010 +000000100011 +000000100011 +000100100011 +000100100100 +000000100011 +000100110100 +001001000110 +000100110100 +000000010001 +000000110010 +000000100001 +000000000000 +001100100001 +010000100000 +010100110000 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +010100110000 +010000100001 +000100100010 +000000100011 +000100110100 +000100110101 +000101000101 +000101000101 +000101000101 +000001000101 +000000110101 +000001000101 +000101000110 +000101000110 +000101000101 +000101000101 +001000110011 +000100100001 +000100100010 +000000010001 +000100010000 +001100110001 +011101010001 +011101010001 +011101010001 +011101010010 +011001000001 +011101000001 +011001000001 +010100110001 +010100110000 +011101000001 +100001010010 +100001010001 +100001010001 +100101100010 +100101110010 +100101110010 +100101110010 +101001110010 +101001110010 +101001100010 +100001010001 +010100110000 +001100110001 +001001000100 +000100110100 +000101000110 +000101010111 +000101010110 +000101010111 +000101010111 +000101010111 +001001010111 +000101010110 +000101010110 +000101010111 +000101010110 +000101010111 +000101100111 +000101101000 +000101101000 +001001101000 +001001111000 +001001111001 +001001100111 +000100110010 +001000100001 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010101010101 +010110011010 +001001101000 +000101010110 +001001000100 +010101100011 +010101000001 +010000110001 +010000100000 +010000110001 +100001010010 +100101100010 +100101100010 +100001010001 +100001010010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100101100001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001010010 +100001010010 +100001010010 +100001010010 +100001010001 +100001010001 +100001010010 +100001010001 +100001010010 +100001010010 +100001100010 +100001100010 +100001100010 +100101100010 +100101110010 +100101100010 +100001100010 +011101010001 +011001000001 +010000100000 +001100010000 +001101000100 +000101000110 +000101000110 +000101010111 +000101101000 +000101101000 +000101010111 +000101101000 +001001111001 +000100100010 +000100010001 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +001100110010 +011110001000 +001001000100 +000000100010 +001000100001 +001100100000 +010000100000 +010000100000 +100001010010 +101001100010 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110001 +011001000001 +010100110000 +011001000001 +011101000001 +100001010010 +100001010010 +100001100010 +100001100010 +100101100010 +100101100010 +100001100010 +100101100010 +100101100010 +100001010010 +100001010010 +100001010010 +100001100010 +100101100010 +100001010010 +100001100010 +100101100010 +100101110010 +100001110010 +100001100010 +011101100010 +010101000001 +001100110001 +000000100010 +000000010001 +000000000000 +000000010001 +000100100011 +000000100011 +000100110100 +000000110101 +000000100100 +000000110101 +000000110101 +000000100100 +000000110100 +000001000110 +000000110110 +001001011000 +010110001011 +000100110101 +001000110100 +001000110011 +001000110011 +001000110011 +001001000100 +001101000100 +001101000100 +011001010100 +011001010100 +010101010100 +010101000011 +010000110011 +001100100010 +001100100001 +001000010001 +001000010001 +001000010001 +001100100010 +001100110010 +010101000100 +011001010101 +100001110110 +101010011000 +101010011000 +101110101000 +110010111001 +110010111001 +110010101001 +101110101001 +110010111001 +101110101001 +110010101001 +110010111010 +110010111010 +110010111010 +110010101001 +101110101000 +101110011000 +101110101001 +101110101000 +101110011000 +101110011000 +101110011000 +101110101000 +101110011000 +101010011000 +101110101000 +101010101001 +101010011001 +100110011000 +100010001000 +011110001000 +011101111000 +011001111000 +011001111000 +011001111000 +011001110111 +011001110111 +011001110111 +010101100110 +010001000100 +001100110011 +010001010101 +011001110111 +010101100111 +010001010110 +010001010101 +001101000100 +000100100010 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000110011 +010001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011101110110 +100101100010 +100001010001 +100001000001 +011000110001 +010100100000 +010000100000 +010100110000 +010100100000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100110000 +011000110001 +011000110000 +010100110000 +011000110001 +011000110001 +010100110000 +010000100000 +001100100001 +001000100001 +000100010001 +000000010001 +000000010001 +000000010010 +000000010010 +000000010011 +000000010011 +000100010010 +000000000001 +000000010010 +000100100011 +000100100011 +000100100100 +000100100100 +000100100100 +001000110101 +000100110101 +000100100010 +000100010001 +000000100010 +000000010001 +001000010000 +001100100000 +010000100000 +011001000001 +011001000001 +011001000001 +011101000001 +011101000001 +011001000001 +011000110001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001000001 +011101000001 +011101000001 +011000110001 +010000100001 +001000100010 +000000100010 +000100110100 +000100110101 +000101000101 +000101000101 +000101000101 +000001000101 +000000110101 +000001000101 +000101000101 +000101000101 +000101000101 +000101000101 +001001000100 +001000100001 +000100100001 +000100100010 +000100100001 +001000100000 +011001010001 +011101010001 +011101010001 +011101010010 +011101010001 +011101000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101100010 +100101100010 +100101110010 +101001110010 +101001110010 +101001100010 +101001100010 +100001010001 +010100110000 +010000110001 +001000110011 +000100110100 +000101000110 +000101000110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010111 +000101010110 +000101010110 +001001100111 +001001100111 +000101100111 +000101101000 +000101100111 +001001100111 +001001111001 +000101101000 +001001111001 +001001101000 +000100110100 +001000100001 +001100110010 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +010101100110 +010110001010 +001001100111 +001001010101 +001101010011 +010101010010 +010001000001 +010100110001 +010000100000 +010000100000 +100001010010 +100001100010 +100101100010 +100001100010 +100001010010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101010010 +100001010010 +100001010001 +100001010010 +100001010001 +100001010001 +100001010001 +100001100010 +100101100010 +100101100010 +100101100010 +100101100001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010001 +011101010001 +011001000001 +010000100000 +001100010000 +001100110010 +001001000101 +000101000111 +000101000111 +000101010111 +000101101000 +000101101000 +000101011000 +001001101000 +000100110011 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +010001010100 +011010001000 +000100110100 +000000100010 +001000100001 +010000100000 +010000100000 +010000100000 +100101100010 +101001100001 +100101010001 +100001010001 +100001100010 +100001100010 +100001010010 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +100001010010 +100001100010 +100001010001 +100001010001 +100001100010 +100001100010 +100001010010 +100001010001 +100001010010 +100001010010 +100001010010 +100001010010 +100001100010 +100101100010 +100001100010 +100001010010 +100001100010 +100101100010 +100101110010 +100001100010 +100001100010 +011101010010 +010101000001 +001100100000 +000100100001 +000000010001 +000000000000 +000100100011 +001000110100 +000100100011 +000000100100 +000000100100 +000000100100 +000000110100 +000000110100 +000000100100 +000000100100 +000000110101 +000000110110 +000101000111 +001101101001 +001101011000 +000000100011 +001001000100 +001000110011 +001000110011 +001001000100 +001101000100 +001101000100 +011001010100 +010101010101 +011001010100 +011001010100 +011001010100 +011001010100 +010101010100 +010101000011 +010101000011 +010000110011 +001100100010 +001100100010 +001000100001 +000100010000 +001000010001 +001100110011 +010101000100 +011001010100 +011101110110 +100110000111 +101010011000 +101110101001 +101110101001 +101110101000 +110010111001 +110010111010 +101110101001 +110010101001 +101110101001 +101110101001 +101110101001 +110010111001 +101110101000 +101110101000 +101110101000 +101010010111 +101110011000 +101110101000 +110010101001 +110010101001 +110010111001 +110010111001 +110010101001 +101110101001 +101110101001 +101010011001 +100110011000 +100010001000 +011110001000 +011101110111 +011001110111 +011001100111 +010101100110 +010001000101 +001100110011 +010001010101 +011001110111 +011001110111 +010101100110 +010001010110 +001101000100 +001000100010 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000110011 +010001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001111000 +011001111000 +011001111000 +011101110111 +100101100011 +100001010001 +100001000001 +011000110001 +010100100000 +010100110000 +011000110000 +010100110001 +010100110001 +010000110001 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100110000 +010100110000 +010100100000 +011001000001 +011101000001 +011100110001 +010100110000 +011000110000 +010101000001 +001100110001 +001000010000 +000100000000 +000000010001 +000000010010 +000000010011 +000100010011 +000100010011 +000100010011 +000000010010 +000000010010 +000100100010 +000100100011 +000100100100 +000100100011 +000100100100 +001000100011 +001000110011 +000100010000 +000000010001 +000000100010 +000000010000 +000100010000 +001100100000 +011001000001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011000110000 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +010100110000 +001100110001 +000100100010 +000000100011 +000000110101 +000001000101 +000101000110 +000101000101 +000101000101 +000000110101 +000101000101 +000101000101 +000101000110 +000101000101 +000101000101 +000101000100 +001000100010 +000100100001 +000100100010 +000000010001 +000100010000 +011001000001 +011101010001 +011001000001 +011101010001 +011101010001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101010001 +100001010010 +100101100010 +100101100010 +100101100010 +101001110010 +101001110010 +101001100010 +100101100001 +100001010001 +011001000001 +010100110000 +001000110010 +000100110100 +000101000101 +000101000110 +000101010110 +000101010111 +000101010111 +000101010111 +000101010110 +000101010110 +000101010111 +000101100111 +001001100111 +000101100111 +000101100111 +000101100111 +001001100111 +001001101000 +001001101000 +001001111000 +001001111000 +001001000101 +000100100001 +001000110010 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110010 +010101100111 +001101111001 +001101010110 +010001100100 +001101000100 +001001000011 +010001000010 +010100110001 +010000100000 +001100100000 +011101010010 +100001100010 +100001010010 +100001100010 +100001010010 +100001100010 +100101100010 +100101100010 +100101100010 +100101100010 +100101110010 +100101100010 +100101100010 +100101100010 +100001010010 +100001010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001100010 +100101100010 +100101100010 +100101110010 +100101110010 +100101110010 +100001100010 +100001100010 +100001010001 +100001100010 +100001100010 +011101010001 +011101000001 +011101000001 +011000110001 +010100110000 +001100010000 +001100100001 +001001010101 +000101000111 +000101000111 +000101010111 +000101101000 +000101111000 +000101101000 +001001101001 +000101000100 +000000010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +011001100110 +010101100110 +000000100011 +000100100001 +001100100001 +010100110000 +010000100000 +010000100000 +101101110011 +101001110001 +100001010001 +100101100010 +100101100010 +100101100010 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011001000001 +011001000001 +011101010001 +100001100010 +100001100010 +100001010010 +011101010001 +011101010001 +100001010010 +100001010010 +100001010010 +100001010010 +100001010010 +100001010010 +100001010010 +100101100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001110010 +100001100010 +100001100010 +100001100010 +011001010010 +010000100000 +001000100000 +000100010000 +000000010000 +000100100010 +001000110100 +000100100011 +000000100100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000101000111 +000101101000 +001101111001 +000100110101 +000100100011 +001000110100 +001000110011 +001001000011 +001101000100 +001101000100 +011001010101 +011001010101 +011001100110 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +010101000011 +010101000011 +011001010100 +011001010101 +010101000011 +010000110010 +001100100010 +001000100001 +001000010001 +001000010001 +001100100010 +010001000011 +011001010101 +011001010101 +100001110110 +101010011000 +101010011000 +101110011000 +101110101001 +110010101001 +110010101001 +110010101001 +110010111010 +110010111001 +110010111010 +110010101001 +101110101000 +101110010111 +101110010111 +101010010111 +101010010111 +101110011000 +110010101001 +101110101001 +101110011000 +110010101001 +110010111001 +110010101001 +110010111001 +110010111010 +101110101001 +101010101001 +100110001000 +011101110111 +010101010101 +001100110011 +010001010100 +011001100110 +011001100111 +010101100110 +010001010110 +010001000101 +001000100011 +000100010010 +000100010010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001100110011 +010001010101 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +100101100011 +100001010001 +100001010001 +011001000001 +010100100000 +011000110000 +011001000001 +010101000011 +010001010100 +010001010101 +010001000100 +010000110010 +010000100001 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +100001010001 +100001010001 +011101000001 +011000110001 +011001000001 +011001000001 +010100110000 +011000110001 +010000110001 +000100010000 +000000010001 +000000010010 +000100010011 +000000100011 +000100100011 +000100100011 +000000010010 +000000010010 +000100010010 +000100100011 +000100100011 +000100100011 +001000100010 +010001000100 +000100100001 +000000010000 +000000100001 +000000010001 +000100010000 +001000010000 +010100110001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011000110001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001010001 +100001010010 +100001010001 +011101000001 +011000110000 +010000100000 +001000100001 +000000100011 +000000110101 +000001000101 +000101000110 +000001000101 +000101000101 +000000110101 +000000110101 +000101000101 +000100110101 +000100110101 +000101000101 +000101000101 +000100110011 +001000100001 +000100100001 +000000010001 +000100010001 +010101000001 +100001010001 +011101010001 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010001 +100001010001 +100001010001 +100001100010 +100001010001 +100001010001 +101001110010 +101001110010 +101001100010 +100101100001 +100001010001 +011101000001 +011001000001 +010000110001 +000100110011 +000100110101 +000101000110 +000101010110 +000101010110 +000101010110 +000101010111 +000101000110 +000101000110 +000101010111 +000101100111 +000101100111 +000101100111 +001001100111 +000101100111 +000101100111 +001001100111 +001001100111 +000101101000 +001001101000 +001001010110 +001000110011 +001000100001 +010000110011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110010 +010101100111 +001101101001 +010001010110 +010001000011 +001001010101 +001001000100 +010101000010 +011000110001 +010000110000 +001100100000 +011101000001 +100001100010 +100001100010 +100001100001 +100001100010 +100101100010 +100001100010 +100001100010 +100001100001 +100101110010 +100101100010 +100001010001 +100101100010 +100101100010 +100101010010 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100001100010 +100001100010 +100101100010 +100101110010 +100101100010 +100001100010 +100001010010 +100001010001 +100001100010 +100001010001 +011101010001 +011001000001 +011001000001 +011001000001 +010100110000 +001100010000 +001000010000 +001101010100 +000101010101 +000101000110 +000101010111 +000101011000 +000101111001 +000101101000 +000101101001 +000101010110 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100100001 +011001110111 +001101010110 +000000100010 +001100100001 +010100110001 +010100110001 +010000100000 +011001000001 +101110000011 +101001110010 +100001100010 +100101100010 +100101100010 +100001010001 +011101000001 +100001010010 +100001010010 +100001100010 +100001100010 +100001100010 +011101010001 +011001010001 +100001100010 +100101100010 +100001100010 +011101010001 +011101000001 +011001000001 +011101000001 +011101010001 +100001010010 +100001010010 +100001100010 +100001010010 +100001010010 +100101010010 +100001010010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101010010 +010000110000 +001100100000 +001000010000 +000100010001 +000000100011 +000100110101 +000000100100 +000000110100 +000000110101 +000000110101 +000000110100 +000000100011 +000000110101 +000000110101 +000000110101 +000000110101 +000001000110 +000101011000 +001001111010 +001101101001 +000000010010 +001000110100 +001000110011 +001001000011 +001101000100 +001101000100 +010101000100 +011001010101 +011101100110 +011101100110 +011101100110 +011101100110 +011001010100 +011001010100 +011001010100 +010101000011 +010101000010 +011001000011 +011101100101 +011001010100 +011001010100 +011001000100 +010101000011 +010101000011 +010000110011 +010000110010 +001100100010 +001100100010 +001000100001 +001100100010 +010000110011 +010101000011 +011001010100 +011101100110 +100001110110 +100110000111 +101010011000 +101110101001 +101110101001 +101110101001 +101110101000 +110010101001 +110010101001 +101110101000 +110010101000 +101110101000 +101110101000 +110010101001 +101110101001 +101110101000 +110010111001 +110010111010 +110010111010 +110010111001 +110010111010 +110110111010 +110111001010 +110010111010 +110010111010 +101110101000 +101010010111 +100010000110 +100010000111 +011101110111 +010101100110 +010001100110 +001101000100 +001000100011 +000100010010 +001000100010 +001100100011 +001100110011 +001000100011 +001000100011 +001000110011 +001100110011 +001101000100 +010001000100 +010001000100 +010101010101 +011001100111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +100001110011 +100101100001 +100101100010 +011101000001 +010100110000 +011000110000 +010100110010 +010001000100 +010001010101 +010001100111 +010001010110 +010101010110 +011001100110 +011101010100 +011001000010 +010100100000 +010100100000 +010000100000 +011000110000 +100101010001 +100001010001 +011101000001 +011000110001 +010100110000 +011001000001 +011101000001 +100001000001 +011000110000 +001000100000 +000100010001 +000100010001 +000000010010 +000100100011 +000100100100 +000000100011 +000100100011 +000000010010 +000100010010 +000100010010 +000100100100 +001000110110 +010001010110 +010101010110 +000100100010 +000000010000 +000000010001 +000000100010 +000000010001 +000100010000 +001100100000 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110001 +010100110000 +011000110000 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001010001 +100101010001 +100101010010 +100001010001 +011101000001 +011000110001 +010100100000 +001100100001 +000000100010 +000000110100 +000000110101 +000101000101 +000101000101 +000000110100 +000000110101 +000000110101 +000000110101 +000101000101 +000101000101 +000101000101 +000101000101 +000100110100 +001000100001 +000100010001 +000100100001 +000000010001 +001100110000 +100001010010 +100001010010 +011101000001 +011101010001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100101100010 +101001100010 +100101100010 +100101100010 +011101010001 +011101010001 +011101010001 +010100110001 +000100110011 +000100110100 +000101000110 +000101010110 +000101000110 +000101000110 +000101010111 +000101010111 +000101000101 +000101010110 +000101010111 +000101010111 +000101100111 +001001100111 +000101100111 +000101100111 +001001100111 +001001100111 +001001101000 +001001101000 +001001010110 +010001010100 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +001100110011 +010101110111 +011010001001 +010101010101 +001001000100 +000101010101 +010001010011 +011001000001 +010100110001 +010000110000 +001100100000 +011001000001 +100001100001 +100001100010 +100101100010 +100001100010 +100001010001 +100001010001 +100001010001 +100001100010 +100101110010 +100101100010 +100001010010 +100001010001 +100001100001 +100001010001 +100001010010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001100010 +100001010001 +100001010010 +100001100001 +100001100010 +100001010010 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011001000001 +011001000001 +011001000001 +010100110000 +001100010000 +000100010001 +001101010100 +010001010101 +001001000110 +000101000110 +000101001000 +000101101001 +000101101001 +000101101001 +001001100111 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +001100110011 +010101100111 +000101000100 +000100100001 +010100110001 +010100110000 +010000110001 +001000010000 +011101010010 +101110000010 +101001110010 +100101100010 +100001100001 +100001100010 +100001010010 +011101010001 +100001010010 +100001100010 +100101110010 +100101110010 +100001100010 +011101010010 +011101010001 +100001100010 +100101100010 +100001100010 +011101010001 +011001000001 +011000110001 +011001000001 +011101000001 +100001010010 +100001100010 +100001100010 +100001100010 +100001010010 +100001010001 +100001010001 +100001010001 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101010010 +010100110001 +001100100000 +001000010000 +001000100001 +000100110100 +001001000101 +000000110100 +000000110101 +000000110101 +000000110101 +000000100100 +000000100011 +000000110100 +000001000110 +000000110101 +000001000110 +000001000110 +000001010111 +001001111010 +001101111010 +000000100011 +000100100011 +001000110100 +001001000100 +001101000100 +001101000100 +010101000011 +010101000011 +011001010101 +011001100101 +010101010100 +011001100110 +011001110110 +011001100101 +011001000011 +011001010100 +010101000011 +011001000011 +011101010100 +010101000010 +010101000011 +011001010100 +011001010100 +011001010011 +011001000011 +011001010011 +011001010100 +010101000011 +010000110011 +010101000100 +010001000011 +010000110010 +001100110010 +001000010001 +001000100001 +001100110010 +010101000100 +011001010101 +100001110110 +100110000111 +100110000111 +101010011000 +101110011000 +110010101001 +101110101000 +101110011000 +101110101000 +101110101000 +110010101001 +110010111001 +110010111001 +110110111010 +110010111001 +110010101001 +110010101000 +101110101000 +101110101000 +110010111001 +110010111001 +110010101001 +110010111001 +110010101001 +110010111001 +110010111001 +101110101001 +101010011000 +100001110111 +011001010101 +010000110011 +001100110011 +001100110011 +001000110011 +001000110100 +001101000100 +010001000100 +010001010101 +010101010110 +010101100110 +010101100110 +011001100110 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011101110111 +100101110100 +100101100010 +100001010001 +011001000001 +010100100000 +010100110000 +010001000011 +001101010101 +001101010110 +010001100111 +010001010110 +010001010110 +011001110111 +011101111000 +011101110111 +100001100100 +100001010010 +100001000000 +100101010001 +100101010010 +100001000001 +011100110001 +011000110001 +010100110000 +011001000001 +100101010001 +101001010010 +100101010010 +010101000001 +000100100001 +000100110011 +001000100010 +000100100010 +000100100100 +000100100011 +000000010011 +000100010011 +000100010011 +000100100100 +000100100101 +001000110111 +010101101000 +010101100110 +001100110100 +000100010001 +000000000000 +000000010001 +000000010001 +000000010000 +000100010000 +010100110001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110001 +011000110001 +011000110001 +011100110001 +011101000001 +011101000001 +011101000001 +100001000001 +100001010001 +100001010001 +100001010001 +011101000001 +011001000001 +011000110000 +010000100000 +000100010001 +000000110100 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000000110101 +000000110101 +000101000101 +000101000101 +000101000101 +000101000101 +000101000100 +000100100010 +000100010001 +000000010001 +000000010001 +001000100001 +011101010010 +011101010001 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010001 +011101010001 +100001010010 +011101010001 +011101000001 +100101100010 +100101100010 +100101100010 +100001010001 +011101000001 +011101010001 +100001010001 +011001000001 +001000100010 +000100110100 +000101000110 +000101010110 +000101000110 +000101010110 +000101010111 +000101010110 +000100110101 +000101000101 +000101010110 +000101010110 +000101100111 +000101100111 +000101100111 +000101101000 +001001100111 +001001100111 +001001101000 +001001100111 +001001010110 +001101000100 +010000110011 +001100110010 +001100110010 +010001000011 +010000110011 +010000110011 +010000110010 +001100110010 +001100110011 +011001111000 +011110000111 +010001010100 +000101000101 +000101010101 +010101010010 +011101000001 +010100110001 +010000100000 +001100100000 +011001000001 +100001010001 +100001100010 +100101110010 +100101100010 +100001100001 +100001010010 +100001010010 +100001100010 +100101100010 +100101110010 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001010001 +100001010010 +100001100010 +100001100010 +100001010010 +100001010001 +100001010001 +100001100010 +100001010001 +011001000001 +011000110001 +011001000001 +011001000001 +010100110001 +010000100000 +000100010001 +001001000101 +010101010100 +001101010110 +000100110110 +000101001000 +000101101001 +000101101001 +000101111001 +001101111001 +000100010001 +000100010000 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +010001010101 +010101100111 +001000110011 +010001000001 +011001000001 +010000110001 +001000010000 +000100010000 +100101100010 +101101110010 +101001110010 +100101100010 +100101100010 +100001010010 +100001010001 +100001010010 +100101100010 +100101100010 +100101110010 +100101110010 +100001100010 +011001010001 +011101010001 +100001100010 +100001100010 +100001100010 +011101010010 +011001000001 +011001000001 +011001000001 +011101010001 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001010001 +100001010001 +100001010001 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101010001 +011001000001 +010100110001 +001100100000 +001000010000 +000100010001 +000100110100 +001001000101 +000000100100 +000000110100 +000000110101 +000000110101 +000000110101 +000000110101 +000000110100 +000000110101 +000001000110 +000001010110 +000001010111 +000001010111 +001001111010 +001101111011 +000100110101 +000000010010 +001001000100 +001101000100 +001101000100 +001101000100 +011001010100 +011001010100 +011001010101 +011001100101 +011001010101 +011001110111 +011110001000 +011001110111 +010101010100 +010101010100 +011001100101 +010101000011 +010101000011 +010000110001 +010100110010 +011001000011 +011001010011 +011001000011 +010100110010 +011001000011 +011001010100 +011001010100 +011001010100 +011001100110 +011101110110 +011001010101 +011001010100 +010101000100 +001100110010 +001000100001 +001000100010 +001000100010 +001100110011 +001100110011 +010000110011 +010001000011 +011001010101 +100001110110 +100110000111 +101010010111 +101110011000 +101110011000 +101110011000 +110010111001 +110110111010 +110010111001 +101110101000 +110010101000 +110010101001 +110010101000 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101001 +110010101001 +110010111001 +110010111001 +110010111001 +110010101000 +110010101000 +101110011000 +101010010111 +100010000110 +011101110110 +011001100101 +010101100110 +010101100110 +010101100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +100001110100 +100001010010 +100001010011 +010100110001 +010000100000 +010000110001 +010001000011 +001101010110 +001101010110 +010001100111 +010001010110 +010001010110 +011001100111 +011101111000 +011001111000 +011001110111 +100001110110 +100101110100 +100101100010 +100101010001 +100001000001 +011000110001 +011000110001 +011000110000 +100001010001 +100101010001 +100001010001 +100001010001 +100101100010 +011101010010 +010001000010 +001100110001 +001000100001 +000100100010 +000100100011 +000100010011 +000100100100 +000100100100 +000100100100 +000100100100 +001000110101 +011001100111 +010101100110 +010001010101 +001000100010 +000000000001 +000000000000 +000000010001 +000100010000 +000100000000 +001100010000 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110001 +011000110000 +010100110000 +011000110001 +011000110001 +011000110001 +011000110001 +011100110001 +011101000001 +011101000001 +100001010001 +100101010001 +100001010001 +100001010001 +011101000001 +011001000001 +011000110001 +010000100000 +001000010001 +000000110100 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000000110101 +000000110101 +000000110101 +000101000101 +000101000101 +000100110101 +000101000101 +000100110100 +001000010001 +000100010001 +000000010001 +000100010000 +011001000001 +011001000001 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011000110000 +010100110001 +011001000001 +011101000001 +011101000001 +011101000001 +011001000001 +100001100010 +100001010001 +100001010010 +011101010001 +011101010001 +100001010001 +100001010001 +011101000001 +001100100001 +000100110100 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +000101000110 +000100110100 +000101000101 +000101010110 +000101010110 +000101101000 +000101100111 +000101100111 +000101101000 +001001101000 +001001101000 +001001101000 +001001100111 +001001010110 +001101000100 +010001000011 +001100110010 +010000110010 +010001000011 +010001000011 +010000110011 +010000110010 +001100110010 +001100110011 +010101111000 +010101110110 +001001000100 +000101010101 +001001010101 +010101000001 +011001000001 +010100110001 +010000100000 +001100010000 +010100110000 +100001010010 +100001100010 +100101100010 +100101110010 +100001100010 +100001010010 +100001010010 +100001010001 +100001100010 +100101100010 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101100010 +100101100010 +100101100010 +100101100010 +100001010001 +100001010001 +100001100010 +100001100001 +100001010001 +100001010001 +100001010001 +011101010001 +100001010001 +100001010010 +011101000001 +011001000001 +011001000001 +011000110001 +010100110000 +010000100000 +000100000000 +001000110100 +001101010101 +010001010110 +000100110110 +000101000111 +000101101001 +000101101001 +000101101001 +001110001010 +000100100010 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +010101010101 +100110001000 +011101100110 +011101100011 +010101000001 +001000100000 +000100010000 +001000010000 +100101100010 +101001110010 +101001110010 +100101100010 +100001010001 +100001010010 +100001010001 +100001100010 +100101100010 +100101100001 +100101110010 +100101110010 +011101100010 +011001010010 +011001000001 +100001100010 +100001100010 +100001010001 +011101010001 +010100110001 +010100110001 +011001000001 +011101010001 +100001100010 +100101100010 +100101100010 +100101100010 +100101110010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101100010 +011101100010 +011101010001 +011001000001 +010100110000 +001100010000 +001000010000 +000100010000 +000100100011 +001000110100 +000100100011 +000000100100 +000000110100 +000000110101 +000000110101 +000000110101 +000000110101 +000000110101 +000001000110 +000001010111 +000001010111 +000001011000 +000101101001 +001001111011 +001001010111 +000000010010 +001000110100 +001001000100 +001101000100 +001101000100 +011101100110 +011001100101 +011001100110 +011001100101 +011001100101 +011101111000 +011110001001 +011110001000 +011101100110 +011101110111 +011001110111 +011001100110 +010101000100 +010000110010 +010101000011 +010101000011 +010101000010 +010101000011 +010101000010 +010101000010 +010101000011 +010101000011 +010100110011 +011001010100 +010101000100 +010101000100 +011001010100 +011001010101 +010101000011 +011001010100 +010101010100 +001100110010 +001100100001 +001100100010 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +010101000011 +011001010100 +011101100101 +100001110110 +101010000111 +101110101000 +101110101001 +110010101000 +110010111001 +110010111001 +110010111001 +110010111001 +110010111001 +101110101000 +101110101000 +101110010111 +101010010111 +110010101000 +110010101000 +110010111000 +110010101000 +110010111000 +110010101000 +110010101000 +110010101000 +110010101000 +110010101001 +110010101001 +101110101000 +101010011000 +100110010111 +100010000111 +011101110110 +011001100101 +010101100101 +011001100110 +011001100110 +011001100111 +011001100111 +011001110111 +011001110111 +011001110111 +011101110111 +100101110101 +011101000010 +010100110011 +001100100001 +001100100001 +001000100001 +001100110011 +001101010110 +001101010101 +010001010110 +010001010110 +010001010110 +010101100111 +011001110111 +011001110111 +011001110111 +011101111000 +100001110101 +100101100010 +100001010001 +011101000001 +011000110001 +010100110000 +011101000000 +101101110010 +101001110010 +010101000001 +010100110001 +100101100010 +100101100010 +010101000001 +001100100001 +001000100001 +001000100001 +000100100010 +000100100010 +001000100010 +000100010011 +000100100011 +000100100100 +000100100101 +001000110101 +010101010101 +010101010101 +010001000100 +000100100010 +000000000001 +000000000001 +000100010001 +000100010000 +000100000000 +010100110001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +010100110000 +010100110000 +011000110001 +011000110001 +011000110001 +011000110001 +011000110000 +011101000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +011000110001 +010000100000 +001000010001 +000100110100 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000000110100 +000000110100 +000000110101 +000100110101 +000101000101 +000101000101 +000101000101 +000101000101 +010001010101 +100001100110 +001000100010 +000100100001 +010101000010 +011101010010 +011001010010 +011001010010 +011001000001 +011001000001 +011001000001 +011101000001 +011001000001 +010100110001 +010100110001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010010 +011101010001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +011101010001 +010000100000 +000100110011 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +000101000110 +000100110100 +000100110100 +000101010110 +000101010111 +000101101000 +000101010111 +000101100111 +000101101000 +000101100111 +000101100111 +001001101000 +001001100111 +001001010110 +001101010101 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010000110010 +001100110010 +001100110011 +011010001000 +010001100101 +001001000101 +000101010110 +001101010100 +011001000001 +011001000001 +010100110001 +010000100000 +001100010000 +010100110001 +100001010010 +100001100001 +100001100010 +100001100010 +100001100010 +011101010001 +011101010001 +011001000001 +011101010001 +100001010001 +100001010001 +100001100001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001100010 +100001010001 +100001010001 +100001100010 +100001100001 +100001100010 +100001010001 +100001010001 +011101000001 +011101000001 +011101010001 +100001010001 +011101000001 +011000110001 +011000110001 +010100110001 +010000100000 +000100000000 +000100100011 +001001010101 +010001010101 +001000110110 +000100110111 +001001011001 +000101101001 +000101111001 +001110001010 +000100110011 +000100010000 +000100010010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000000000 +000100010001 +011001100110 +101010011001 +100001110101 +010101000001 +000100010000 +000000010000 +000100010000 +001000010000 +100101100010 +101001110010 +101001110010 +100101100010 +100001010001 +011101010001 +100001100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100001110010 +011101100011 +011001010010 +011001010001 +011101010010 +011101010001 +011001000001 +010100110000 +010000100000 +010100110000 +011001000001 +100001010001 +100001100010 +100001100010 +100101100010 +100101100010 +100101100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +011101100010 +011101010010 +011101100010 +011101010010 +011001000001 +010100110000 +001100010000 +001000000000 +000100000000 +000100010010 +000100100011 +000000100100 +000000100100 +000000100100 +000000110100 +000000110101 +000000110101 +000000110101 +000000110101 +000001000110 +000001010111 +000101011000 +000001011000 +000101011000 +001001111010 +001101101001 +000000010011 +001000110100 +001001000100 +001101000100 +001101000100 +011101100101 +011101100101 +011001100110 +011101110110 +011101110111 +011101111000 +011110001000 +011101110111 +011001100110 +011101110111 +011110001000 +011110001000 +011101111000 +011101100110 +011101110111 +011101100110 +010101010100 +010101000011 +010101000011 +011001000011 +010101000011 +011001100110 +011001100101 +010101000100 +010101000100 +011001010101 +010101000011 +010101000010 +011001010101 +011101100101 +011001010100 +010101000011 +010101000011 +011001010100 +010000110011 +010000110010 +010000110010 +010000110010 +010000110010 +010100110010 +010000110011 +001000100001 +001000100001 +001100110010 +010101000100 +011001100101 +011101100101 +100110000111 +101010010111 +101110101000 +110010101000 +110010101000 +110010101000 +110010101000 +101110011000 +110010101000 +110010101000 +110010101000 +110010111001 +101110101000 +101110101000 +101110101000 +110010101000 +110010101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010111001 +110010111001 +110010101001 +101110101000 +101010011000 +101010010111 +100110000111 +100001110111 +011101110111 +011001110111 +011001110111 +011101110111 +100010000111 +101010011000 +100101110111 +010101000011 +001000100010 +000100010001 +000100010000 +000100010000 +001101000100 +010001010110 +001101000101 +010001010110 +010001010110 +010001010110 +010101100110 +011001110111 +011001110111 +010101110111 +011101110111 +100001100011 +100101100001 +100001010001 +011101000001 +011000110000 +010100110000 +011101000001 +101001110010 +110010010010 +100110000011 +010101000010 +001100100000 +010000100000 +001100100001 +001100100001 +001000100001 +001000100010 +000100100010 +001000100001 +100001100010 +011101010010 +001000100001 +000100010011 +000100010010 +000100100011 +010101010101 +010101010101 +010101100110 +001000110011 +000000010001 +000000000000 +000100000001 +000100000000 +000000000000 +001100010000 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110001 +011000110000 +010100110000 +010100110000 +011000110001 +011000110000 +011000110000 +011000110000 +011000110001 +011101000001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110001 +010100100000 +001000010000 +000100110100 +000000110101 +000000110101 +000000110101 +000000100011 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000101000101 +000101000101 +000101000101 +000101000101 +001001000100 +010101000011 +010101000100 +011001100101 +010001000011 +011001010100 +010001000010 +010001000011 +010000110010 +010000110010 +010000110010 +010101000010 +011001000001 +010100110000 +010100110000 +010100110001 +010100110001 +010100110000 +011001000001 +100001100010 +100001100010 +100001010010 +100001010001 +100001010001 +100001010001 +100001010010 +011101010001 +010100110001 +000100100010 +000101000101 +000101000110 +000101000110 +000101010110 +000101010111 +000101000110 +000100110100 +000000110100 +000101010110 +000101010111 +000101010111 +000101010111 +000101100111 +000101101000 +000101100111 +000101100111 +001001111000 +001001100111 +001001100111 +001001010101 +010001000011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000011 +010000110011 +001100110010 +001101000011 +011110001001 +001101010101 +000101010101 +001001100110 +001101000011 +010101000001 +011001000001 +010100110001 +010000100000 +001100010000 +010100110000 +100001010001 +100001100001 +100001100001 +100001100001 +100001100010 +011101010001 +011101010010 +011001000001 +011101000001 +011101010001 +011101010001 +100001010001 +100001100010 +100001010010 +100001010010 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001100010 +100001010001 +100001010001 +100101100010 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110001 +010000100000 +000100000000 +000100100010 +001001010110 +001101010101 +001101000101 +000100110111 +000101011001 +001001111010 +000101111001 +001110011011 +001001000101 +000100010001 +000100100001 +000100100010 +000100100010 +001000100010 +001000110010 +001000110010 +001000110010 +001000110010 +001000110001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +001000110010 +011101111000 +011101100110 +010101010010 +001000100001 +000000010010 +000000010001 +000000000000 +001100010000 +100101100010 +101001100010 +100101100010 +100001010001 +100001010001 +100001010001 +100001100010 +100101100010 +100101110010 +100101110010 +100101110010 +100101110010 +100110000011 +100110000100 +100001110100 +011001010001 +011001010001 +011101010001 +011001000001 +010100110001 +010100110000 +011001000001 +011101000001 +011101010001 +100001010001 +100001100010 +100101100010 +100001100010 +100001100010 +100001100010 +100001010001 +100001010001 +100001100010 +011101010010 +011101010010 +011101010010 +011101100010 +011101100010 +011001000001 +010100110000 +001100100000 +001000000000 +000100000000 +000100100011 +000000110101 +000000110101 +000000100100 +000000100100 +000000110100 +000000110100 +000000110100 +000000110100 +000000110101 +000001000101 +000001000111 +000001000111 +000001011000 +000101011001 +001001111010 +001110001011 +001001000110 +001000110011 +001101000100 +001101000100 +001101000100 +011001100101 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101110110 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101100110 +011001100101 +011101100110 +011101110111 +011101110111 +011101111000 +011110001000 +011101100110 +011101110111 +011001100110 +010101010100 +010101010101 +011001100110 +010101000011 +010000100001 +010101000011 +010000110010 +010101000011 +011001000100 +010101010100 +011101100110 +011001010101 +010000110010 +010000110010 +010000110010 +010000110010 +010100110010 +010000110010 +010000110010 +001100100001 +001000100001 +001000010001 +001000010001 +001000010001 +001100100010 +010000110011 +011001010100 +100001110111 +100001110110 +101010000111 +101110011000 +101110011000 +110010101000 +101110101000 +101110101000 +110010101000 +101110101000 +101110101000 +101110010111 +101110010111 +101110100111 +101110101000 +110010101000 +110010101000 +110010111001 +110010111001 +110010111001 +110110111001 +110010111001 +110010111001 +110010111001 +110010101000 +110010101000 +110010101000 +101110101001 +101010011000 +101110111001 +101010101000 +100001110110 +010001000100 +001000100010 +000100010001 +000100000000 +000100010000 +000100000000 +001100110100 +001101000101 +001001000100 +001101000101 +010001010110 +010001010110 +010101100110 +011001110111 +010101110111 +010101110111 +011101110111 +100001100100 +100001010001 +100001010001 +011101000001 +010100110000 +011001000011 +011101100100 +100001010001 +101110000010 +110110010010 +101110010010 +011101010011 +001100110010 +001000100000 +001100100001 +001000100010 +001000100010 +001000110010 +001100110001 +100101100001 +101110010010 +011001010010 +000100100011 +000000000000 +000100010001 +010101010100 +010101010100 +011001100110 +010101100110 +000100100010 +000000000001 +000100010001 +000100010000 +000000000000 +000100010000 +010100110001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110001 +011000110001 +011101000001 +011101000001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110001 +010100110000 +001100100000 +000100110011 +000000110100 +000000110101 +000000110101 +000000100011 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000101000101 +000101000101 +000101000101 +000101000101 +001001000100 +001100110011 +010000110011 +001100100010 +001000100001 +001000100001 +001000100001 +001100100001 +010000110010 +010000110011 +010001000100 +010000110010 +010101000001 +011001000001 +010000110000 +010000100000 +010000100000 +010100110000 +100001100010 +100101110010 +100001100010 +100001010001 +100001010001 +011101010001 +100001010001 +100001100010 +011101010001 +010101000001 +001000100001 +000101000100 +000101000101 +000101000110 +000001010110 +000001010111 +000101000110 +000100110100 +000000110011 +000101000110 +000101010110 +000101010111 +000101010110 +000101101000 +000101101000 +000101010111 +000101100111 +001001111000 +001001101000 +001001101000 +001001000101 +001101000011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110010 +010001000011 +011001110111 +001001010101 +000101010110 +001001010101 +001100110001 +010101000001 +011001000001 +010100110001 +010000100000 +001000010000 +010100110001 +100001010001 +100001010001 +100001010001 +100001010001 +100001100010 +011101010010 +011101010001 +010101000000 +011001000001 +011001000001 +011101010001 +100001010001 +100001010001 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101010001 +100001010001 +100001010010 +100001010001 +100001010001 +100001100010 +011101010001 +011101000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +010000100000 +001000000000 +000100010001 +001001010101 +001001010101 +001101000101 +000100110111 +000101011001 +001001111010 +000101111010 +001110011100 +001101100111 +000100100001 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +001101000100 +001101000110 +010101010100 +010000110010 +000100100010 +000100100010 +000100010001 +000100010000 +010000100000 +100101100010 +101001100010 +100101100010 +100001010010 +011101010001 +100001010010 +100001100010 +100001100010 +100001100010 +100001110010 +100001100010 +100001110010 +100001110010 +100110000100 +100001110100 +011101010010 +011101010001 +011101010001 +011001000001 +011001000001 +011101000001 +100001010010 +100001010010 +100001010010 +100001010010 +100001100010 +100001100010 +100001100010 +100001100010 +100001100010 +100001010010 +011101010001 +011101010001 +011101010001 +011101010010 +011101010010 +011001010001 +011101100010 +011001010001 +010100110001 +001100100000 +001000000000 +001000000000 +000100110100 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000000100100 +000000100011 +000000110100 +000001000101 +000001000101 +000001000110 +000001000110 +000001010111 +000101101001 +001010001011 +001001111010 +001101101000 +001000110100 +001101000100 +001101000100 +001101000100 +011001100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100101 +011101100110 +011101110111 +011101110110 +011101110111 +011001100101 +011101100110 +011101110110 +011001010100 +011001010100 +011001010101 +011101100110 +011101111000 +011001110111 +011101110111 +010101010101 +011001100110 +010101100110 +010101100110 +011001100111 +010101010101 +010101000011 +010101000011 +010000110010 +011001010101 +011001010100 +010101000011 +010101000011 +011001010100 +010101000011 +010000110001 +010000110010 +010000110010 +010000110010 +010101000010 +011001010100 +011001010100 +010101000011 +010000110010 +010000110001 +010000100001 +001000010001 +001000100001 +001100100010 +001100110010 +010000110011 +010101000011 +010101000011 +011001010101 +100001110110 +100110000111 +101010010111 +101110101000 +110010101001 +110010101000 +110010101000 +101110010111 +101110010111 +101110101000 +110010101001 +101110101000 +101110101000 +101110100111 +101110010111 +101110101000 +101110101000 +101110101000 +101110101000 +101110100111 +101110010111 +110010101000 +101010010111 +011001010100 +010101000100 +010000110011 +001000010001 +000100010001 +000100010001 +000100000001 +000100010001 +000100010001 +000000000000 +000100010001 +001101000100 +001000110011 +001100110100 +001101000101 +010001010101 +010101100110 +011001110111 +011001110111 +010101100110 +010101010101 +010000110010 +010100110001 +011101000001 +011100110000 +010000110000 +011001100110 +011101110111 +011101010010 +100101100001 +110010010010 +110110010001 +110010010010 +100001110011 +010001000010 +001000100001 +001000100010 +001000110010 +001000100001 +011001000001 +101001110010 +101110000010 +101001110011 +001101000100 +000100010010 +000100000000 +000100010000 +001100110010 +011001010101 +011001100110 +010001010101 +000000000001 +001101000100 +001000100010 +000000000000 +000100000000 +010000100000 +011001000001 +011101000001 +011101000001 +011101000001 +011000110001 +011000110000 +010100110000 +010100100000 +010100100000 +010100110000 +011000110000 +011000110000 +011000110001 +011000110001 +011001000001 +100001000001 +100001010001 +011101000001 +011101000001 +011000110001 +010100110000 +010000100000 +000100100010 +000000110100 +000000110100 +000000110100 +000000100011 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000100110101 +000101000101 +000101000101 +000101000101 +001001000101 +010001000100 +001100110010 +001000100001 +001000010001 +000100010000 +000100010000 +000100010000 +001100110010 +001100110011 +010001000101 +001100110011 +010000110010 +010100110001 +010100110000 +010000100000 +001100100000 +011001000001 +100101100010 +100101100001 +100101100001 +100001010001 +100001010001 +100001010001 +100001010010 +011101010001 +011101000001 +011001000001 +001100100001 +000100110011 +000100110100 +000101000101 +000001010110 +000001010110 +000101000101 +000100110100 +000000110011 +000101010110 +000001010110 +000101010111 +000101010110 +000101010111 +000101010111 +000101010110 +000101100111 +000101111000 +001001111000 +001001101000 +001001000100 +001100110011 +010001000011 +010001000011 +010001000011 +010001000011 +010001000011 +001101000011 +001100110011 +010001000100 +011001110110 +000101000100 +000101010110 +000101000011 +001100110001 +011001000001 +011001000001 +011000110001 +010000100000 +001000010000 +010100110001 +100001010010 +100001100001 +100001010001 +100001010001 +100001100010 +011101010001 +011001010001 +010101000000 +010100110000 +011001000001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010010 +100001010001 +011101010001 +011101010001 +011101010001 +011101000001 +011101000001 +011101000001 +011001000001 +011101000001 +011001000001 +011001000001 +011101000001 +100001010001 +011101000001 +011101000001 +011000110001 +010000100000 +001000010000 +000100000000 +001001000101 +000101010101 +001101000110 +000101000111 +000101011001 +001001111011 +000101111010 +001010011100 +001101100111 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000110001 +001000110010 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010001010101 +001101000110 +010001000011 +000100100001 +000000100011 +000100100010 +000100010000 +001000010000 +010000100000 +100101100010 +100101100010 +100101100010 +011101000001 +011001000001 +100001010010 +100001010010 +011101010001 +011101010010 +011101010001 +011001000001 +011101010001 +100001110010 +100110000011 +100001110011 +100001100010 +100001010010 +100001010001 +011101010001 +011101000001 +011101010001 +100001010001 +100001010001 +011101000001 +100001010001 +100001010010 +100001010010 +100001010010 +100001100001 +100001100010 +100001010010 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101100001 +011101100010 +011101010010 +010100110001 +001100100000 +001000000000 +000100000000 +000100110011 +000000110100 +000000110100 +000000110101 +000000110101 +000000110100 +000000110101 +000000110100 +000000110100 +000001000110 +000001000101 +000001000110 +000001000110 +000001010111 +000001101001 +001010001011 +000101011000 +001101111001 +001001010110 +001001000011 +001101000100 +001101000100 +011001100110 +011101100110 +011001100101 +011001010101 +011101100101 +011101100110 +011101100101 +011101100101 +011001010101 +011001010101 +011101100110 +011001010100 +011101010100 +011101110110 +011101110110 +011101100101 +011001010100 +011001010100 +011001100101 +011001100111 +011001100110 +011101110110 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +010101010101 +011001100110 +011001010101 +011101100110 +010101010100 +010100110010 +010100110010 +010000110010 +010101010100 +010101000100 +010101010100 +011001100110 +011001010101 +011001010101 +011101100110 +011101100101 +011101010100 +011101100101 +011001010011 +011001000010 +010100110010 +010000110011 +010000110010 +010000110010 +010101000011 +010000110010 +001100100001 +001000100001 +001100100001 +001100100010 +010000110011 +011001010100 +100001110110 +100001110110 +101010000111 +101110010111 +101110011000 +101110101000 +110010101000 +110010101000 +101110101000 +101110101000 +110010101000 +110010101000 +110010101000 +110010111001 +110010101000 +110010111000 +110010111000 +110010101001 +011001100101 +001000010010 +001000100010 +001000100010 +000100010001 +001000100010 +001000100010 +000100000000 +000100010001 +000000000000 +000100000000 +010000110010 +001100110011 +000100100010 +001100110011 +001101000100 +010001010101 +010101100110 +011001110111 +010001010101 +001100110011 +001100100011 +001000100010 +001000100001 +010000110001 +010000100000 +010101000011 +011110001000 +011110001001 +011101110110 +011101000001 +101001110010 +110010010010 +110110010001 +101110000010 +100101110011 +011001010010 +001100110010 +001000110010 +001000100001 +011101010001 +101001110010 +101110000010 +101110000010 +011001010011 +001101000100 +001000010001 +000100010000 +000100000000 +001000010001 +010101010100 +011001100110 +010001000100 +010101100110 +010101010101 +000100010001 +000000000000 +001000010000 +010100110001 +011101000001 +011101000001 +011100110001 +011000110001 +011000110001 +011000110000 +010100110000 +010100100000 +010100100000 +010100110000 +011000110000 +011000110000 +011000110000 +011000110001 +011101000001 +100001010001 +011101000001 +011000110001 +011000110001 +010100110000 +010100100000 +001100100001 +000000100011 +000000110100 +000000110100 +000000100010 +000000100011 +000000110100 +000000110100 +000000110100 +000000110100 +000100110101 +000101000101 +000101000101 +000101000101 +001001000101 +001000100011 +001000100001 +001000010001 +000100000000 +000100010000 +000100010000 +001000100001 +010001000011 +001000100010 +001100110011 +001100110100 +001100110011 +010000110010 +010100110000 +010000110000 +010000110001 +011101010001 +100001100010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011001000001 +011101000001 +010000100000 +001000100010 +000100100011 +000000110100 +000101010110 +000001010110 +000101000101 +000100110100 +000000110100 +000101010110 +000001010110 +000101010111 +000101010110 +000101010110 +000101010110 +000101010110 +000101100111 +000101111000 +001001111001 +001001100111 +001000110011 +001100110010 +001100110010 +010000110011 +010000110011 +001100110011 +001100110010 +001100110011 +001100110010 +010101010100 +010101110110 +000101010101 +000101000101 +000100110010 +001100110001 +010101000001 +011001000001 +011000110001 +010000100000 +001000010000 +011000110001 +100001010010 +100101100001 +100101100010 +100001010001 +011101010001 +011101010001 +011001000001 +010101000001 +010100110001 +010100110000 +011101010001 +100001010010 +100001010001 +100001010001 +100001100010 +100001100010 +100001010001 +100001010010 +011101010001 +011101000001 +011101010001 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011001000001 +100001010010 +011101000001 +011001000001 +011000110001 +010100110000 +001100010000 +000100000000 +001000110011 +000101010110 +001001010101 +000101000110 +000101001000 +001001101010 +000101111010 +001010011100 +001101111000 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +001000110001 +000100100001 +000100100001 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +010001010110 +000100110101 +001000110011 +000000100010 +000000100010 +000100100001 +001000010000 +001000010000 +001100010000 +100101100010 +100101100010 +100001010010 +011001000001 +011101000001 +011101010001 +011101010001 +011001000001 +010100110000 +011101010001 +011001000001 +011101100010 +100101110010 +100101110010 +100001110010 +100001100010 +100001100010 +100001010001 +100001100010 +100001010010 +100001010001 +100001010001 +100001010001 +011101000001 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011101010010 +011101010010 +011101010001 +011101010001 +011101010010 +100001100010 +100001100010 +100001100010 +011101010001 +011001000001 +010000100000 +001000000000 +000100000000 +000100100010 +000000100011 +000000100100 +000000110101 +000000110101 +000000110101 +000000110101 +000000110100 +000000110100 +000001000101 +000001000110 +000001000110 +000001010111 +000001011000 +000101101001 +001010001011 +000101011000 +000101000110 +001001010110 +001001000100 +001101000100 +001101000100 +011001111000 +011101110111 +011001100110 +011001100110 +011101100110 +011101100101 +011101010101 +011101010100 +011101100101 +011101010100 +011101010100 +011001010100 +011001010100 +011101100110 +011101110110 +011101100110 +011101100101 +011101100101 +011101100110 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +011101100110 +011001100101 +011001100110 +010001000100 +100010001000 +011101110111 +010001000100 +001100110011 +011001100110 +011001100110 +010101010101 +011001110111 +011101110111 +011001100110 +010101100101 +011001100110 +011001100110 +011001010100 +010101000011 +011001010011 +011101100101 +011101010100 +011001000011 +010101000010 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +010100110011 +010000110010 +010000110010 +001100100001 +001000100001 +001100100010 +001100100001 +010000110011 +010101000100 +011101010101 +100001110110 +100110000110 +101010010111 +101110010111 +101110010111 +101110100111 +110010101000 +110010101000 +110010101000 +110010101000 +110010111001 +101110101000 +011001010101 +001100100011 +010000110011 +001000100010 +000100010001 +000100010001 +000100010001 +001000100010 +000100000000 +000000000000 +000100000000 +001100100001 +010101000010 +010000110010 +010000110010 +001100100010 +001100110011 +010001000011 +010101010101 +010101010101 +001100110011 +001000100010 +001100110011 +001100110011 +000100010010 +000100010001 +000100010000 +010101010100 +010101100110 +010001000100 +010101010101 +011101100100 +011101010001 +101110000010 +110110010010 +110010010001 +101101110010 +100101100001 +011001010010 +001100110010 +001100110001 +100001010001 +101001110010 +101110000010 +110010000010 +100001100010 +010001010101 +010101010101 +000100010000 +001000010000 +000100000000 +001000010000 +010001000011 +011001100110 +011001100110 +011001110110 +001100110011 +000100000001 +000100000000 +001100100000 +011101000001 +011101000001 +011000110000 +011000110000 +011000110001 +011000110000 +010100110000 +010100100000 +010100100000 +010100100000 +010100110000 +011000110000 +011000110000 +010100110000 +011000110000 +011101000001 +011101010001 +011000110000 +011000110000 +011000110000 +010100110000 +010000100000 +001000100001 +000000110011 +000000110100 +000000100010 +000000100011 +000000110100 +000000110100 +000000110100 +000000110100 +000100110101 +000001000101 +000101000101 +000101000101 +001000110011 +001000100010 +000100010001 +001000100010 +000100010000 +000100010000 +000100010000 +001100110010 +010001000011 +001100110010 +001000100010 +001100110011 +001100110011 +001100110100 +010000110011 +010000110010 +011001000010 +011101010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +100001010001 +011101010001 +011101010001 +011101010001 +011001000001 +010100110000 +001100100000 +000000010001 +000100110011 +000101010110 +000001010110 +000101000101 +000100110100 +000000110011 +000101010110 +000001010110 +000101010111 +000001010110 +000101010110 +000101010111 +000101010110 +000101100111 +000101101000 +001001111001 +001001100110 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001101000100 +001101100110 +000101010101 +000100110011 +000100100001 +001100110001 +010101000001 +011001000001 +011000110001 +010000100000 +001100010000 +011101000001 +100001010001 +100101010001 +100101010010 +100101100010 +100001010001 +011101000001 +011001000001 +010100110001 +011001000001 +011101010001 +011101010010 +100001010001 +100001010001 +100001010001 +100001010001 +100001100001 +011101010001 +011001000001 +011001000001 +011101000001 +011101010001 +011101010001 +100001010001 +011101010001 +011101000001 +011101010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +010100110000 +001100010000 +000100000000 +001000100010 +001001010110 +001001010110 +000100110110 +000101001000 +001001101010 +000101111010 +001010011100 +001101111001 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000110001 +001000110001 +001000110001 +001000110001 +000100100001 +000100100001 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +010001010110 +001001000101 +000100110011 +000000100010 +000000100010 +000100010001 +001000010000 +001000010000 +001100010000 +100101100010 +101001100010 +011101000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101010010 +011001010001 +011101100010 +100001110010 +100101110010 +100001110010 +100001100010 +011101100010 +011101100010 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011001000001 +011101000001 +011101010010 +011101010001 +011101010001 +011101010010 +011101010001 +011101010001 +100001100010 +011101100001 +100001010001 +100001100010 +100001100010 +100001010001 +100001010010 +011101010001 +011001000001 +010000100000 +001000010000 +000100000000 +000100100010 +000000110100 +000000110100 +000000110100 +000000110100 +000000110101 +000001000110 +000000110100 +000000110100 +000000110101 +000001000110 +000001000110 +000001010111 +000001011000 +000101101001 +001001111011 +001001101000 +000100110100 +001000110100 +001101000100 +001101000100 +001101000100 +011001111000 +011101111000 +011110001000 +011110001000 +011101110111 +011101110111 +011101100110 +011001010101 +011101100101 +011101010100 +011101010100 +011101100101 +011001100101 +011101100110 +011001010100 +011001010011 +011101100101 +011101110110 +011101110111 +011101110111 +100010000111 +011101110111 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011001100101 +011101100101 +011101100101 +010101010101 +010101010101 +011001100111 +011101110111 +100010001000 +011001100111 +011001100110 +010101010100 +011001100110 +011101110110 +010101010101 +011001010100 +011101010100 +011101100101 +011001010100 +011001010100 +010101000011 +011001010100 +010101000011 +010101000011 +010000110010 +010100110011 +010101000011 +011001010011 +011001010100 +010101000011 +010100110010 +011001010100 +010101010100 +010001000011 +010101000011 +010000110010 +001100100001 +001000100001 +001100100001 +001100100010 +010000110011 +010101000100 +011101100101 +100101110110 +101010010111 +101110101000 +101110101000 +110010101000 +110010101000 +011001010101 +001100110011 +010000110011 +001000010001 +000100010001 +000100010000 +000100000000 +000100000000 +000100010001 +001000010001 +001000010001 +001000010001 +010000110010 +010000110001 +010101000011 +010101000011 +010100110010 +010000110010 +001100100001 +001100110011 +001100100011 +001000100010 +000100010001 +001000100010 +001000100010 +000100010001 +000100000001 +000100010001 +000100010001 +001000100010 +001000100001 +001000110010 +011101110111 +011001010011 +100001010001 +110010000010 +110010010010 +101110000010 +101001110010 +100001010001 +010000110001 +010100110001 +100001010001 +101001110010 +101101110010 +101110000010 +101110000011 +010101000010 +011001111000 +001100110011 +001000100000 +001100100000 +001000010000 +001000010000 +010001000011 +011001100101 +010101010101 +010001000100 +001000010001 +000100000000 +000100010000 +010100110001 +100001000001 +011101000001 +011000110000 +011000110000 +011000110000 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +010100110000 +011000110000 +011000110000 +010100110000 +011000110000 +100001010010 +011000110000 +011000110000 +011000110000 +010100110000 +010100100000 +010000100000 +001000100001 +000100100011 +000000100010 +000000100010 +000000100100 +000000110100 +000000110100 +000000110100 +000000110100 +000001000101 +000101000110 +000101000100 +001100110011 +001000100001 +000100010001 +001000100001 +001100110010 +001000010001 +001000100001 +001000100010 +001100110010 +001000100010 +001000100010 +001000100010 +001100110011 +001101000100 +001101000101 +010000110010 +011001000001 +011101000001 +011101010001 +011101000001 +011101010001 +011101010001 +011101010001 +100001010001 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +001000100000 +000100010000 +000100100011 +000001000110 +000001010110 +000101000101 +000100110100 +000000110100 +000101010110 +000001010110 +000101010110 +000001010110 +000101010110 +000101010111 +000101010110 +000101010111 +000101010111 +001001101000 +000101010101 +000100100001 +001000110010 +001000100010 +001000100010 +001100100010 +001000100010 +001000100010 +001100100010 +001100100010 +001000110100 +001001010111 +000000110011 +000100110011 +000100110010 +010000110001 +011001000001 +011101000001 +011001000001 +010000100000 +001100010000 +011101000001 +100001010001 +100101100001 +100101100010 +100101010001 +100001010001 +011101000001 +011001000001 +011101000001 +011101010001 +011101010001 +011101010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110001 +011001000001 +011101000001 +011101000001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +100001010010 +011101000001 +011101000001 +011101000001 +011000110001 +010100110000 +010100110000 +010000100000 +000100000000 +001000100001 +001001010110 +000101010110 +001001000110 +000101001000 +001001101010 +000101111010 +001010001011 +001110001001 +001000110010 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100100001 +000100100001 +000100100001 +001000100001 +001000100001 +001000100010 +001000110010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +011110001000 +011001110110 +000100100010 +000000110011 +000000100010 +000100010000 +001000010000 +001000010000 +001100010000 +100101100010 +101001100010 +011001000001 +010000110000 +010100110001 +011001000001 +011101000001 +010100110000 +010100110001 +010101000001 +011001000001 +011101100010 +100001100010 +100001100010 +100001110010 +100001100010 +100001110011 +100001100011 +011101100011 +011101100010 +011101010001 +011101010001 +011101010001 +011101010001 +011001000001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010010 +100001100010 +100001100010 +100001100010 +100001100001 +100001100001 +100001010001 +011101010001 +011101000001 +011000110001 +010000100000 +001000010000 +000100000000 +000100100010 +000000110100 +000000110100 +000000110100 +000000110101 +000000110101 +000000110100 +000000110100 +000000110100 +000000110101 +000001000101 +000001000110 +000001010111 +000001101000 +000101111001 +000101101001 +001101101000 +001001000110 +000100010010 +001101000100 +001101000100 +001101000100 +011001110111 +011010001000 +011001111000 +011110001000 +011101111000 +011110001000 +011110001000 +011101110110 +011101110110 +011001010101 +011101010100 +011101110111 +011001100110 +011001010100 +011001000011 +011001010100 +011101100101 +011001010100 +011101100101 +011101110111 +100010001000 +100010001000 +011101100110 +011101100110 +011101110111 +011001010101 +011001000011 +011001010100 +011101100101 +011001010100 +011001010100 +011001010101 +011101100101 +011101100110 +011101110111 +011001110111 +001100110100 +001100110011 +010101010101 +010101010101 +011001100110 +011001100101 +011101100110 +011101110111 +011101110110 +011101100101 +011001010100 +011101110110 +011101100101 +010101000011 +010101000011 +010101000100 +010101000011 +010000110010 +010101000011 +010101000100 +010000110010 +010101000010 +011001100101 +011001100101 +011001010100 +011101100101 +011001010100 +011001000011 +011001010011 +010101000011 +001100100001 +001100100001 +001100100010 +001100100010 +010101010100 +011001010100 +100001110110 +100101110110 +100001110110 +001100110011 +001100110011 +000100010001 +000100010001 +000100010001 +000100000000 +001000100001 +010000110011 +010001000011 +010101000100 +010101000100 +010101000011 +010000110010 +010101000011 +011001000011 +011001000011 +010000110010 +010000110010 +001100110011 +001100110011 +000100010010 +000000000001 +000100000001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010001 +000100010000 +000100010001 +011001100111 +011101110111 +011001000010 +100101100001 +110010000010 +110010010010 +101101110010 +101001110010 +011001000001 +010000100000 +011101010001 +101001100010 +101001110010 +101001110010 +110010000010 +011101010010 +001101000101 +001101010111 +000100010000 +001000010000 +001100100001 +001100100001 +001100100000 +010101000011 +010001000100 +001100110100 +001100110011 +000100010000 +000100000000 +010000100000 +100001000001 +011101000001 +011101000001 +011000110001 +011000110001 +011000110000 +011000110000 +011000100000 +010100100000 +010100100000 +010000100000 +010100110000 +011000110000 +010100110000 +010100100000 +011001000001 +011101000001 +011000110000 +011000110001 +010100110000 +010100110000 +010100110000 +010100100001 +001100100001 +000100010001 +000000010010 +000000100011 +000000110011 +000000110011 +000000110100 +000000110100 +000001000101 +000101000101 +000100110100 +001100110011 +001100110011 +000100100001 +001000110010 +010001000011 +001000100010 +000100100001 +001000100010 +001000100010 +001000010000 +001000100001 +001100110010 +001100110011 +001101000100 +010001000101 +010000110010 +011001000001 +011001000001 +011101010001 +011101000001 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101000001 +011001000001 +010000110001 +000100100001 +000100010000 +000100100010 +000101000110 +000001010110 +000101000101 +000100110011 +000000110100 +000001010110 +000001010110 +000001010110 +000001000110 +000101010110 +000101010110 +000101010110 +000101010111 +000101010111 +000101101000 +000101010110 +000100100001 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110100 +001001000110 +000000110011 +000101000100 +001001000011 +010001000010 +011001000001 +011101000001 +011001000001 +010000100000 +001100100000 +011101000001 +100001010001 +100101010001 +100101100001 +100101010001 +100001010010 +011101010001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +011101000001 +011101010001 +010100110001 +010101000001 +011001000001 +011101000001 +011101000001 +011101010001 +011101010001 +011101010010 +100001010010 +100001010001 +011101010001 +011101010001 +100001010010 +100101100010 +011101010001 +011101000001 +011101000001 +011001000001 +010100110000 +011000110000 +010100100000 +001000000000 +001000100001 +001001010110 +000101010110 +000101000110 +000101001000 +001001101010 +000101111010 +001010001011 +010010001001 +001000110010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100100001 +000100100001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100011 +011001110111 +010001010101 +000000100010 +000000110011 +000000100010 +000000010000 +001000010000 +001000010000 +001100010000 +100001100010 +100101100010 +011001000001 +010000100000 +010100110000 +011101000001 +011101010001 +010100110001 +010000100000 +010000100000 +010100110000 +011001000001 +011001010001 +100001100010 +100001100010 +011101100010 +100010000101 +100001110101 +100110000101 +100001110011 +011101010001 +011101010001 +011101000001 +011101010010 +011001000001 +011001000001 +011001010001 +011001000001 +011001000001 +011101010001 +011101010001 +100001100010 +100001100010 +100001100010 +100001010010 +100001010001 +011101010001 +011101000001 +011001000001 +010100110000 +010000100000 +001000000000 +001000010000 +001000110010 +000000100011 +000000100100 +000000110100 +000000110100 +000000110101 +000000110100 +000000110100 +000000110100 +000000110101 +000001000101 +000000110101 +000001000110 +000001011000 +000101111010 +001001101001 +001001010110 +001001010110 +000000010001 +001101000100 +001101000100 +001101000100 +011001110111 +011110001000 +011110001000 +011001111000 +011101111000 +011110001000 +011110001000 +011101111000 +011101110111 +011001010100 +011101100101 +011101111000 +011001100110 +011101100110 +011101110111 +011101110111 +011101100110 +011001010100 +011101100101 +011101110110 +011110001000 +100010001001 +011101110111 +011101100110 +011101110111 +011101100101 +011101010100 +011001010100 +011101100101 +011101010100 +011001010011 +011101010100 +011101100101 +011101100110 +011101110110 +011110001000 +010101010110 +010101010101 +011001100111 +011001100110 +011110001000 +011101111000 +010001000100 +100010001000 +100110011001 +011101100110 +010101010100 +011001100101 +011101100110 +011101100101 +011101100110 +011101100101 +011001010100 +010101000100 +010101000011 +010101010100 +010101000100 +010101000011 +011001010100 +011001010101 +011001010100 +011101100101 +011101010100 +011101010011 +011001010100 +011001100101 +011001010100 +010101000010 +011001010011 +010100110010 +010100110010 +010101000011 +011001010100 +010001000011 +001100110011 +001100110011 +001000100010 +001000010001 +000100000000 +001000010001 +001100110010 +010101000011 +010101000100 +010101000100 +011001010101 +011001010101 +011101010100 +011001010011 +010101000011 +011001010011 +011001010011 +010001000011 +001100110010 +001000100011 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010001 +010001000100 +011101110111 +011101100101 +011001000001 +101001110001 +110110010010 +110010000010 +101101110001 +100101100010 +010100110001 +011101000001 +100101100010 +101001110010 +101001110010 +101110000010 +100101100010 +001100100010 +001101010111 +001101000110 +001100100001 +001000010000 +001000010000 +001100100000 +010000110001 +010001000011 +001100110011 +001100110011 +001000100001 +000000000000 +000100010000 +011001000001 +100001000001 +011101000001 +011100110001 +011000110001 +011000110001 +011000110000 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +010100110001 +010100110000 +010100110000 +010100100000 +011001000001 +011001000001 +011000110001 +011000110001 +011100110001 +011100110001 +011000110000 +010100110000 +001100010000 +000100010001 +000000010010 +000000100011 +000000100011 +000000110100 +000000110100 +000001000101 +000101000101 +000101000101 +001000100011 +001000100011 +001000100011 +001000100010 +001000100001 +001100110010 +001000100010 +001100110011 +001000100010 +001100110001 +010100110000 +001100100001 +001100110011 +010001000101 +010001000100 +001100110010 +010100110001 +010100110000 +011001000001 +011101000001 +011101000001 +011101000001 +100001010001 +011101000001 +011101000001 +011101010001 +011101010001 +010001000001 +000100110001 +000100100010 +000100100001 +000100100001 +000101000101 +000101000110 +000100110100 +000100110011 +000000110100 +000101000110 +000001010110 +000101010110 +000001000101 +000101010110 +000101010110 +000101010110 +000101010111 +000101010111 +000101101000 +000101010110 +000100100001 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000110011 +001001010110 +000101000100 +000101000101 +000101000100 +010001000010 +011101010001 +011101010001 +011000110001 +010000100000 +001100100000 +011101000001 +100001010001 +100101010001 +100101100001 +100101010001 +100101100001 +100001010001 +011101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001100001 +100001100001 +100001100001 +011101010001 +011001000001 +010000110000 +011001000001 +100001010001 +011101000001 +011001000001 +011101000001 +100001010001 +011101010001 +100001010001 +011101010001 +100001010001 +011101010001 +011101010001 +100001100010 +100001100001 +011101000001 +011101000001 +011101000001 +011000110000 +011000110001 +010100100000 +001000010000 +001000010000 +001101010100 +000101010110 +000101000110 +000001001000 +000101101010 +000101111010 +001010011100 +010010001001 +001000110010 +001000100010 +001000100001 +001000100001 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000110100 +001101010110 +001101000100 +000000100011 +000000100011 +000000010001 +000100010000 +001000010000 +001000010000 +001000010000 +011101010010 +100101100010 +011000110000 +010000100000 +010000110000 +011001000001 +011101000001 +010100110000 +010000110000 +010000110001 +010000110000 +010100110001 +010000110000 +011001010001 +100001100010 +011101100010 +100010000100 +100010000110 +100110011000 +100110000110 +100001110011 +011101010010 +011101010010 +011001000001 +010100110001 +010101000001 +010101000001 +011001000001 +011001010001 +011101010001 +011101010001 +100001100010 +100101100010 +100001100010 +100001010010 +100001010001 +011101010001 +011101000001 +011001000001 +010000110000 +001100100000 +001000000000 +001100010000 +001100110010 +000000110011 +000000100100 +000000110100 +000000110100 +000000110101 +000000110101 +000000110100 +000000100100 +000000110100 +000001000101 +000000110101 +000001000110 +000001000111 +000101111010 +000101010111 +001001000110 +001001000110 +000000010001 +001101000100 +001101000100 +001101000100 +011101110111 +011110001000 +011110001000 +011101111000 +011001111000 +011001110111 +011101110111 +011101111000 +011101110111 +011101100110 +011101110111 +011101111000 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011101110110 +011101110111 +011101110110 +011101110110 +011101100110 +011101100100 +011101010100 +011101010100 +011001010100 +011101100101 +011101100110 +011101110110 +011110001000 +011001100110 +011101110110 +011101100110 +011101110110 +011110001000 +011110001000 +010101100101 +100010001000 +100110011010 +011001100110 +010000110011 +001100110011 +011101110110 +100010001000 +011101110111 +011101100110 +011001100101 +011101100110 +011101110111 +011101110111 +100001110111 +011101110110 +010001000011 +010101000011 +011001000011 +011101100101 +010101000011 +010101000011 +010101010100 +011101110110 +100010000111 +011001010100 +011001000011 +011001000011 +011001010011 +011001000011 +010101000011 +010000110010 +000100010010 +001000100010 +000100010001 +001000010001 +000100010001 +010001000011 +010101000011 +010101000011 +011001000100 +010101000011 +010101000011 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +010001000011 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010000 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100010 +001100110010 +001100100001 +010100110000 +100101100010 +101110000011 +101110000010 +101001110010 +100001010010 +011000110001 +100001010001 +100101100010 +101001110010 +101001110010 +101001110010 +010100110001 +001000110100 +001101011000 +011001100110 +010101000010 +001100100000 +001000010000 +001100100000 +010101000010 +001100100010 +001000100001 +001000100001 +001000010000 +000000000000 +001100100000 +011001000001 +100001000001 +011101000001 +011000110001 +011000110000 +011000110000 +010100110000 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +010100110000 +011000110001 +010100110000 +010000100000 +011000110001 +011100110001 +011101000001 +011100110001 +011100110001 +011000110001 +010100110000 +010000100000 +001000010000 +000000010001 +000000010010 +000000010010 +000000100011 +000100110100 +000100110100 +000101000101 +000101000110 +001001000100 +000100010001 +000000000000 +000100100010 +001000100010 +001100110010 +001000100001 +001000100001 +001100110010 +010101000010 +011101000001 +011000110001 +001100110001 +001100110011 +010001000100 +010001000100 +010001000011 +010000110001 +010000110000 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101010010 +010000110001 +000100110010 +000100110011 +000100110011 +000100100001 +000100100001 +000000110100 +000101000101 +000100110100 +000000110011 +000100110100 +000101000110 +000101010110 +000101010110 +000001000101 +000101010110 +000101010110 +000101010110 +000101010111 +000101010111 +000101101000 +001001010110 +000100100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000100001 +001000110011 +000101000110 +000101000100 +000101010101 +001001010100 +010101010010 +100001100010 +011101010001 +011000110001 +010000100000 +001100100000 +011101000001 +011101010001 +100001010001 +100101010001 +100101100010 +100101100001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010010 +011101010001 +011001000001 +011001000001 +011001000001 +011101010001 +011101000001 +011101000001 +100001010001 +100001100010 +011101010001 +011101010001 +011101000001 +100001010001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011001000001 +011000110000 +010100100000 +001100010000 +001000010000 +001101000011 +000101010110 +000101000110 +000101001000 +001001101010 +000101111010 +001010011100 +001110001001 +001000110010 +001000100001 +001000100001 +001000100001 +001000100010 +001000110010 +001100110011 +001000110010 +001000100010 +001000100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000000001 +001001000101 +000100110100 +000100110011 +000000110011 +000000110011 +000000100001 +000100010000 +001000010000 +001000010000 +001000000000 +011001000001 +100001010001 +011001000001 +010000100000 +010000110000 +010100110001 +010100110000 +010000110000 +010100110000 +010000110000 +010000110000 +010100110001 +010100110000 +011001000001 +011101010010 +011101100010 +100101110011 +100110000101 +100110011000 +100110011000 +100110000101 +100001100010 +011101010001 +011001010001 +010101000001 +010000110000 +010101000001 +011001000001 +011001010010 +011101010010 +011101010001 +100001010001 +100001100010 +100001100010 +100001010001 +100001010001 +011101010001 +011101000001 +010100110000 +010000100000 +001000010000 +000100000000 +010000110001 +001000110010 +000000100011 +000000100100 +000000110100 +000000110100 +000000110101 +000000110101 +000000100100 +000000100100 +000000110101 +000001000101 +000001000101 +000001000110 +000001000110 +000101101001 +000101000110 +001001010111 +000100100100 +000000010001 +001101000100 +001101000100 +001101000100 +011101111000 +011101111000 +011110001000 +011110001001 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011101110111 +011101110111 +011110001000 +011101110111 +011101110111 +011101110110 +011101100101 +011101010101 +011101100110 +011101100110 +011101110110 +011101110110 +100001110111 +011101111000 +011101100110 +011101100101 +011101100110 +011101100101 +011101100101 +100001110111 +100010000111 +100010001000 +100010001000 +100010001001 +011101111000 +011101100110 +100001110110 +100010000111 +100010000111 +100001110111 +100010000111 +010101010101 +010001000100 +011101110110 +011001100110 +011101110110 +011101110110 +100001110110 +100001110110 +011101110110 +011001010100 +010101000011 +011001010100 +010101000100 +011101110110 +011101100110 +010000110010 +010101000011 +011101100101 +011001010100 +011001010100 +010101000100 +000100010010 +000100010001 +000100000000 +000100010000 +001100100001 +010101000011 +010101000011 +010000110010 +010101000011 +010101000011 +010000110011 +010000110011 +010101000011 +010000110011 +010000110010 +010000110010 +000100010001 +000000000000 +000100010001 +000100010001 +001000010001 +000100010000 +000000000000 +000000000000 +000100000000 +000100010000 +001000010001 +001000100010 +001100100010 +001100110010 +001100100010 +001000100001 +001100100001 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100001 +010000110001 +010101000010 +011001010010 +011101010010 +011001000001 +100001100010 +100101110010 +101001110010 +101001100001 +101101110010 +011101000001 +001000100010 +000101000110 +001101010111 +011001100110 +011101100100 +011101010011 +011101010010 +100001100011 +001000100000 +001000010001 +001000100001 +001000100001 +000100010000 +000100000000 +001100100000 +011000110001 +011101000001 +011100110001 +011000110000 +011000110000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010000010000 +010000100000 +010100110000 +010100110000 +010000100000 +010000100000 +011000110001 +011101000001 +011101000001 +011100110001 +011100110000 +011000110000 +010100100000 +001100100000 +000100010000 +000000010010 +000000010010 +000000010011 +000000100011 +000000100011 +000000100011 +000101000101 +001001010101 +000100100010 +000000000000 +000100010001 +001101000100 +010101010110 +001100110010 +001100100010 +001000100010 +010000110001 +011101000001 +011101000001 +010100110001 +001100100001 +001000100001 +001100110011 +010001000100 +010001000100 +010000110010 +010000110001 +010101000001 +011001000001 +010101000001 +011001000001 +011101010001 +010101000001 +000100100010 +000000110011 +000101000100 +000100110010 +000100100001 +000100100001 +000100100011 +000000110011 +000100110011 +000000100011 +000000100011 +000101000101 +000101000110 +000101000101 +000001000101 +000001010110 +000101000110 +000101010110 +000101010111 +000101010111 +000101101000 +001001010111 +000100100001 +001100110010 +010000110011 +010000110011 +001100110011 +001100110010 +001100110010 +001100110010 +001000100010 +001000100011 +000100110101 +000100110011 +000101000100 +000101000011 +010101010010 +100001100001 +011101010001 +011000110001 +010000100000 +010000100000 +011101000001 +100001010001 +100101010001 +100101010001 +100101100010 +101001100010 +100101100001 +100001010001 +100001010001 +100001010001 +100101100010 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011001000001 +011101000001 +011101000001 +011001000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +100001010001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010010 +100001010001 +011101000001 +011101000001 +011000110000 +010100100000 +001100010000 +001000010000 +010001000011 +000101010110 +000100110110 +000101000111 +001001101010 +001001111011 +001010011100 +001110001001 +001000110010 +001000100001 +001000100001 +001000100010 +001000100010 +001000110010 +001000110010 +001000100010 +001000100001 +000100100001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001001000101 +000100110100 +000100110011 +000000110011 +000000100010 +000100100001 +001100100000 +001100010000 +001000010000 +001000000000 +010100110001 +100001010001 +011101000001 +010000100000 +010000100000 +010000110000 +010000100000 +010000100000 +010000110000 +010100110001 +010000110000 +010100110001 +010100110001 +011001000001 +011101010001 +100001100010 +100001110010 +100110000011 +100110000110 +100010001000 +100110000101 +100001110010 +011101100001 +011101100010 +011001010001 +010101000001 +011001010010 +011101100011 +011101110100 +100001100010 +011101010001 +011101010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011101000001 +010100110001 +001100100000 +001000010000 +001000010000 +011001000010 +001100110001 +000000100010 +000000100100 +000000100100 +000000110100 +000000110101 +000000110101 +000000100011 +000000100100 +000000110101 +000000110101 +000001000101 +000001000110 +000001000110 +000001101000 +000101000110 +000101101000 +000000100011 +000100010010 +001101000100 +001101000100 +001101000100 +011110001000 +011101111000 +011110001000 +011110001001 +011110001000 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011110001000 +100010001000 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +100001110110 +100001110111 +011101111000 +011110001000 +100010001000 +011101110111 +011101110111 +011101110111 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011101100101 +011101100101 +011101110110 +011101110110 +100010001000 +100010001000 +100001110111 +011101110110 +011101110110 +011101110110 +011001010100 +011101100100 +011001100101 +010101010101 +011101100110 +011001010101 +011101100110 +011101100110 +011101110110 +011101110110 +011101100101 +010101000011 +011001010101 +011001100101 +011101100101 +011001010100 +011001010100 +010100110010 +010100110010 +011101010100 +011101100100 +011101100100 +010101000100 +000100010010 +000000000000 +000000000000 +001000100001 +010101000011 +010101000011 +010000110010 +010101000011 +010101000011 +010000110010 +010101000011 +001100100010 +010000110010 +001100100010 +001100100010 +001000010001 +000000000000 +000100010001 +000000000000 +000100010000 +000100010000 +000100000000 +000100010000 +001000100001 +001000100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001000010001 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000100001 +001100100010 +010000110010 +001100110010 +010000110011 +010101000011 +011101100100 +110010100111 +110010100111 +110010100110 +110010010101 +101110010100 +100101110011 +010001000001 +000100110011 +001001000110 +010001010111 +010101100101 +011001100101 +011001010100 +010101000010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010000 +001000010000 +001100100000 +011000110001 +011101000001 +011100110000 +011100110000 +011000110000 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +001100010000 +010000100000 +010100100000 +010000100000 +001100010000 +001100010000 +011000110001 +011100110001 +011100110001 +011100110000 +011000110000 +010100100000 +010000100000 +001000010000 +000100010001 +000000010010 +000000010011 +000000010011 +000000100011 +000000010010 +000100100011 +000100110100 +000100100010 +000100010001 +000000000000 +001100110100 +010001000100 +001100110010 +001100100010 +001000100010 +000100010000 +011001000001 +011101000001 +011101000001 +010100110001 +001100100001 +001100100010 +001100110011 +010001000100 +010001000100 +010000110010 +010100110001 +010000110000 +010000110000 +011001000001 +011001000001 +001000110001 +000100110011 +000101000100 +000101000100 +000100100010 +000100100010 +000000100010 +000100100011 +000000100010 +000000010001 +000100100011 +000000100011 +000100110101 +000101000110 +000101000101 +000000110101 +000001010110 +000101000110 +000001010110 +000101010111 +000101010111 +000101101000 +001001100111 +000100100010 +010000110010 +010000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110010 +001000100010 +001000110100 +000100110011 +000101000100 +000100110011 +010101010010 +100001100010 +011101010001 +011000110001 +001100100000 +010000100000 +011101000001 +100001010001 +100101010001 +100101010001 +100101010010 +101001100010 +100101100001 +100101010001 +100101010001 +100101100010 +100101010001 +100001010001 +100001010001 +100001010001 +011101010001 +011001000001 +010100110001 +011001000001 +011101010001 +100001010001 +100001010001 +011101000001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101010001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110000 +010100100000 +001100010000 +001000010000 +010001000011 +000101010110 +000000110101 +000101000111 +000101011010 +001010001011 +001010011100 +001110001001 +001000110010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001001000101 +000000100100 +000100110011 +000100110011 +000000010001 +001000100000 +001100100000 +001100010000 +001000010000 +001000000000 +001100100000 +011101010001 +011101000001 +010000100000 +010000100000 +010000100000 +010000110000 +010000110000 +010000110000 +010000110001 +010100110000 +010100110000 +010100110000 +010101000000 +011101010001 +100001100010 +100001110010 +100110000010 +100110000100 +100010000110 +100001110100 +100001110010 +100001100010 +100001100010 +011001010010 +011001010011 +011101100011 +100001110100 +100010000101 +100001100011 +011101010001 +011101000001 +011101010001 +100001010001 +011101010001 +011101000001 +011101000001 +011001000001 +010100110001 +001100100000 +000100000000 +001100100001 +011001010010 +010000110001 +001000100010 +000000100011 +000000110100 +000000110100 +000000110101 +000000110100 +000000100011 +000000100011 +000000110101 +000001000101 +000001000101 +000000110100 +000000110101 +000001011000 +000001000110 +001001111010 +000100110101 +000100100010 +001101000100 +001101000011 +001101000100 +011101110111 +011101110111 +011101111000 +011110001001 +100010001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011110000111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011110001001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001000 +011110001000 +011101110111 +011101111000 +100010001000 +011110001000 +011110001000 +011110001000 +100001110111 +011101110110 +011101100110 +011101100101 +011001010100 +011101010100 +011101100101 +011101100101 +011101100100 +011101100101 +011101100101 +011101100110 +011101100110 +100001110110 +100001110111 +011101110110 +011101100101 +011101100100 +011101010011 +011101100101 +011101100110 +011101100110 +011101100110 +011101100101 +011001010100 +100001110111 +100001110111 +100001110110 +011001010100 +010101010100 +011001100101 +100001110110 +011001010100 +010101000011 +011001010011 +010101000010 +010101000010 +011101010011 +011101100101 +010101000100 +000100010001 +000000000000 +000000000000 +000100010000 +010000110011 +011001010100 +011001010100 +011001010100 +011001010100 +010101000011 +010101000010 +010101000010 +010000110010 +001100100001 +001100100010 +000000000000 +000100010001 +000100010001 +000000000000 +000000000000 +001000010001 +001000100001 +001000010001 +001000100001 +010000110010 +010000110010 +001100100010 +010000110010 +001100110010 +001100100010 +001100100010 +001100100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100001 +001100100010 +001100100010 +010000110011 +010000110011 +010000110011 +010101000011 +100001110101 +101110010110 +101110010111 +110010100111 +101110100110 +110010100111 +110010101000 +101110100111 +101010101000 +011110000111 +011101110111 +011001110110 +010101100101 +010001000100 +001100110010 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100110010 +000100010001 +001100100001 +010000100000 +010100110000 +011101000001 +011100110001 +011000110001 +011000110001 +010100100000 +010100100000 +010000100000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +001100010000 +011000110001 +011101000001 +011100110001 +011100110001 +011000110000 +010000100000 +001100100000 +001000010000 +000100010001 +000000010010 +000000010010 +000100100011 +000100100011 +000000010010 +000100010011 +000100010011 +000100100011 +000100100011 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +000100010000 +001100100000 +011101000010 +011001000001 +011101000001 +011101000001 +011001000001 +010100110001 +010100110010 +010101000010 +010100110001 +011000110000 +010000110000 +001100110001 +010101000010 +001100110001 +000100110010 +000100110011 +000100110100 +000100110011 +000100100011 +000100100011 +000100100010 +000000100010 +000100100010 +000000010001 +000100100011 +000000010010 +000100110100 +000101000110 +000101000101 +000000110100 +000001000110 +000101000110 +000001000110 +000101010110 +000101010111 +000101101000 +001001101000 +001000100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100010 +001000110011 +000100100001 +000101000100 +000101000100 +010001000010 +011101010010 +100001010001 +011000110000 +001100100000 +001100100000 +011101000001 +100001010001 +100101010001 +100101010001 +100101010010 +101001100010 +100101100001 +100101100010 +100101010001 +100101010010 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011001000001 +011001000001 +011001000001 +011101010001 +100001010001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110000 +010100100000 +001100010000 +001000010000 +010001000011 +000101010101 +000000110101 +000100110111 +001001011010 +001010001011 +001010011100 +001101111000 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001001000101 +000000100011 +000100100011 +000000100010 +000000100001 +001000100000 +001100100000 +001100010000 +001100010000 +001000000000 +001000010000 +011001000001 +011101000001 +010100110000 +010000110000 +001100100000 +010000110000 +010000110001 +001100100000 +001100100000 +010000110001 +011001010001 +011001000001 +010100110000 +011001000001 +100001100010 +100001100010 +100001110010 +100001110010 +100001110011 +100001110011 +100001100010 +100001100010 +011101100001 +011101100010 +011101100011 +100001110011 +100001110011 +100001110100 +100001110011 +011101010010 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101000001 +011000110001 +010000110001 +001000010000 +000100000000 +001100100000 +011001000001 +010000110001 +001100110001 +000000100011 +000000110100 +000000100100 +000000110100 +000000110100 +000000100011 +000000100011 +000000110100 +000000110101 +000000110100 +000000100011 +000000110101 +000101010111 +000001000111 +001110001011 +001001011000 +001000110011 +001101000100 +001101000100 +001101000100 +011101110110 +011101100110 +011101110111 +100010001000 +100010001000 +011101111000 +011101110111 +011101111000 +011110001000 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +011110001000 +011101110111 +011101110111 +100001110111 +011101100101 +011101100101 +011101110110 +011101100110 +011101100101 +011101100110 +100001110111 +100001110111 +011101100101 +011101100100 +011101100100 +011101100101 +100001110101 +100001100101 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +100001110110 +011101100110 +011101100101 +100001110110 +011101100101 +010000110011 +100001110111 +100010001000 +100010000111 +010000110010 +010000110010 +011001010100 +010101000011 +011001010011 +011101100101 +010101010100 +000100010001 +000100010001 +001000010001 +001100100010 +010101000010 +011101010100 +011101100100 +011001010100 +011001010011 +011101100101 +011101100101 +011001010011 +011001000011 +011001000011 +001000010001 +000100000000 +001000010001 +000100000000 +000100010001 +000100000000 +001100100001 +010101000011 +010101010100 +001100110010 +001100110010 +001100100010 +001100110010 +001100100010 +010000110010 +010000110011 +010000110011 +010000110010 +001100100010 +001100100001 +001100100010 +010000110010 +010000110010 +010000110010 +010000110010 +001100100010 +010000110010 +010000110010 +010101000011 +100101110101 +101010000101 +101110010110 +101110010110 +101110010110 +110010100111 +101110100111 +101110100111 +110010111000 +101110100111 +110010111000 +110010111000 +101010010111 +100110000110 +011101100101 +010101000010 +001100110001 +001100110010 +001100110010 +010001000011 +010101010100 +010101010100 +001100100001 +010000110001 +001000100000 +010000100001 +011001000001 +010100110001 +010100110000 +010000100000 +010000100000 +010000100000 +001100100000 +001100100000 +010000100000 +010100100000 +010100100000 +010100100000 +010100100000 +011000110000 +011101000001 +011100110001 +011000110000 +010100110000 +010000100000 +001100010000 +000100010000 +000100010001 +000000010001 +000000010010 +000000010011 +000100100100 +000000100011 +000100010010 +000100010011 +000100100011 +001000110100 +001000100011 +000100010001 +000100100010 +000100010001 +001100110011 +001101000100 +001000100001 +001000010000 +011001000001 +011101010001 +011001000001 +011101000001 +011101000001 +011001000001 +011000110001 +011000110001 +011000110001 +011000110000 +010000110001 +001000110010 +000100110010 +000100110011 +000000110011 +000100110011 +000100110011 +000100110010 +000000100010 +000000100011 +000100110011 +000100100010 +000000100010 +000000100010 +000100100011 +000100010010 +000000100011 +000100110101 +000101000101 +000000110100 +000001000110 +000001000101 +000001000110 +000101010110 +000101000110 +000101101000 +001001101000 +001000110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100001 +001000110011 +000100100001 +000101000100 +000101000100 +001101000010 +011001010010 +100001010001 +011001000001 +010000100000 +001100010000 +011101000001 +100001010001 +100101010001 +100101010001 +100101010001 +100101100001 +100101100001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101010001 +100001010001 +011101000001 +011001000001 +011101010001 +011101000001 +011101000001 +011101010001 +011101010001 +011101010001 +011101010001 +011101010001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +010100110000 +001100010000 +001000010000 +010101000011 +000101000101 +000000110100 +000101000111 +001001011010 +001001111011 +001010011100 +001101111000 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100100001 +000100100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000110100 +000000100011 +000000100010 +000000110011 +000000100001 +001000010000 +001100100000 +001100100000 +001100010000 +001000000000 +001000000000 +011000110001 +011001000001 +010100110000 +010100110001 +001100100000 +010000100000 +010000110000 +001100100000 +001100010000 +010000100000 +011001000001 +011001000001 +010100110000 +011001000001 +011101100010 +100001100010 +011101010010 +011101100001 +011101100010 +011101100010 +011101010010 +011101010001 +011101010001 +011101100010 +100001110011 +100001110010 +100001100010 +100001100010 +100001100010 +011101010001 +100001010010 +100001010001 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +001100100000 +001000010000 +000100000000 +001000010000 +010101000010 +010101000010 +001100100001 +000000110011 +000000110100 +000000100011 +000000100011 +000000100011 +000000100011 +000000100011 +000000100100 +000000110101 +000000100100 +000000100011 +000000110101 +000000110110 +000001010111 +001110001011 +001101111010 +001001000100 +001101000100 +001101000100 +001101000100 +011101100110 +011101100110 +011101110110 +011101110111 +100010001000 +011101111000 +011101110111 +011101111000 +011110001000 +011101111000 +011101110111 +011101110111 +011110001000 +100010001000 +100001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +011110001000 +011110001000 +100010001001 +100010001001 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +011110001000 +100010001001 +011101110111 +011101110110 +011101110111 +100001110111 +100001110111 +100001110111 +100010001000 +011101100110 +011101100101 +011101110110 +100010000111 +100010001000 +100001110110 +011101100101 +011101100101 +011101100101 +011101110110 +100001110110 +100001100101 +011101100100 +011101010011 +100001100100 +100001100100 +011101010100 +011101100101 +011001010101 +011101100101 +100001110110 +100001110111 +011101100110 +011101110110 +100001110110 +100001110110 +001100110010 +001100110010 +011101100101 +011101100101 +010101000011 +010101000011 +010101000011 +000100000001 +000000000000 +001000010001 +010000110010 +010000110010 +010101000010 +011001010100 +011001010100 +011001010100 +011101110111 +011101110111 +011001100101 +011001010011 +011101010011 +001000010000 +000100010001 +000100010001 +000000000000 +000100000000 +001100100001 +010000110010 +010101000011 +010101000011 +010000110010 +001100110010 +001000100001 +001000100001 +001000010001 +001100100010 +010000110010 +010000110010 +010000110010 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010101000011 +010000110011 +010101000011 +010000110011 +011101010100 +101010010110 +101010010110 +101010000110 +101110010110 +101010000110 +101010000110 +101110100111 +101110100111 +110010101000 +110010100111 +110010100111 +101110100111 +110010100111 +101110010110 +101110100111 +101110010110 +101010000101 +100110000110 +100110000111 +011101110101 +011101110110 +011101110110 +010101010100 +001100110010 +001000100001 +001100100010 +001100110010 +001100100001 +001000100000 +001000010000 +001100010000 +001100100000 +001100100000 +010000100000 +010000100000 +010100100000 +010100100000 +011100110001 +011000110001 +010101000010 +011101000001 +011000110001 +011000110000 +010100100000 +001100100000 +001000010000 +000100010001 +000000010001 +000100010001 +000000010010 +000000010010 +000100100011 +000100010010 +000000010010 +000100010010 +000100100011 +000100110100 +000100100100 +001000100010 +001000100010 +001000100011 +010001010101 +001101000100 +001100110011 +000100010001 +001100100000 +011101010001 +011101000001 +011101000001 +011101000001 +011001000001 +010100110000 +011000110000 +011000110001 +011000110000 +010100110001 +001100110001 +001000110011 +000100110011 +000100110011 +001000110010 +010000110001 +000100100010 +000000100011 +000100110011 +000100110100 +000000100011 +000000100010 +000100110011 +000000100010 +000100010011 +000000010010 +000000100011 +000000110100 +000000110100 +000101000101 +000001000110 +000001010110 +000101010110 +000101000110 +000101101000 +001001101000 +001001000100 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110011 +000100100001 +000100110010 +000100110011 +000100110010 +010101000010 +100001010001 +011101000001 +010000100000 +001100010000 +011101000001 +011101000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101000001 +011101010001 +011101010010 +011101010001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +010100110000 +001100010000 +001000010000 +010101000010 +000101000101 +000000110100 +000100110111 +001001101010 +001001111011 +001110011100 +001101101000 +001000100010 +001000110010 +001000100010 +001000100010 +001000100010 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000110011 +000000010010 +000000100010 +000000100010 +000100100001 +001100100000 +010000100000 +001100100000 +001100010000 +001000000000 +000100000000 +011001000001 +011101000001 +011000110000 +010100110001 +001100100000 +001100100000 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000110000 +010100110001 +011001000001 +011101010001 +011001010001 +010101000001 +010101000001 +011001000001 +011001000001 +011001000001 +011101010001 +011101010001 +011101100010 +100001110010 +100001100010 +011101010001 +011101010001 +100001010010 +011101010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101000001 +011001000001 +010100110001 +001100100000 +001000010000 +000100000000 +001100100000 +010101000011 +010001010101 +001100110010 +000000100011 +000000100011 +000000100011 +000000100100 +000000100100 +000000100100 +000000100100 +000000100100 +000000110100 +000000100100 +000000100011 +000000110100 +000000110101 +000101101000 +001110011100 +001110001011 +001001000100 +001101000100 +001101000100 +001101000100 +011101111000 +011101110111 +011101110111 +011101110111 +011101111000 +011101111000 +011101110111 +011101111000 +100010001000 +100010001000 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011110001000 +100010001001 +011110001001 +011110001000 +011110001001 +011110001000 +011101110111 +100001110110 +100001110111 +100010001000 +011101110110 +011101100110 +011101100101 +011101110110 +100010000111 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101100101 +011101110110 +100001110110 +011101100101 +100001110110 +011101100101 +011101010100 +011101100100 +011101010100 +011101100100 +011101100100 +011101100101 +100001110110 +100001110111 +100010000111 +100001110110 +011101100101 +011101100101 +011001010100 +011101100101 +011101100101 +011101100101 +010101000011 +000100000000 +001100100010 +001000010001 +000100010000 +010000110011 +010101010100 +010101000011 +010001000011 +011001100101 +011001010101 +011101110110 +011110001001 +011110001000 +011101111000 +011001100101 +011101010011 +001100100001 +000100010001 +000000000001 +000000000000 +000100010000 +010000110010 +010101000011 +011001010100 +011001010011 +011001000011 +010101000011 +010000110011 +010000110010 +010000110010 +010000110010 +001100100010 +001000100001 +001000010001 +001100100010 +001100100010 +001100100010 +001100100011 +001100100010 +010000110011 +010101000011 +010101000011 +011001000100 +011001010100 +101010000110 +101110010110 +101110010110 +101010000110 +101010000110 +101010000110 +101110010111 +101110010111 +101110010111 +101110100111 +101110100111 +110010111000 +101110010111 +110010100111 +110010100111 +101110100110 +110010100111 +101110010110 +101110010110 +110010100111 +110010110111 +110010111000 +011101100101 +010000110100 +001100110011 +001000100011 +001000100010 +001000010001 +001000100010 +000100010001 +000100000000 +001000010001 +001000010000 +001000010000 +001100100000 +001100100000 +010000110000 +010100110001 +011101000001 +010000110010 +001100100010 +010100110001 +010100110000 +010100100000 +010000100000 +010000100000 +001100100001 +000100100001 +000100100001 +000100010001 +000100010010 +000100010011 +000000100011 +000100010010 +000000010010 +000100010010 +000100100011 +000100100100 +000100110101 +010001010110 +010101010110 +010101100110 +010001010101 +001101000101 +010001000100 +001100110011 +000100010000 +010100110001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110001 +010000110001 +010000110001 +010000110001 +010100110001 +010100110000 +001000100001 +000000100011 +000000110011 +000100110100 +000000100011 +000000100011 +000101000101 +000100100011 +000100100011 +000000010001 +000000010010 +000000100011 +000000100100 +000101000101 +000001000110 +000001010110 +000101000110 +000001000110 +000101101000 +001001101000 +001001000100 +001100110010 +001100110010 +001100110010 +010000110010 +010000110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000110001 +000000010000 +000100110011 +000000110011 +001100110001 +011101010001 +011101000001 +010100110001 +001000010000 +011000110001 +011101000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101100010 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011101000001 +011101010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011000110000 +001100010000 +001000010000 +010001000010 +000101000100 +000000100011 +000000110111 +001001101010 +001010001011 +001110011100 +001101100111 +001000110010 +001100110011 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001000110010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100011 +000000010001 +000000100010 +000000010001 +001000100001 +010100110000 +010000100000 +010000100000 +001100010000 +001000000000 +001000000000 +011000110001 +011101000001 +011001000001 +010000100000 +001100010000 +001000010000 +001100100000 +001100100000 +010000100000 +001100100000 +001100100000 +010100110001 +010100110001 +011001000001 +011001000001 +011001000001 +010000110000 +010000110000 +010100110001 +010100110000 +010100110000 +011001000001 +011001010001 +011001000001 +011101100010 +011101010001 +011001000001 +011101010001 +011101010001 +011101010001 +011101010001 +011101000001 +011101000001 +011101010001 +011101000001 +010100110001 +010000100000 +001100010000 +001000010000 +000100000000 +001000010000 +010001000011 +010001010110 +010001010101 +000100100100 +000000100011 +000000100011 +000000100100 +000000100100 +000000110100 +000000110100 +000000100100 +000000110100 +000000110100 +000000100100 +000000110101 +000000110110 +001001111010 +001110011100 +001110001010 +001001000100 +001101000100 +001101000100 +001101000100 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +100001110111 +100001110111 +011101110111 +011110001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +011101110111 +100001110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +011101110111 +011101110111 +100010001000 +100010001000 +100010001001 +100010011001 +100010001001 +011110001000 +011101100110 +011101100110 +100010001000 +011101110110 +011001010011 +011101100100 +100001110111 +100001110111 +011101110110 +011101100101 +011101100101 +100001110110 +100001110110 +100001110101 +100001110110 +100010000111 +100001110110 +100001110101 +100001100101 +100001100101 +100001100100 +011101010011 +011101010011 +011101010100 +011101010100 +011101100101 +100001110110 +100001110110 +100001110110 +011101100101 +011101100100 +100001110110 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011101100101 +001100100010 +000100010000 +011101100101 +011001100101 +011101100110 +011001100101 +011001100110 +011101110110 +011101110111 +011101111000 +010101010110 +011110001000 +100010001000 +011101100101 +010101010100 +000100010001 +000000000000 +000100010000 +001000100001 +010000110010 +010101000010 +011001010011 +011001010011 +010101000010 +010101000011 +011001010100 +011001010100 +011001010011 +010101000011 +010101000011 +010000110010 +001100100001 +001000100001 +001000010001 +001000010001 +001000100001 +001000010001 +001100100010 +001000010001 +001100100010 +010000110011 +011101010100 +101110010111 +101110010111 +101110010111 +101110010110 +101110010110 +101010000101 +101110010110 +101010000101 +101110010111 +110010100111 +101110100111 +110010101000 +110110111000 +110010111000 +110010111000 +110010101000 +110010111000 +110010111000 +110010100111 +101110010110 +101110010110 +100110000101 +010101000011 +001100110011 +001000100011 +001000100011 +001000100010 +000100010001 +001000100010 +000100010010 +001000010010 +001000100010 +001000100010 +000100000000 +000100000000 +001000010000 +001100100001 +010101000010 +010000100001 +001100100010 +001000100010 +001000010001 +001100100000 +001100100000 +001100010000 +011000110001 +010100110001 +001100110001 +001000100001 +000100100001 +000100010001 +000100010011 +000100100100 +000100010011 +000100010100 +000100010010 +000100010010 +000100100100 +000100100100 +010001010110 +010101010110 +010001010101 +010001000100 +010001000100 +010001000100 +001100110011 +000100010001 +001100100000 +011001000001 +011101000001 +011000110000 +011000110001 +011101000001 +011001000001 +011001000001 +011000110000 +011000110000 +011000110000 +011000110000 +010100110000 +010100110000 +011000110000 +010100110000 +001100100001 +000100100010 +000000110011 +000000110100 +000000100011 +000000100011 +000100110100 +000000010010 +000100100011 +000000010010 +000000010010 +000000010010 +000000100011 +000100110101 +000001000101 +000001000110 +000101000101 +000001000110 +000101101000 +001001101000 +001101010101 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110001 +001100110010 +000100010000 +000100100010 +000000110011 +000100100001 +010000110001 +011001000001 +010100110001 +001000010000 +010100100000 +011101000001 +100001010001 +100001010001 +100101010001 +100101010001 +100101100010 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101010001 +011101000001 +011001000001 +011101000001 +011000110000 +011101000001 +011101000001 +011101000001 +011000110000 +011101000001 +011101000001 +100001010010 +100101010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011000110000 +001100010000 +001000010000 +010001000010 +000101000100 +000000100010 +000101000111 +001001101011 +001010001011 +001110011100 +001001010110 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100011 +000000010010 +000000100010 +000000100010 +001000100001 +010000110001 +010100110000 +010000100000 +001100010000 +000100000000 +001000000000 +011000110001 +011000110000 +011000110001 +010100110001 +001100100000 +001000010000 +001000010000 +001100100000 +010100110001 +010000100000 +010000110000 +010000100000 +010100110000 +011001000001 +011001000001 +011001000001 +010100110000 +010000100000 +010000110000 +010000110000 +010000110001 +011001000001 +011001000001 +011001000001 +011101010001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +010100110000 +001100100000 +001100010000 +001000010000 +001000000000 +000100010000 +001100110010 +010001000101 +010001010110 +000100100011 +000000010011 +000000100011 +000000100100 +000000100100 +000000110101 +000000110101 +000000110100 +000000110100 +000000110101 +000000110100 +000000110101 +000001000110 +001010001011 +001010011100 +001001111001 +001001000100 +001101000011 +001101000100 +001101000100 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +100010000111 +100001110111 +011101110111 +011110001000 +100010001000 +011101110111 +100010001000 +100010001000 +011101111000 +011110001000 +100010001001 +100010001000 +100001110111 +011101110111 +100001111000 +011101111000 +100010001000 +100010001001 +100010001000 +100001110111 +011101110111 +100001110111 +011101110111 +100010011001 +100010011001 +100010001001 +011110000111 +100001110110 +100001110111 +100010001001 +011101110111 +011101010100 +011101110110 +100010001000 +100010001000 +011110001000 +011110001000 +011101110110 +011101110110 +011101100100 +011101100100 +100001110110 +100001110111 +100001110110 +011101100100 +011101100101 +011101010011 +011101010011 +011001000011 +011101010011 +011101010011 +011101010011 +011101100100 +100001100101 +011101110101 +100001110101 +011101100101 +100010000111 +100001110110 +100001110110 +011101110110 +100001110110 +011101100101 +100001110101 +100001110101 +010101000011 +000000000000 +010000110010 +011101100110 +100001110111 +011001010101 +011001100110 +011101110111 +011001110111 +011110001000 +010101010101 +011001100110 +011001100110 +011101110110 +011001010101 +000100010001 +000000000000 +001000100001 +010000110010 +010000110010 +010101000011 +011001000011 +011001010100 +011001010101 +011001100110 +011101100110 +011001010100 +011001000011 +011001000011 +011101010100 +011101010100 +011001000011 +010101000011 +010000110010 +010000110010 +010000100001 +001000010000 +001000010001 +000100010000 +000100010000 +001000100001 +001100100001 +010100110010 +011101010100 +100001100100 +100101110101 +101010000110 +101010000110 +101110010110 +101110010110 +110010100111 +110010101000 +110010101000 +101110100111 +101110100111 +101110100111 +110010111000 +110110111000 +110110111000 +110010100111 +110010110111 +110010100110 +110010100111 +011101010100 +010001000011 +001000100010 +001000100010 +001000100011 +001000100010 +001000010010 +001000100010 +001100110011 +001000100010 +000100010001 +000100010001 +000100010000 +000100010000 +001100100001 +001100110010 +001000100010 +001000010010 +001000100010 +000100010001 +000100010001 +000100010000 +001000010000 +001100100000 +011101000001 +010100110000 +010000100001 +001000100001 +000000010000 +000100010000 +000100010001 +000100100100 +000100010100 +000100100100 +000100010011 +000100010011 +000100100011 +000100100011 +001101000110 +010001000100 +010001000011 +001101000011 +001101000011 +010001000011 +001100110010 +001000100001 +001000100000 +010101000001 +011101000001 +011101000001 +011000110000 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +011000110001 +010000110001 +000100100010 +000000100011 +000000110011 +000000100011 +000000100011 +000000100011 +000000010001 +000000100010 +000000100011 +000000010010 +000000010010 +000000100011 +000000100100 +000001000101 +000001000110 +000001000110 +000001000110 +000101101000 +001001101000 +001101010101 +001000100001 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001100110001 +001100100001 +000100010001 +000000010001 +000100110011 +000000100010 +000100100000 +010000110001 +010000110000 +001000010000 +001100100000 +011101000001 +011101000001 +100001010001 +100101010001 +100001010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010010 +100001010001 +100001000001 +011101000001 +011101000001 +100001010001 +011101000001 +011001000001 +011101000001 +011001000001 +011000110000 +011101000001 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100101010001 +100101010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +011101000001 +010100110000 +001100010000 +001000010000 +010001000010 +000100110011 +000000100010 +000001000110 +000101101010 +001001111011 +001110001100 +001001000101 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100011 +000000010010 +000000100010 +000000100010 +001100100001 +010100110000 +010100110000 +010000100000 +001100010000 +000100000000 +001100010000 +011000110001 +010100110000 +010100110000 +010100110001 +001100100000 +001100100000 +001100100001 +001100100000 +010100110001 +010100110000 +011000110001 +010100110000 +010000110000 +011000110001 +011001000001 +011001000001 +010100110000 +010000110000 +010000110000 +010000110000 +010000110001 +010100110001 +011001000001 +011001000001 +011101000001 +011001000001 +010100110000 +010100110000 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +010100110000 +010000110000 +010000100000 +001100100000 +001100010000 +001000000000 +000100010000 +001100110010 +010001000101 +001101000101 +000100010011 +000000010011 +000000100011 +000000100100 +000000100100 +000000110101 +000001000101 +000000110100 +000000110100 +000000110101 +000000110101 +000000110101 +000101010111 +001010001011 +001010001011 +001101111010 +001001000100 +001101000100 +001101000100 +001101000100 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001001 +100010001000 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001001 +100010001000 +100010001000 +100001111000 +011101110111 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001001 +100010001001 +100010000111 +011101100110 +011110001000 +100010001001 +100010001000 +100001110111 +011110001000 +100010001001 +100001110111 +011110001000 +011110001001 +100010011001 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +011101010100 +011101100100 +100001100101 +011101010100 +011101010010 +011001010011 +011001000010 +011001000010 +011101100100 +100001100101 +100001110101 +100001110110 +011101100100 +011101010100 +100001110110 +100001110111 +100001110110 +100001110110 +100001110110 +100001110111 +100001110110 +011101010100 +011001000011 +001000100001 +000000000000 +001100100010 +011001010101 +011101100110 +011101111000 +100010001000 +100010001000 +100010011001 +100010001001 +011110001000 +011001100110 +011001100110 +011001010101 +000100010000 +000000000000 +001100110010 +010000110010 +010101010100 +011001100101 +011101110111 +011101110111 +011001110111 +011001110111 +011101110110 +011001010011 +010100110001 +011001000010 +011101010011 +011101010011 +011001010011 +011101100100 +011001010011 +010101000010 +010101000011 +010100110010 +001100100001 +001100100001 +001000100001 +001100100001 +001000010001 +001000010001 +001000100001 +001000100010 +001100100010 +010000110010 +010101000011 +011101100100 +100101110101 +100110000110 +101010010110 +101110100111 +110010110111 +101110100111 +101110010111 +110010100111 +101110100111 +101110010110 +101110010111 +110010100111 +110010100111 +101010010110 +011001000100 +001000100010 +000100010010 +000100010010 +001000100010 +001000100011 +001000100010 +000100010001 +000100010001 +000100000000 +000100010000 +001000010001 +001000010000 +001000010001 +001100110011 +001000100010 +001000100010 +001000100010 +001000100011 +001000010010 +000100010001 +000000010001 +000100010001 +001100100001 +010000110010 +001100100010 +001000100010 +001100110011 +001000110011 +000100010000 +000100010001 +000100010011 +000100100100 +000100100100 +000100010011 +000100100011 +000100100100 +000100100011 +001101000101 +001100110011 +001100110010 +001100110010 +001100110010 +001100110010 +001100110010 +001000100001 +001000100001 +001100100000 +011101000001 +100001000001 +011001000001 +010100110000 +011000110001 +011001000001 +011000110001 +011001000001 +011000110001 +010100110000 +010100110000 +011000110001 +011000110000 +011000110000 +010100110001 +001000110010 +000000110100 +000000110100 +000000110011 +000000110100 +000000110011 +000000010001 +000000010010 +000000100011 +000000010010 +000000010010 +000000100011 +000000100011 +000000110100 +000001000101 +000001000110 +000001000110 +000101010111 +000101101000 +001001010101 +001000100001 +001000100001 +001000100010 +001000100010 +001000100001 +001000100010 +001100100010 +001100110010 +001100100010 +001000100001 +001000100001 +000100010001 +000000000000 +000100110011 +000000100011 +000000100010 +001100110001 +001100100000 +001000010000 +001000010000 +011101000001 +011101000001 +100001010001 +100001010001 +100001010001 +100101010001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +100001010001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +011101000001 +011101000001 +011101000001 +100001000001 +100001010001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +010100100000 +001000010000 +001100010000 +010001000010 +000100110011 +000000010001 +000100110110 +000101101010 +001001111011 +001101111010 +000100110100 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110010 +001000110010 +001100110011 +001000100011 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +000000010001 +000000100011 +000000100001 +001100100000 +010000110000 +010100110000 +010000100000 +001100010000 +000100000000 +010000100000 +011001000001 +011000110001 +010100110000 +010000110000 +010000100000 +001100010000 +001100010000 +001100100000 +010000110000 +010100110000 +011001000001 +011001000001 +010100110000 +010100110000 +010100110000 +011000110001 +010100110000 +010000100000 +010000110000 +010100110001 +010000110000 +010000110000 +010100110000 +010100110001 +011001000001 +010101000001 +010100110000 +010100110000 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +010100110001 +010000110000 +010000100000 +001100100000 +001100010000 +001000000000 +000100010000 +001100110010 +010001010101 +001000110011 +000000010011 +000000010011 +000000100011 +000000100100 +000000100100 +000000110101 +000001000101 +000000110101 +000000110101 +000000110100 +000000110101 +000000110101 +000101101000 +001010001011 +001010001011 +001110001010 +001001000100 +001101000100 +001101000100 +001101000100 +011101110111 +011101110110 +011101110111 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +100001111000 +011110001000 +100010001000 +100010001000 +011101110111 +011101110111 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +011110000111 +011110001001 +011110001001 +100010001000 +100010001000 +100010001000 +011001100100 +011110000111 +100010001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010000111 +100010000111 +011101100101 +011001000011 +011101100100 +011101010011 +011101100100 +100001110110 +011101010011 +011101010011 +100001110111 +100001110110 +011101110101 +100010000111 +011101100101 +011001000011 +011101100100 +100001110111 +100001110110 +100001110110 +100001110110 +100010000111 +011101100101 +011101100100 +011001000011 +011101100101 +010101010100 +010101010100 +011001010100 +011101110110 +011110001000 +011101110111 +011101110110 +100010001000 +100010001001 +100010001001 +100010011001 +100010001001 +011001010101 +000100000000 +010101010100 +011001010100 +010000110010 +001100100010 +010001000011 +011001100110 +011101111000 +011101110111 +011001110111 +011101111000 +011101110111 +011001010100 +011001000011 +011101010011 +011001000011 +011101010100 +100001110110 +011001010011 +011001000010 +010101000010 +011001010011 +011001010011 +010101000011 +010100110010 +010100110010 +010000100010 +010000110010 +010000110010 +001100100001 +001000100001 +001000010001 +001000010001 +001100100010 +001100100001 +010000110011 +011001010100 +011101010100 +100101110101 +101010000110 +101110010110 +101110100110 +101110100110 +110010100111 +110010100111 +110010100110 +110010100111 +100001100101 +010000110011 +001100100011 +001000100011 +000100010001 +001000100010 +001000100010 +000100010001 +000100000000 +000100010000 +001000010001 +001000010001 +001100100010 +001100100010 +001100100010 +001100100010 +000100010001 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +000100000000 +001000010001 +000100010001 +000100010000 +000000010001 +000100100011 +000100010100 +000100100100 +000100100100 +001000100101 +000000010011 +001000110011 +001100110010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +010100110001 +011101010001 +011001000001 +010100110001 +010000110000 +011000110001 +011000110001 +011000110000 +011000110001 +011000110001 +011000110001 +010100110000 +010100110000 +010100110001 +010100110000 +001100110001 +000100110011 +000000110100 +000000110100 +000100110100 +000000110011 +000000010010 +000000100010 +000000100011 +000000010010 +000000010010 +000000010010 +000100100011 +000000010011 +000000110100 +000101000101 +000001000110 +000101010111 +000101010111 +001001010101 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +000000000000 +000000010010 +000000100011 +000000100010 +001000100001 +010000110001 +000100010000 +000100000000 +011000110001 +100001000001 +100001000001 +100001010001 +100001010001 +100101010001 +100101010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +100001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011000110000 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +010100100000 +001000010000 +001100100000 +001000110010 +000100110011 +000000010001 +000100110110 +000101011001 +001001111100 +001101111001 +000100100010 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +000100010001 +000000010001 +000000100001 +001000100001 +010000100000 +010100110000 +010000100000 +001000010000 +001000000000 +010100110000 +011101000001 +011000110001 +011000110000 +010000100000 +010000110001 +010000100000 +001100100000 +010000110001 +010000110000 +010100110000 +011000110001 +011001000001 +011000110001 +010000110000 +010000100000 +010000110000 +010000110000 +010000110000 +010000100000 +010000100000 +010000110000 +010000110000 +010000100000 +010000100000 +010000100000 +010000110000 +010000110000 +010100110000 +010100110000 +011000110001 +011000110001 +011000110001 +010100110001 +010100110001 +010000110000 +010000100000 +010000110000 +010000100000 +001000010000 +001000000000 +000100010000 +001000100001 +001101000011 +001000110011 +000000010011 +000000010100 +000000100011 +000000100100 +000000100101 +000000110101 +000000110101 +000000110101 +000000110101 +000000110100 +000001000110 +000001000110 +000101111001 +001010001011 +000101111010 +001101111001 +001001000100 +001101000011 +001101000100 +001101000100 +011101110110 +011101100110 +011101110111 +011101111000 +011110001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +100001110111 +100001110111 +100001110111 +011101110111 +100010001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100001111000 +011110001000 +100010001001 +100010001000 +011101100110 +011101100101 +011101110110 +011101100101 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101100110 +011101100101 +011101100100 +011101010100 +100001110111 +011101010011 +011001000011 +100001110110 +100110001000 +011101100101 +011101110110 +100001110110 +011001010011 +011101010011 +011101100101 +011101100101 +100001110110 +100001110111 +100010000111 +011001010100 +011101100101 +011101010100 +011101100101 +011001010100 +011101110110 +100010000111 +100010000111 +011110000111 +011001010101 +011001000010 +011101110110 +100010000111 +011110001000 +100010011001 +100010001000 +010101010101 +000000000000 +011001100101 +011101100101 +011101100110 +010101000100 +010000110011 +011101111000 +011101110111 +011001100111 +011101110111 +011001100111 +011101110111 +011101110111 +100010001000 +100110001000 +011001000011 +011001000011 +100010001000 +011101111000 +011001000011 +011001000010 +010100110010 +010100110010 +011001000011 +010100110010 +011001010011 +010101000010 +010101000010 +011001010100 +011001010011 +011001010100 +010101000011 +010000110010 +001100100001 +010001000011 +001100110010 +001100110010 +001100100010 +001100100010 +001100100010 +011001010100 +011001010100 +011101100100 +100110000101 +101010010110 +101110100111 +101010000101 +010101000100 +001000100011 +001100110100 +001000100011 +001000010010 +001000100010 +000100010001 +001000010001 +001100100010 +001100100010 +001100100001 +001000100001 +001000010010 +001000100010 +001000100010 +001000100010 +001000100001 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001000110011 +001100110011 +001000100010 +000000000000 +001000010001 +000100010000 +000100010001 +000100010001 +000100010001 +000000000000 +000100010010 +000100010011 +001000100100 +000100010011 +001000110101 +000100100011 +001000100010 +001100100010 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001100100001 +011001000001 +011000110001 +010100110010 +010001000010 +001100100000 +010100110001 +011001000001 +011001000001 +011101000001 +011000110001 +010100110000 +010100110000 +010100110001 +010100110000 +010000110001 +001000100010 +000000110011 +000000110100 +000100110100 +000000110011 +000000100010 +000000100011 +000000100011 +000000010010 +000000010010 +000000010010 +000000010010 +000000010010 +000000010010 +000100110101 +000001000110 +000101010111 +000101010111 +001001000101 +000100010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001000100001 +000100010001 +000000000000 +000000100010 +000100100010 +001000010001 +001000100000 +001000010000 +000100000000 +010100110001 +100001010001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001010001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011101000001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +011101000001 +010100100000 +001000000000 +001100010000 +001000110010 +000000100011 +000000010001 +000100110110 +000101011001 +001001111100 +001001010111 +000100100010 +001000100001 +001000100001 +001000100001 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000110011 +001000110011 +001000110011 +001100110010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +001000100001 +000000010000 +000000100001 +001000100001 +010000110000 +010000100000 +001100100000 +001000010000 +001000000000 +010100110001 +011101000001 +011001000001 +011000110000 +010100110001 +010000100000 +010100110001 +001100100000 +010000100000 +010100110001 +010100110000 +010100110000 +011001000001 +011001000001 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000110000 +010000110000 +001100100000 +001100010000 +010000100000 +010000100000 +010000110000 +010100110000 +010100110000 +010100110000 +011000110001 +010100110001 +010000100000 +010000100000 +010000110000 +010000110000 +010000100000 +001000010000 +001000000000 +000100010000 +001000100010 +010001000100 +001000100011 +000000010011 +000000010100 +000000100100 +000000100100 +000000110101 +000000110101 +000001000101 +000000110101 +000000110101 +000000110101 +000001000110 +000001000110 +001010001010 +000101101001 +000101101001 +001001101000 +001001000100 +001101000011 +001101000100 +001101000100 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011101111000 +011101110111 +011101110111 +011101111000 +011110001000 +011101110111 +011101110110 +011101110111 +011101111000 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +100001110111 +011101110111 +011101110111 +100001111000 +100001111000 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010011001 +011101110110 +011101010011 +011101100100 +011101100101 +011101110110 +011101110111 +100001110111 +011101110110 +011101110110 +100010001000 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110110 +011101100101 +011101110110 +011101100101 +011101010011 +011101100101 +100010011001 +100010000111 +011101110111 +100010001000 +011101010100 +011000110010 +011001000011 +011101100101 +100001110110 +100001110110 +100010000111 +011101110110 +011101100101 +011101100101 +100010000111 +011001010011 +011101110101 +011101100110 +100001110110 +100010000111 +011101110110 +011001010011 +011101100100 +100010000111 +100010001001 +100010011001 +100010001000 +011101110111 +001000100010 +000100010001 +001100100001 +010101010100 +011001010101 +011101100101 +100010001000 +100010001001 +011110001000 +100010011001 +011110001000 +011101110111 +011001010101 +011101100110 +011101100101 +011001000011 +010100110010 +100001110111 +011110001000 +011101110111 +011101100100 +011001000011 +010100110010 +011101100101 +011001000011 +011101100100 +100001110110 +011101100101 +011101100101 +011001010011 +011001010011 +011001010100 +011001010100 +010101000011 +010101000011 +010000110010 +010101000010 +010101000011 +010000110011 +010101000011 +010101000100 +010101000011 +010000110010 +010000110011 +010100110011 +010101000011 +010101000011 +010000110100 +001000110100 +001000100011 +001100110011 +001000100010 +000100000000 +001000100001 +001100110010 +010000110011 +010000110011 +001100110011 +000100010010 +000100000001 +001000100010 +001000100010 +001000100010 +001000100010 +000100010001 +000000000000 +000000000000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +001000100001 +001000010001 +001000100001 +001100110010 +010001000100 +010001000100 +001100110011 +001100110010 +001000100011 +001000100100 +000100100100 +000100010011 +001000100100 +000100100100 +000100010001 +001100100010 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100001 +010000110001 +010100110001 +001100100000 +010101000011 +001000100001 +001100100001 +010100110001 +011000110001 +011001000001 +011001000001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +001100110010 +000000110100 +000000110100 +000000110100 +000000110100 +000000100011 +000000100011 +000000100010 +000000010010 +000000100011 +000100100100 +000000010010 +000000010010 +000000010010 +000000010010 +000000110101 +000101010111 +000101010111 +000100110101 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100001 +000100010001 +000000010010 +000100100001 +001000100001 +001000010000 +001000100001 +000100000000 +001100100000 +100001010001 +100001010001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001000001 +100001000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011001000001 +011101000001 +011000110001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001010001 +100001010001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011101000001 +010000110000 +000100000000 +001000100000 +001000110011 +000000100011 +000000010001 +000100110101 +000101011001 +001001111011 +001001000110 +000100010010 +001000010001 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100010 +001000100010 +000100100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100001 +000100010000 +000000010001 +000000010001 +001100100001 +001100100000 +001100100000 +001000010000 +001100010000 +011001000001 +011101000001 +011001000001 +011000110000 +011001000001 +010000100000 +010100110000 +001100100000 +001100100000 +010000110000 +010000110000 +010100110000 +011001000001 +010100110000 +010000110000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +011000110001 +010100110000 +010000110000 +010000100000 +010000100000 +010000110000 +010100110001 +010000100000 +001000010000 +000100000000 +000100010001 +001000110011 +010001010101 +001000100010 +000000010010 +000000010100 +000000100100 +000000100101 +000000110101 +000000110110 +000000110101 +000000110110 +000000110101 +000001000110 +000001000110 +000001010111 +001010001011 +000001000111 +001001101001 +001001101000 +001001000100 +001101000100 +001101000011 +001101000100 +011101111000 +011101111000 +011101111000 +011110001000 +011101111000 +011110001000 +011101110111 +011101110111 +011101111000 +011110001000 +011101110111 +011101110111 +011101111000 +011101111000 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110111 +100010001000 +100001110111 +011101110111 +011101110111 +100001111000 +100010001000 +011110001000 +011110001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +011110001001 +100010001001 +100010001000 +100001110111 +011101110111 +100010001001 +100001110111 +011101010100 +011101100100 +011101100100 +011101110110 +100010001000 +100010001000 +011101100101 +011101100100 +011101110111 +100001110111 +100001110111 +100001110111 +100001110110 +011101110110 +100010000111 +100010000111 +100010000111 +100001110111 +100001110101 +011001100101 +100010001000 +100010011001 +100110011001 +100010001000 +100001110110 +011000110010 +011101000010 +011101010011 +100001100101 +100001110110 +100010001000 +100010001000 +100001110110 +100001110110 +100110001000 +011001010100 +100001110110 +011101110101 +011001010011 +011001010100 +011101100101 +011101100101 +011001010100 +011101110110 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011001100110 +011001010101 +011001100101 +011001010100 +011001010101 +100010001000 +100010001001 +011110001000 +100010011001 +100010001000 +100010001001 +100010001000 +100110011001 +011101110110 +011101110110 +011101100101 +011101100101 +011001010101 +011101110111 +100010001000 +100001110110 +011101100101 +100001110110 +011101100101 +100001110110 +011101110110 +011101100101 +011101100101 +011001010100 +010101000011 +010101000010 +011001000011 +011001000011 +011001010100 +011001000011 +010101000011 +010101000011 +010101000011 +011001010100 +010101000011 +010100110010 +010101000011 +010000110010 +010000110010 +010000110011 +010001000100 +001100110100 +000100100011 +000100010010 +001000010010 +001000100010 +001000100010 +001100110010 +001100100001 +001100100001 +010000110100 +001000100011 +000100010001 +001000100010 +001000100010 +000100010001 +001000010001 +000100000000 +000000000000 +000000000000 +000100010001 +001000100010 +001000100001 +001000100001 +001100100010 +001100110010 +010000110011 +010000110010 +010000110010 +010000110011 +010001000011 +011001010100 +010101000100 +010001000011 +010101010100 +010101010101 +010101010101 +010001000101 +010001000101 +010001010101 +001100110010 +001100110001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +000100010001 +000100000000 +010000100001 +001100100000 +001100100010 +001100100010 +001000100001 +001000010000 +010000100000 +010100110000 +011000110000 +010100110000 +010000100000 +010000100000 +010100110000 +010000100000 +001000110010 +000000110011 +000000100011 +000000110100 +000000110100 +000000100011 +000000100100 +000000010001 +000000010001 +000100100100 +000100100101 +000100100011 +000000010011 +000000010010 +000000010010 +000000100100 +000101000111 +000101010111 +001001000110 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100100001 +000000010001 +000100100010 +001000100001 +000100010000 +001000100000 +000100010000 +001000010000 +011101000001 +100001010001 +100001010001 +100001010001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011001000001 +011001000001 +011101000001 +011001000001 +011000110001 +011101000001 +011101000001 +011101000001 +100001000001 +011101000001 +011101000001 +011000110000 +011101000001 +100001000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011101000001 +010000100000 +000100000000 +001100100001 +001101000011 +000000100011 +000000010010 +000100110100 +000101011001 +001001111010 +000100110100 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000010000 +000000010000 +000000010001 +000000010001 +000100010000 +001000010000 +001000010000 +010000100000 +011101000001 +100001000001 +011101000001 +011000110000 +011001000001 +010100110001 +010100110000 +010000100000 +001100010000 +010000100000 +010000100000 +010100110000 +011000110001 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100010000 +001100010000 +001000010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000110000 +010100110000 +010100110000 +011000110001 +011001000001 +010100110000 +010000110000 +010000110000 +010100110001 +010100110000 +010100110001 +010000100000 +001000010000 +000100000000 +000100010001 +001101000100 +010101100110 +001000100011 +000000010010 +000000010100 +000000100100 +000100110101 +000000110101 +000000110110 +000000110101 +000000110110 +000000110101 +000001000110 +000001000110 +000101111001 +001010001011 +000001000110 +001001111010 +001101101000 +001001000100 +001101000100 +001101000100 +001101000100 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011101111000 +011101110111 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011101111000 +011110001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101111000 +011101111000 +011101111000 +100010001000 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +011110001000 +011110001001 +100010001001 +100001110111 +011101100101 +011101100101 +011101110111 +100001110111 +011101100101 +011101100101 +011101100100 +011101100101 +100010001000 +100010011001 +011101100110 +011101010011 +011101110110 +100010001000 +100010000111 +011101100101 +011101010011 +011101100101 +100010000111 +100010001000 +100001110111 +011101100101 +011101010100 +011001010011 +011101110110 +100010001000 +100010000111 +100010001000 +100010001000 +011001000010 +011000110001 +011101010100 +100001110110 +100010001000 +100010001000 +100010011001 +100110011000 +100010001000 +100110011000 +100001110110 +011101100101 +100110001000 +100010000111 +100001110111 +100001110110 +100001110110 +011101100101 +100001110111 +011101110110 +100001110111 +100010001000 +100010011001 +100010001000 +011101100101 +011101100101 +100001110111 +011101010100 +011101100101 +100010001000 +100010001000 +011101100101 +011101100101 +011101100101 +011101010100 +011001000100 +011101110111 +100010001000 +100110011001 +100010011001 +100010001000 +100010001000 +100001110111 +100001110111 +100001110110 +011101100101 +011001010101 +011001100101 +100001110111 +100010001000 +011101100101 +011001010100 +011101110111 +011101100110 +010101000011 +010100110010 +010100110010 +011101100110 +100001110111 +011101100101 +100001110111 +011101110111 +011001010101 +010101000011 +010101000011 +010101000011 +001100110010 +010000110010 +010000110010 +001100110010 +001000100010 +000100010001 +000000000000 +000000000000 +001000100010 +010001000100 +010001000100 +010101000100 +010001000100 +001000100010 +000100010001 +000100010001 +001101000100 +001100110011 +001000010001 +000100000000 +000100010000 +001000010001 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +011001010100 +011001010101 +011101100110 +100001110110 +101110100111 +110010110111 +101010010111 +100010000101 +011101100100 +010101000010 +010000110010 +001100110010 +001000100001 +001000100001 +001000100010 +000100010000 +000000000000 +000100010000 +001100100001 +001100100010 +001000100010 +000100010001 +000100010000 +000100000000 +001100100000 +010100110000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +001000110011 +000000110011 +000000100011 +000000110100 +000000110100 +000000110100 +000100100011 +000000010001 +000000010010 +000000100011 +000100100101 +000100100100 +000000100100 +000000010010 +000000010010 +000100100100 +000100110110 +000101000111 +001001000111 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100010 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100100010 +001000100001 +000100010000 +001000010000 +001000010000 +001000010000 +010100110001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011001000001 +011001000001 +011001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011101000001 +011001000000 +001100100000 +000000000000 +001100110001 +001101000010 +000000100011 +000000100010 +000000100100 +000001011000 +001001101001 +000100100011 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000100011 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100001 +000100010000 +000000010001 +000000010001 +000000000000 +000100010000 +001000010000 +010000100000 +011101000001 +100001010001 +100001010001 +011000110000 +011001000001 +011001000001 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +001100100000 +001100100000 +001100100000 +010000100000 +001100100000 +001000010000 +001100100000 +001000010000 +001000010000 +001100010000 +010000100000 +010000100000 +010000100000 +010100110000 +011000110001 +011001000001 +011001000001 +011001000001 +010101000001 +010101000001 +011001010001 +011001010001 +011001000001 +010100110001 +010000100000 +001000010000 +001000000000 +000100000000 +001101000100 +010101100110 +000100100010 +000000010011 +000000100100 +000000100100 +000100110101 +000000100101 +000000110110 +000000110101 +000000110101 +000000110101 +000001000110 +000001010111 +001010001011 +001001101000 +000001000111 +001110001011 +001001100111 +001101000100 +001101000100 +001101000100 +001101000100 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011101111000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +011101100110 +011101110111 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100001110111 +011101100101 +011101100101 +011101110110 +100010000111 +011101100101 +011101010100 +011101010100 +011101100101 +100010001000 +100010011001 +011101100101 +011001000011 +011001000011 +011101010100 +011101010100 +011001010011 +011101010011 +011101010100 +011101100101 +100001110111 +100010000111 +011101100100 +011101010011 +011101000011 +011001010011 +011101100101 +100001110110 +100010001000 +100010001000 +011101110110 +011101100100 +011101100100 +100001110110 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100110001000 +011101100100 +100001110110 +100110011001 +100110001000 +100110000111 +100010000111 +100010001000 +011101110110 +011101100101 +011101100110 +011001010100 +011101100110 +011101100101 +011101010100 +011101010011 +011101100101 +011101010011 +011101010100 +100001110111 +011101100101 +011001010011 +011101100101 +011101100101 +011101000011 +011001010100 +011101100101 +011001100101 +100010001000 +100010011001 +100010001000 +100010011001 +100110011010 +100110011001 +100110011001 +100010001000 +100010000111 +011101110110 +011001010101 +011101100110 +011101100101 +011101110110 +100010000111 +011001100110 +011101100101 +011001010100 +011001100101 +011101110111 +100010001000 +011101110111 +100010001000 +100010001001 +011110001000 +011001100110 +011001010101 +010101010101 +011001010101 +011001010101 +011001010101 +001100110011 +001000100011 +000100010001 +000000000000 +000100000000 +001100100010 +010001000011 +010000110010 +010001000011 +001100110011 +000000000000 +000100010000 +001000100011 +001101000101 +001000110011 +000100010001 +001000010001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +010000110010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101000011 +010000110010 +010101000011 +010101000100 +010101000100 +010101010100 +011001010101 +011101100101 +110010100111 +110110111000 +110111001001 +111011001001 +110010111000 +101010010110 +101010010101 +101010010110 +100001110100 +010101010011 +001100110010 +001000100010 +001000100001 +000100010001 +001000010010 +001000100010 +001000100010 +000100010000 +000100010000 +000100010001 +000100000000 +001100100000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +001000100010 +000100110100 +000000110011 +000000110100 +000000110100 +000000110100 +000000100011 +000000100010 +000000010010 +000000010010 +000100100101 +000100100101 +000100100100 +000000010011 +000000010010 +000000100011 +000100110101 +000101000111 +001001000111 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010001 +000100010001 +000100010000 +000000010000 +000100010001 +000100010001 +001000100010 +000100010000 +001000010000 +001100100001 +001000010000 +001100100000 +011101000001 +100001010001 +100001010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110000 +011001000001 +011001000001 +011001000001 +011001000001 +011101000001 +011000110001 +011100110001 +011100110001 +011000110001 +011000110000 +011101000001 +011101000001 +011101000001 +011101000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +011000110001 +011000110000 +001100100000 +000000000000 +001100110001 +001101000010 +000000110011 +000100110100 +000000100100 +000101011000 +001001101000 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000100010000 +000000000000 +000000010001 +000000000000 +001000100001 +001000010000 +010000100000 +011001000001 +011101000001 +100001010001 +011001000000 +011000110001 +011000110000 +011001000001 +011000110000 +010100110000 +010100110001 +010100110001 +010100110000 +010100110000 +010100110000 +010000110000 +001100100000 +001100100000 +001100100000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +011001000001 +011001000001 +011001000001 +011101010001 +011101100010 +011101100010 +011101010001 +011001000001 +010000100000 +001000010000 +000100000000 +000100000000 +001000110011 +010001010101 +000000010010 +000000010011 +000100100100 +000000100100 +000100110101 +000000100101 +000001000110 +000001000110 +000000110110 +000001000110 +000001000110 +000001011000 +001001111010 +000001000110 +000101101001 +001110011011 +001001010110 +001101000011 +001101000100 +001101000100 +001101000100 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001001 +100010011001 +100010001000 +011001010100 +011001010100 +011101111000 +100010001001 +100010001000 +011101110111 +100010001000 +100010011001 +100010011001 +011101110111 +011101100100 +011101100101 +011101110110 +100010001000 +011101110110 +011101100100 +011101100100 +011101100100 +100001110111 +100010011010 +011101110111 +011101010100 +011001000010 +011000110010 +010100110001 +011001000010 +011101010011 +011001000010 +011001000011 +011101100100 +100001110111 +011101100100 +011101010100 +011101010011 +100001100100 +011101010100 +011101100101 +100010000111 +011101100110 +011101100101 +100001110110 +011101100101 +100010000111 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +100110011001 +100001110111 +011101010011 +100001100101 +100110011001 +100010001000 +100010001000 +100010001000 +100110001000 +100110001000 +100010001000 +100010001000 +011101110110 +011001010011 +011001000011 +011001000011 +011001000010 +011101010011 +011001000011 +100001110110 +011101100100 +011101010011 +011101100100 +011101100101 +100001110110 +011001010011 +011101100110 +100010001000 +011101110110 +100001110110 +100010000111 +100010001000 +011101110110 +011101110111 +100010000111 +100110001000 +100010011001 +100110001000 +100010001000 +100010001000 +011001100101 +011001100101 +011101110110 +011101100101 +011001010100 +011101100101 +011101110110 +011101110110 +011101110111 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011101110111 +011101111000 +100010001000 +100001110111 +011101100110 +011101100110 +001100110011 +001000100011 +001000100010 +001000100001 +001000100001 +001100110010 +010000110010 +010101000010 +010101010100 +000100010001 +000000000000 +001100110011 +001100110100 +001000110011 +000100010001 +001000100001 +001100100010 +010001000011 +010001000011 +010101010100 +010000110010 +001100110010 +010000110011 +010000110011 +010101000011 +010101010100 +011001010100 +011001010101 +011001010101 +011001100101 +011001100101 +011001010100 +010101000100 +010101000100 +011001010101 +010101000100 +011001010100 +011001010100 +011101100100 +101110100110 +101110100110 +110110111000 +110010100111 +101110010110 +110111001000 +110010111000 +111011001010 +110110111000 +011001010011 +001100110011 +001100110011 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100000000 +001000010001 +001000010010 +000100010001 +001000010000 +001100100000 +001100100000 +001100100000 +001100100000 +001100010000 +001000100001 +001000110010 +001000110010 +000100110011 +000100110011 +000000110011 +000000100011 +000100100011 +000100100001 +000100010010 +000000100100 +000100100101 +000100100101 +000100100100 +000000010010 +000000010011 +000100110101 +000101000111 +001001000111 +000100010001 +000100010000 +000100010001 +001000010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100010 +001100110001 +001100100001 +001100100000 +001000100000 +001000010000 +011001000001 +100001010001 +100001010001 +100001000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011100110001 +011101000001 +011101000001 +011000110001 +011000110001 +011000110000 +011000110000 +011001000001 +011101000001 +011101000001 +011100110001 +011100110001 +011000110001 +011000110000 +011000110001 +011100110000 +011100110000 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110001 +010100110000 +001100100000 +000100000000 +001100100000 +001100110010 +000100010010 +000100100011 +000100100100 +000101011000 +001001010111 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100000000 +000100010001 +000000010000 +001000010000 +000100000000 +010000100000 +011001000001 +011101000001 +011101000001 +011000110000 +010100110000 +010100110000 +011101000001 +011101000001 +011000110000 +011001000001 +010100110001 +011000110001 +010100110001 +011001000001 +010100110001 +010000100000 +001100100000 +001100100000 +001100010000 +001100100001 +001100100000 +001100100000 +001100010000 +001100010000 +001100100000 +001100100000 +010000100000 +010000110000 +010000100000 +010100110000 +010100110000 +011001000001 +011001000001 +011101010001 +011101010001 +011101010001 +011001000001 +011001000001 +010000100000 +001000010000 +000100000000 +000100000000 +000100100010 +001101000100 +000000010010 +000000010011 +000100100100 +000000100100 +000100110110 +000000100101 +000001000110 +000001000111 +000001000110 +000001000110 +000001000110 +000001011000 +000001101001 +000001000110 +001001111010 +001110011011 +001001010110 +001101000011 +001101000100 +001101000011 +001101000100 +011101111000 +011101111000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +011101100111 +010101000010 +011101010100 +011101010101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110111 +100010001001 +011101100110 +011001010010 +011101010011 +011101110110 +100010001000 +100010001000 +011101110111 +100010001000 +100001110110 +011101110110 +100010001001 +100010000111 +011101100101 +011101010100 +011101010100 +011001000010 +011001000010 +011101010011 +011001000010 +011101000011 +011101010011 +100001110110 +011101100100 +011101100100 +011101010011 +011101010011 +011101010100 +011101100101 +100001110110 +011101010100 +011001010011 +011101010100 +100001110110 +100001110111 +100010001000 +100010011000 +100010001000 +100001110111 +011101010100 +100001110110 +011101100101 +011101100100 +011101100100 +100110011001 +100010011001 +100010001000 +100010011000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100001100101 +011101100110 +011001000010 +011001000011 +011101100100 +011101100101 +100001100101 +100001110110 +011101010100 +011001010100 +100001110110 +011101100101 +011001100101 +011101110111 +100001110110 +011101100100 +011001010011 +100001110111 +100001110111 +011101010100 +100001110111 +100001100101 +100010001000 +011101100110 +011001010100 +100001110110 +100010000111 +100110011000 +100010001000 +011101100110 +011101100101 +011001010100 +011101100101 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001110111 +011101111000 +011110001000 +011101111000 +011110001000 +011101111000 +011101110111 +011101110111 +010001000100 +001000100011 +001000100010 +001100110011 +010101000100 +010101010100 +011001010101 +011001010101 +011001100101 +001100110011 +000100010001 +001100110011 +001000110011 +000100010001 +001000100001 +010000110010 +010000110010 +010000110010 +010000110010 +010101000011 +010101010100 +010101010100 +010000110011 +001100100010 +010000110010 +010001000011 +010000110010 +010001000011 +010101000100 +011001100101 +011001010101 +011001010100 +011001010101 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +100001100100 +101110010110 +110110111000 +110010100111 +101110100110 +101110010110 +110010100111 +110010111000 +110111001001 +110110111000 +010101000011 +001000100010 +001000100010 +001000100011 +001000100010 +000100010001 +000100010001 +000100100010 +000100010010 +001000100010 +001000100010 +000100010001 +001000010000 +001100100000 +001100010000 +001100010000 +001100100000 +001000010000 +001100100000 +010000100000 +010000110001 +001000110010 +000000100011 +000000110100 +000100110011 +001000110011 +001100100001 +001000010001 +000000010011 +000100110101 +000100100100 +000100110110 +000000100011 +000000010011 +000000100100 +000101000111 +001001000111 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +001000100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100001 +010000110001 +010000110001 +001000010000 +000100000000 +010100110001 +100001010001 +100101010001 +100001010001 +100001000001 +011000110000 +011001000001 +011101000001 +011101000001 +011000110001 +011000110000 +011000110001 +011001000001 +011001000001 +011000110001 +011001000001 +011001000001 +011101000001 +011101000001 +011100110001 +011100110001 +011100110001 +011000110001 +011100110001 +011100110001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110000 +010100110000 +001000010000 +000100000000 +010000110001 +001100100001 +000100010010 +000100010011 +000100010100 +000101000111 +001001010110 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010001 +000100010000 +000000010001 +000100010000 +000100000000 +010100110001 +011000110001 +011001000001 +011101000001 +011000110000 +010100110000 +010100110000 +011101000001 +011101000001 +011001000001 +011000110000 +010100110000 +011001000001 +010100110000 +010101000001 +010100110001 +010000100000 +010100110001 +010000100000 +001100100000 +001100100000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110000 +011001000001 +011001000001 +011101010001 +011101010001 +011101010001 +011001000001 +010100110001 +001100100000 +001000010000 +000100000000 +000100000000 +000100100010 +001101000100 +001000110011 +000000010011 +000100100100 +000000100100 +000100110110 +000000100101 +000001000110 +000001010111 +000001000110 +000001000110 +000001000111 +000101101001 +000001011000 +000001010111 +001010001011 +001010001011 +001001010110 +001101000011 +001101000100 +001101000011 +001101000100 +011101110111 +011101110111 +011001111000 +011001111000 +011110001001 +011110001001 +011101111000 +011101111000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +100010001000 +011110001000 +011110001000 +011110001001 +100010001001 +011101111000 +011001010101 +011101100110 +011001100101 +011101010101 +011101100101 +100001110110 +100001110110 +011101100101 +011101100110 +100001110111 +011101010100 +011101010011 +011101010100 +011101110110 +100010011001 +100010011001 +100010001001 +100001110111 +011101010100 +011101010100 +011101100101 +011101100101 +011101110111 +100010000111 +011101100101 +011101010100 +011001000010 +011000110010 +011001000010 +011101010011 +011101100101 +100001110101 +100001100101 +011101010100 +011101010011 +011101010100 +011101010011 +011001000010 +011000110010 +011001000010 +011001010011 +011101100101 +100001110110 +100010000111 +100010001000 +100010001000 +100001110111 +100001110110 +100001110110 +011101100101 +100010000111 +011101100101 +100010000111 +100010011001 +100010001000 +100010011001 +100110011001 +100110011001 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100001110110 +011101100101 +100001110110 +011101100110 +100001110111 +100010000111 +011101100101 +011001000011 +011001010011 +011001010100 +011101110110 +100001110110 +100001110111 +011001010100 +011001000010 +100001110110 +100001110110 +011101010011 +011101100101 +011001010011 +011101100101 +011101100101 +011101010011 +011101100101 +100001110110 +100010001000 +100010011001 +100110011001 +100001110111 +010101000100 +011101100110 +100110001001 +100110011010 +100010011001 +011110001000 +011001100110 +011101110111 +011101111000 +011110001000 +011101111000 +011101110111 +100010001000 +100010001000 +011110001000 +010101010110 +001000100010 +001100110011 +010101010101 +010101010101 +010101010101 +011001100110 +011001010101 +011001100110 +011001100101 +010101000100 +001000100011 +000100010001 +000100010000 +010000110011 +010101000011 +011001010100 +011001010011 +010101000011 +011001010100 +010101000011 +010101000010 +001100100001 +001100100001 +001100100001 +010000110010 +001100110010 +010101000011 +010001000011 +010101000011 +010101000011 +010000110010 +010101000011 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +100101110101 +110010111000 +110111001001 +110010100111 +110010100111 +110111001000 +110010100111 +100110000100 +110010100110 +110010100111 +011001010100 +001000100010 +000100010001 +001000100011 +001000100010 +000100010001 +001000100010 +001100110100 +001000110011 +000100010001 +000100010000 +000100010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +000100000000 +001000010000 +010000100000 +010000100000 +001100110001 +000100100010 +000100100010 +001000100010 +010000110001 +010100110001 +001000010000 +000000010010 +000100100101 +000100100100 +000100100110 +000100100101 +000100100011 +000000100011 +000101000111 +001101010111 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +001100110001 +010101000010 +001000100000 +001000010000 +010100110001 +100101010001 +100101010010 +100101010001 +100101010001 +011101000001 +010100110000 +011001000001 +011101000001 +011000110001 +011000110001 +011000110000 +011000110000 +011000110001 +011001000001 +011101000001 +011101000001 +011101000001 +011100110001 +011100110001 +011100110001 +011100110001 +011101000001 +011100110001 +011100110001 +011101000001 +011101000001 +011101000001 +011101000001 +011100110001 +011101000001 +011101000001 +011000110000 +010000100000 +001000010000 +000100000000 +001100110001 +001000100001 +000000010010 +000000010010 +000100010011 +000100110110 +001000110101 +000100010001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000000000 +000100000000 +011000110001 +011001000001 +011001000001 +011000110001 +010100110000 +010100110000 +010100110000 +011000110001 +011001000001 +011000110001 +010100110000 +011000110001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010100110000 +011001000001 +011001000001 +011001000001 +011001000001 +011001000001 +010101000001 +010000110000 +001100010000 +001000010000 +001000000000 +000100000000 +000100100011 +001101000100 +001101000101 +000000010011 +000100100100 +000000100100 +000100110110 +000000110101 +000001000110 +000001010111 +000001000110 +000000110110 +000001011000 +000101101010 +000001011000 +000101101001 +001010011100 +001010001011 +001001000101 +001101000011 +001101000100 +001101000011 +001101000100 +011001110111 +011001111000 +011001111000 +011101111000 +011110001001 +011110001000 +011001110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +011110001000 +100010001001 +011110001001 +100010001001 +011110001001 +011101110111 +011101110111 +011110001000 +011101110111 +011101100101 +011001000011 +011101010011 +011101010100 +011101100101 +100001110101 +011101010100 +011101100100 +011101100101 +011001010100 +100001110111 +100010001001 +100010001000 +011101100101 +011101010011 +011101010011 +011101010011 +011101100100 +011101110110 +011101100101 +011101010100 +011101010100 +011101000011 +011001000010 +011001000010 +011101010011 +011101010100 +100001110110 +100001110111 +100001110110 +100001100101 +011101010100 +011001000010 +011000110010 +011000110010 +011001000010 +011101000011 +100001100101 +011101100101 +011101100100 +100001110110 +100010001000 +100010000111 +100001110111 +100010000111 +100001110110 +100010001001 +100010000111 +100001110111 +100110011001 +100010011001 +100110011000 +100010011001 +100110011001 +100010001001 +100010001000 +100010000111 +100010000111 +100001110111 +100010000111 +100001110110 +100010000111 +100001110111 +100001110110 +100001110111 +100010000111 +100001110110 +011101100100 +010100110010 +010101000011 +100001110110 +100010000111 +100010000111 +011001010100 +011001000010 +100001100101 +011101100100 +011101010011 +011101010011 +011101100100 +011101100101 +011101100101 +011001010011 +011001010011 +011001010100 +100010001000 +100010011001 +100110011001 +100001110111 +011101100101 +100001110110 +100001110111 +100010011001 +100010011010 +100010001001 +100010011001 +100010011001 +100110011010 +100010011010 +100010001000 +011101110111 +011101110111 +011101110111 +011001110111 +010101100110 +000100010001 +010001000100 +011001100111 +011001100110 +010101010101 +010101010101 +010101100110 +010101010101 +011001100101 +010001000100 +001000100010 +000000010000 +001000100001 +010001000101 +010101010101 +011001010101 +011001100101 +011001100101 +011101100100 +011101100101 +011101100100 +011001010011 +010101000011 +001100110001 +001100110010 +010000110010 +010000110010 +001100100010 +010001000011 +010101010100 +001100100010 +001100110010 +010000110010 +010000110011 +001100110010 +010101000011 +100001100101 +101010010111 +111011011010 +110111001001 +110111001001 +110010100111 +110110111000 +101110100110 +100101110100 +100101110100 +011001010011 +001100100010 +001000100010 +001000100010 +000100010001 +001000010001 +001000100010 +000100010001 +000100010001 +000100010000 +000100000000 +000100010000 +000100010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010001 +001000010000 +001000010000 +001000010000 +001100100000 +001100100000 +001000100001 +001000100000 +010000110000 +010000100000 +001100100000 +000100010000 +000000010001 +000000010011 +000100100101 +000100100101 +000100100101 +000100100100 +000000010011 +000101000110 +001101010111 +000100100001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100001 +010000110010 +001100100001 +001000010000 +010100110001 +100101010010 +100101010001 +100101010001 +100101010010 +100001000001 +010100100000 +010100110000 +011100110001 +011000110001 +011000110001 +011000110000 +011000110000 +011000110000 +011101000001 +011101000001 +011001000001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110001 +011100110001 +011100110000 +011100110001 +011100110001 +011000110001 +011001000001 +011101000001 +100001000001 +011101000001 +011000110001 +011000110000 +010000100000 +000100000000 +000100000000 +010000110001 +001000100001 +000000010010 +000000010010 +000100010010 +000100110101 +000100100011 +000100010001 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +000000000000 +001000010000 +011000110001 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110001 +011000110001 +011000110001 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100010000 +001100100000 +001100100000 +010000100000 +010100110000 +011101000001 +011101000001 +011001000001 +011001000001 +010100110001 +010100110000 +010000110000 +001000010000 +001000010000 +001000000000 +000100000000 +000100110011 +001000110011 +001100110100 +000000010011 +000000100100 +000000100100 +000100110110 +000000110110 +000001000110 +000001000110 +000001000110 +000001000111 +000001011001 +000101111010 +000001010111 +001001111010 +001010011100 +001010001010 +001001000101 +001101000100 +001101000100 +001101000011 +001101000100 +011001110111 +011001111000 +011001111000 +011101111000 +011010001000 +011110001000 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011001111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +100010001000 +011110001000 +011110001001 +100010001001 +011110001001 +011110001001 +011101111000 +011101111000 +011110001000 +100010001001 +011101100110 +011001010011 +011101010100 +011101100110 +011101110110 +011101100100 +011101010100 +011101100100 +011101100101 +011101010011 +011001010011 +011001010100 +011101100101 +011101100101 +011001000011 +011101010011 +011101010011 +100001110110 +100010000111 +011101100100 +011101010011 +011001000010 +011101000011 +011101010100 +100001100101 +011101100100 +011101010011 +011101100101 +100001110111 +100001110110 +011101100101 +011101100100 +011101010011 +011001000010 +011001000010 +011001000010 +011101010011 +011101100100 +011101100100 +011101010011 +011101010100 +100001110110 +011101100101 +011101100101 +011101100101 +011101100101 +011110001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110111 +100001110110 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011001010100 +011001010101 +100010001000 +011110001000 +100010000111 +011101100101 +010101000010 +011001010011 +011001010011 +100001100101 +011001010011 +011101010100 +011101100101 +011101100101 +100001100101 +011101010100 +011101100101 +100010000111 +100010001001 +100010001000 +100001110110 +011101010011 +011101100100 +011101100101 +100010001000 +100110011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010001001 +100010001000 +011101110111 +000100010001 +001000100010 +010001010101 +010101010101 +011001100111 +010101010110 +010101010110 +011001100110 +011001100101 +010001000100 +001000100010 +000000000000 +001100110010 +010101010101 +010101010101 +011001100110 +011001110111 +011101110111 +011001010100 +011101010100 +011101110111 +011101110101 +011101010011 +011001000011 +010101000011 +010000110001 +010000110010 +010101000011 +010101000011 +010101000011 +010000110010 +001100100010 +001100100010 +001100100010 +010001000100 +011001010101 +010101000011 +011001010100 +100101110101 +101010000101 +101110100110 +101110010110 +110111001000 +110010111000 +110110111000 +011101100100 +010000110011 +001000100010 +001100110100 +001000100011 +001000010001 +001100110011 +000100010001 +000000000000 +000100010000 +000100010000 +001000010000 +000100010000 +001000010000 +001000010000 +001000010001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000010001 +001000010001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100000 +000100010000 +000100010001 +000000010001 +000000000001 +000100100100 +000100010100 +000100100101 +000100100100 +000000010010 +000100110110 +001101101000 +000100010001 +000100010000 +000100010001 +001000010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001000100001 +001100100001 +000100010000 +001100100000 +100101010010 +100101010001 +100101010001 +100101010001 +100001000001 +011100110001 +010000100000 +011000110001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110000 +011101000001 +011000110001 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110001 +011100110001 +011000110001 +011000110001 +011000110001 +011000110000 +011101000001 +100001000001 +100001000001 +100001000001 +011101000001 +011101000001 +010000100000 +000100000000 +000100000000 +010000110001 +001000100010 +000000000010 +000000010010 +000000010010 +000100100101 +000100100010 +000100010001 +000100100001 +000100010010 +000100010010 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000010000 +011000110001 +011000110001 +011000110000 +010100110000 +011000110000 +011001000001 +010100110000 +010100110000 +010100110000 +011001000001 +010100110000 +010100110000 +011000110001 +010100110000 +010100100000 +010000110000 +010100110001 +010100110000 +010100110001 +010000110000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100010000 +001000010000 +001100100000 +001100100000 +010000100000 +011001000001 +011101000001 +011000110001 +010100110000 +010000110000 +010100110000 +010000100000 +001100010000 +001000010000 +001000000000 +000100000000 +000100010001 +000100100010 +001100110011 +000000010011 +000100100100 +000000010100 +000100110110 +000000110110 +000001000110 +000001000110 +000001000110 +000001010111 +000001101001 +000101101001 +000001011000 +001010001011 +001010001011 +001110001010 +001001000101 +001101000011 +001101000100 +001101000011 +001101000100 +011001100110 +011001110111 +011001111000 +011001111000 +011010001000 +011010001001 +011101111000 +011001111000 +011101111000 +011110001000 +011110001000 +011101111000 +011110001000 +011101111000 +011110001000 +011101110111 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +100010001001 +011110001000 +011101111000 +011101110111 +011110001000 +011101111000 +011001010100 +011001010100 +100010000111 +100010001001 +100010001000 +011101100110 +011001010011 +011001010011 +100001100101 +011101010100 +011001000011 +011000110010 +011001000011 +011101100101 +011001000011 +011001000010 +011001000011 +011101010100 +100010001000 +011101100101 +011001010011 +011001000010 +011000110010 +011101010011 +100001110110 +011101110110 +011001000011 +011101010100 +100001110110 +100001110110 +100001100110 +100001100101 +011101100100 +011001000011 +011101000011 +100001100100 +011101100100 +100001100101 +100001100101 +011101010100 +011101010100 +011101010011 +011001000010 +011101010011 +011101010011 +011101010100 +100001110111 +100001110111 +011101110110 +100010000111 +100110000111 +100010001000 +100110011001 +100010001000 +100010001000 +100001110111 +100001110111 +011101100110 +011101110110 +100001110111 +100001110101 +010101000010 +100001100101 +100001110110 +100001110110 +011101110110 +011101110110 +100001110111 +011101110110 +011001100110 +011101110111 +011101110111 +011110001000 +011101110110 +011101100100 +011001010011 +011001010100 +100001110110 +100001110110 +011101100101 +011101100101 +011001010011 +100001110110 +100001100101 +011101100101 +100001110111 +100110011001 +100001110111 +011101100100 +011101010100 +011101100100 +100001110110 +100110001000 +011101110111 +100010001000 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +010001010101 +000000010001 +001100110100 +010101100110 +010001010101 +010001000101 +001101000100 +010101010101 +011001100110 +001100110011 +001000100010 +010000110011 +010101010101 +010101100101 +011001100110 +011001100110 +011101110111 +011101110111 +011101100110 +011101100110 +011101111000 +011101110111 +011101100100 +011001000010 +011001010011 +011001000011 +001100100001 +010100110010 +011001010011 +010000110010 +010101000011 +010101000011 +010101000011 +010000110010 +010101000011 +010001000011 +010001000011 +001100110010 +010101000011 +010001000011 +011001010011 +011101100100 +100001110101 +100110000101 +101010010101 +010101010011 +001100100011 +001000100010 +010001000100 +001000100010 +001000010001 +000100010001 +000100010000 +000100010000 +001000100001 +001000100001 +001000100001 +001000010000 +001000010001 +001000010000 +001000010000 +001000010000 +001000010001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001000100010 +001000100010 +001000100001 +001000100001 +001000100001 +001000100001 +001000100010 +000100010010 +001000100100 +000100010011 +000100100101 +000100100100 +000000010010 +000100110101 +001101010111 +000100010001 +000100010000 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001100100000 +000100010000 +001000010000 +100001010001 +100101010001 +100101010001 +100001010001 +100001000001 +011101000001 +010000100000 +010100100000 +011101000001 +011000110001 +011000110001 +011000110000 +011000110001 +011000110001 +011000110000 +011000110000 +011000110001 +011000110000 +011000110000 +011000110001 +011000110001 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +100001010001 +100101010001 +100101010001 +100101010001 +100101010010 +011101000001 +001100100000 +000000000000 +000100010000 +010000110001 +001000100010 +000000000001 +000000010010 +000100010010 +000100100100 +000100010010 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100001 +000100010001 +000100010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100100001 +001000100001 +001000100010 +001000100010 +000100100010 +000100010001 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +010000100001 +011101000001 +011000110001 +010100110000 +011000110001 +011000110000 +011001000001 +011001000001 +010100110000 +010100110000 +011000110001 +011000110000 +010100100000 +010100110000 +010100110000 +010100110000 +010000110000 +010000100001 +010000110000 +010100110000 +010100110000 +010100110000 +010100110001 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +001100100000 +001000010000 +001100100000 +001100100000 +001100100000 +010100110000 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100100000 +001000010000 +001000000000 +000100000000 +000100010001 +000100100010 +000100100010 +000000010011 +000100100100 +000000100100 +000100110110 +000000110110 +000001000110 +000001000110 +000001000110 +000001010111 +000001011000 +000001011000 +000101101001 +001010001011 +001010001011 +001110001010 +001001000100 +001101000011 +001101000100 +001101000011 +001101000011 +011001010101 +011001110111 +011001111000 +011001111000 +011001111000 +011001111001 +011001111000 +011001111000 +011001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +100010001001 +100010001001 +100010001001 +011110001000 +011101111000 +011101110111 +011110001000 +100001111000 +011101100110 +011101100110 +100010001000 +100010001001 +011101110111 +011101100101 +011001010011 +011001000011 +011101010011 +011001000011 +011001000010 +011001000010 +011001000011 +011101100100 +011101010100 +011001000010 +011000110010 +011001000011 +100001110110 +011101110110 +010101000011 +010100110001 +011000110010 +011001000010 +011101010100 +100010001000 +100001110110 +011101010100 +100001110111 +100001110110 +011101010100 +011101010100 +011101010100 +011001000010 +011101010011 +011101010100 +011101010011 +011101010100 +011101010100 +011101010011 +011101010100 +011101010100 +011001000010 +011001000010 +011101010011 +011101010011 +011001010011 +011101010100 +011101010100 +100001110110 +100001110111 +100010000111 +100010001000 +100001110110 +100010000111 +100010000111 +100001110111 +100001110110 +100001110110 +100001110111 +011101110101 +011001010011 +011101100101 +100001110110 +100010000111 +100001110111 +100001110110 +100010000111 +100001110110 +011001100101 +010101010101 +011101110111 +100010001000 +011101110111 +011101110110 +100001110110 +100001110111 +100010000111 +011101110110 +100110000111 +011101100101 +010101000011 +011101100101 +011101100100 +011101100101 +100110001000 +100010001000 +100001110110 +011101010011 +011001000010 +011101010011 +100001100101 +100001110110 +011101110111 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001001 +011110001000 +011001110111 +011110001000 +011001110111 +011001100111 +011001110111 +010101100110 +010101100110 +011101110111 +001000100010 +001000010001 +011001010101 +010101010101 +011001100101 +010101100101 +011001100110 +011101100110 +011101110111 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +011101100101 +011001010100 +011001000011 +010101000011 +010101000011 +011001010100 +011001000011 +010100110010 +011001010011 +011001010100 +011001000010 +010100110010 +010000110011 +010000110010 +010100110010 +010000110011 +010000110010 +001100110010 +010101000011 +010101010100 +010101000011 +010101000011 +010000110011 +001000100010 +000100010001 +001000100010 +001000100010 +000100010001 +001000010000 +001000010000 +001000010000 +001100100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +001000010001 +001000100001 +001100100001 +001000100001 +001000100001 +001000100001 +001000100001 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +001100100010 +001100100010 +001100110010 +010001000100 +010001000100 +001100110100 +001101000101 +001101000101 +001000110100 +001000110101 +001101010111 +000100010010 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100000000 +000000000000 +001000100001 +001000010000 +000100000000 +011001000001 +100101010010 +100101010010 +100101010001 +100001010001 +100001000001 +010100110000 +001100010000 +010100110001 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110001 +011000110000 +011000110001 +011000110001 +011000110000 +011000110001 +011000110000 +011000110000 +010100100000 +011000110001 +100001010001 +100101010001 +100101010001 +100101010001 +100001000001 +011101000001 +001000010000 +000000000000 +001000010000 +010000110001 +001000100010 +000000000001 +000000000010 +000100010010 +000100010011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010000 +000000010000 +000000010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100010010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100100001 +100101100010 +100001010001 +011101000001 +010100110000 +010100110000 +010100110000 +011000110001 +010100110000 +010100110000 +010000110000 +010100110000 +011000110001 +010000100000 +010000100000 +010000100000 +010000110001 +010000100000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100010000 +001100100000 +010000100000 +010100110000 +010100110000 +011000110001 +010100110000 +010100110000 +010100110000 +010000110000 +010000110000 +001100010000 +001000000000 +000100000000 +000100000000 +000000100010 +000000010010 +000000010011 +000100100100 +000000100100 +000100110110 +000000110110 +000001000111 +000001000110 +000001000111 +000001011000 +000101101001 +000001000111 +000101111010 +000101111010 +001010001011 +001110001010 +001001000100 +001101000011 +001101000100 +001101000011 +001101000011 +010000110010 +011001010101 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100001111000 +011101110111 +011101110111 +011101111000 +100010001000 +100010001000 +100010001001 +100010001001 +011101110110 +011101100100 +011101010100 +011101010011 +011001010011 +011101010011 +011001000010 +011101010100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010011 +011001000010 +011101010101 +100010001000 +011101100110 +011001000010 +011001000010 +011001000010 +011000110010 +011001010100 +011101100101 +011101010100 +100001110110 +100001100110 +011101010011 +011101010011 +011101010100 +011101000011 +011101010011 +011001000011 +011101000011 +011001000011 +011101010011 +011101010100 +011101100100 +100001100100 +011101010011 +011001000011 +011101010011 +011101010011 +011101010011 +011001000010 +011101100100 +011001010100 +011101100101 +100010001000 +100010001000 +100010000111 +100001110110 +100010000111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +011101100101 +011101100101 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +010101010100 +010101010101 +011101110110 +100001110111 +100010000111 +100001110110 +100001110110 +100001110111 +100001110110 +011001010100 +011101100100 +011001010100 +011101100101 +100001110110 +011001010011 +010101000010 +100001110111 +100010000111 +100001110111 +011101100101 +011001010011 +011001010011 +011001010011 +011101010100 +100001110111 +100010001001 +100010011010 +100010011010 +011101111000 +100010011001 +100010011001 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +011110001001 +100010001001 +011101111000 +011101111000 +011101111000 +011001110111 +011001110111 +011101110111 +001100110011 +000100010000 +010101010101 +011001100110 +011001100110 +010101010101 +010101010101 +010101010101 +011001100110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001010101 +011001010100 +011101100101 +010101010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010011 +011001000011 +011001000010 +011001010011 +010000110001 +010100110010 +010101000011 +011001010011 +010101000011 +010101000011 +010101000011 +010001000011 +010001000011 +001000100010 +000100010001 +000100010001 +000100010001 +001000100001 +001100110011 +010001000100 +010101000100 +010000110100 +001100100010 +001000100001 +001100100010 +001100100001 +001100100001 +001000100001 +001100100010 +001100100001 +001100100001 +001100100010 +001000100001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100001 +001100100010 +010000110010 +010000110011 +010001000100 +010001000100 +010101000100 +011001010110 +010101100110 +010101010101 +010101010100 +011001010100 +010101000010 +001100110001 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000000000000 +000100010001 +000100010000 +000100000000 +010000100001 +100001010001 +100001010001 +100001000001 +011001000010 +011001000001 +010100110000 +001100010000 +010000100000 +010100110000 +010100110000 +011000110000 +011000110001 +010100110000 +010100100000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110000 +011000110000 +011000110000 +011000110000 +010100100000 +001100010000 +011000110001 +100001000001 +100101010001 +100101010001 +100101010001 +100001000001 +011000110001 +001000000000 +000100000000 +001000010000 +010000110001 +001000100010 +000000000001 +000000000010 +000100010010 +000100010010 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +100001100010 +101001100010 +100101010001 +011101000001 +011000110001 +011000110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110001 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100010000 +000100000000 +000100000000 +000000100010 +000100100011 +000000010011 +000100100101 +000000100100 +000000110101 +000000110110 +000001000111 +000001000101 +000001000111 +000001011001 +000101101001 +000001000111 +001001111010 +000101111001 +001010011100 +001110001010 +001001000100 +001101000100 +001101000011 +001101000011 +001101000011 +010000110010 +010001000011 +011001100110 +011001110111 +011001111000 +011001111000 +011001111000 +011001111000 +011001111000 +011010001000 +011010001000 +011110001000 +011110001000 +011110001000 +011010001001 +011110001001 +011110001000 +011101110111 +011101111000 +011101110111 +011101110111 +011101110111 +011110000111 +011110001000 +100010001000 +011101110111 +100001111000 +100001110111 +011101110111 +011101110111 +011101110111 +011110001000 +100010001000 +100010001001 +100010011001 +011101110110 +011101010100 +011101010100 +011101010100 +011101010100 +011101100100 +011001010011 +011101100100 +011101010100 +011001000011 +011101010100 +011101100100 +011101010011 +011101100101 +011101110111 +100010001001 +100010001000 +011101100100 +011001000010 +011001000010 +011000110010 +011101000011 +011101100101 +100001100101 +011101100101 +100001110110 +011101100100 +011101100100 +100001100101 +011101100100 +011101010100 +011101010011 +011101010100 +011001000010 +011101010011 +011101100100 +011101010100 +011001000011 +100001010100 +011101010100 +011101010011 +011001000011 +011101000010 +011101000011 +011101100100 +011001010011 +100001110110 +100010001000 +100010001000 +100001110111 +100001110111 +100001110110 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100110001000 +100010000111 +100110000111 +100010000111 +100001110111 +100001110110 +100010000111 +100010001000 +100001110111 +100010000111 +100010000111 +100001110111 +100010000111 +100010000111 +011101110110 +100001110111 +100001110111 +011101100101 +011001010100 +100001110111 +100010001000 +100010001000 +100110001000 +100001110111 +011101100101 +011101010100 +011001000011 +011101100101 +100010001001 +100010011001 +100010011010 +011101110111 +011101110110 +011101110110 +011101110111 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011101111000 +011001111000 +011001110111 +011001100110 +001000100010 +001100110100 +011001110111 +011101111000 +011001110111 +011101110111 +011101110111 +011101110111 +011101100110 +011001100110 +011001100101 +011001100110 +011101100110 +011001100110 +011101110111 +011101110111 +011001100101 +011001010101 +011001010101 +010001000011 +011001010101 +011101010100 +011101010100 +011001000010 +011101010011 +011101010011 +010100110010 +010100110010 +011001010011 +011101010100 +011001010011 +011001010011 +011001010011 +010100110010 +010000110011 +000100010010 +000100010001 +000000000000 +000000000000 +000100010000 +001100100010 +001100110010 +010001000100 +010101010101 +011001100110 +011001010101 +010101010100 +010101000100 +010000110011 +001100110011 +001100110010 +001100100010 +001100110010 +010000110010 +001000100001 +001000010001 +001000100001 +001000100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +010000110011 +010001000011 +010001000100 +010101010101 +011001010101 +011001010100 +011101100101 +101010000110 +101110100111 +101010010110 +100101110110 +011101100100 +011001010011 +010000110010 +001100100001 +000100010000 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100000000 +000100000000 +011000110001 +010100110000 +010000100001 +010001000011 +010000110010 +010100110001 +001000010000 +001000010000 +010000100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100100000 +010100110000 +010100110000 +010100100000 +010100100000 +010000100000 +001100010000 +011000110001 +100001000001 +100101010001 +100101010001 +100101010001 +100001010001 +010100110001 +000100000000 +000100000000 +001000010000 +010000110001 +001000100010 +000000000001 +000000000001 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000010000 +101110000010 +100101010001 +100101100010 +100001010001 +011000110001 +010100110000 +010100100000 +010100100000 +010100110000 +010100110000 +010000100000 +010000100000 +010100110001 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100110000 +010100110000 +010100110000 +011001000001 +011001000001 +011000110001 +010100110001 +010100110000 +010100110000 +010100110001 +010100110000 +010000100000 +010000100001 +001000010000 +000100000000 +000000010001 +000000010010 +000000010011 +000100100101 +000100100101 +000000110101 +000001000111 +000001000111 +000001000110 +000001000111 +000001101001 +000001011000 +000001010111 +000101111001 +000101111001 +001010011100 +001110001001 +001101000100 +001101000011 +001101000100 +001101000100 +001101000011 +011001010101 +011001100110 +011001110111 +011001110111 +011001111000 +011001110111 +011001111000 +011001110111 +011001110111 +011101111000 +011001111000 +011001111000 +011110001000 +011010001000 +011010001000 +011110001001 +011110001001 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011110001000 +100010001000 +100010001000 +011101111000 +011101110110 +011101110110 +011101110111 +011110001000 +100010001000 +100010001000 +100010001001 +011101110111 +011101010100 +011101110110 +100001110111 +011101100101 +011101010100 +011001010100 +011101100100 +011101010100 +011001010011 +011101100100 +011101100100 +011101010011 +011101110111 +100110011001 +100010001000 +100010001000 +100010001000 +011101010100 +011001000010 +010100110001 +011101010011 +011101100101 +011101100101 +011101100110 +100001110111 +011101100101 +011101010100 +100001110110 +100001100101 +011101100100 +011101010011 +011101100100 +011101010011 +011101010100 +011101100101 +100001100101 +011101010100 +100001100101 +011101100100 +011101010100 +011101010100 +011101010011 +010101000010 +011101100101 +011101010100 +011001000011 +100001100101 +011101010100 +011101110110 +100010000111 +100001110110 +011101100110 +011101100101 +100001100101 +100001110101 +100001110110 +100001110110 +100001110110 +100010000110 +100001110111 +100010000111 +100110000111 +100010000111 +100010000111 +100010000111 +100001110111 +100001110111 +100010000111 +100010001000 +100010000111 +100010000111 +100001110111 +100010000111 +100010000111 +100010000111 +100110000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010000111 +011101110111 +100001110111 +100010001000 +100110001000 +100010001000 +011101010101 +011001010101 +100010001000 +100010001001 +100010011001 +100010001001 +100010001000 +100001110111 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010001001 +100010001000 +100010001001 +011110001000 +100010001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001000 +011101111000 +011110001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +011101110111 +011001100110 +011001100110 +011101110110 +011101100110 +011101100101 +011101100110 +011001100110 +011001100101 +011101110110 +100001110111 +011101100100 +011001010011 +011101010100 +011000110010 +010000110001 +011001010011 +011101100100 +010101000011 +011001010100 +011001010100 +010000110010 +001100100010 +000100010010 +000100010001 +000100000000 +000000000000 +001000010001 +001100100001 +001100100001 +001100100001 +010000110010 +010101000011 +011001010100 +010001000011 +010001000011 +010101010101 +011101100110 +010101000100 +010101010100 +010001000100 +010000110011 +001100110010 +001100100010 +001100100010 +001100100010 +010000110011 +010000110011 +010000110011 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100110011 +010001000100 +010101010101 +011001100110 +011101100110 +100001110110 +101110010111 +110010101000 +110010100111 +101110010110 +101110010110 +101010010110 +101010000110 +100101110101 +100001110101 +011101100100 +010101010011 +010000110010 +001000100001 +001000100001 +000100100001 +000100100001 +000100010001 +000100010001 +001000010000 +001000100001 +000100010001 +000100010001 +001000100010 +010000110011 +001100110011 +001100100010 +001100100011 +001100100011 +001100100010 +001000010000 +001000010000 +001000010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100010000 +001100010000 +011001000001 +100001000001 +100001000001 +100001000001 +100001010001 +011101000001 +010000100001 +000000000000 +000100000000 +001000010000 +001100110001 +000100100010 +000000000001 +000000000001 +000000010010 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +011001000010 +101001110010 +100101010001 +100101010001 +100001010001 +011101000001 +011000110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100100000 +010000100000 +010100110000 +010100110000 +010100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100110000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011001000001 +011001000001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010000110000 +010000100000 +001100100000 +001100010000 +000100000000 +000000010001 +000000010001 +000000010010 +000100100101 +000100100101 +000000110101 +000001010111 +000000110110 +000001000110 +000001000111 +000001101001 +000001010111 +000001010111 +000001011000 +000101111010 +000110001011 +001110001001 +001101000011 +001101000011 +001101000100 +001101000100 +001101000011 +011001100110 +011001111000 +011001110111 +011001100110 +011001110111 +011001110111 +011101111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011101111000 +011101111000 +011001111000 +011010001001 +011110001001 +011101111000 +011101111000 +011101110111 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +100010001000 +011110001000 +011101110111 +011101110110 +011101111000 +011101110111 +011101110110 +100001110110 +100001110111 +100010001000 +100001110111 +011101110111 +100010001000 +011101100101 +011101110110 +011101100110 +100010001000 +100010001000 +011101100110 +100001110110 +011101100110 +011101100101 +011101100101 +100010001000 +100010011001 +100010011001 +100010001000 +011101100101 +011101110110 +011101110110 +100001110111 +011101100101 +100001110110 +011101110110 +100001110111 +100001110110 +011101100101 +100001100101 +011101100100 +011101010100 +011101010100 +100001100101 +011101100101 +011101100101 +100001100110 +011101100110 +100001110110 +011101100101 +100001100100 +011101010100 +011101010011 +011101010011 +011101010100 +011101100101 +011101100101 +011001000010 +011001000010 +011101000011 +011001000010 +011101010100 +100001110110 +100001110111 +011101010100 +011101010100 +100001110110 +100010000111 +100001110111 +100001110111 +100001110110 +100001110111 +100001110110 +100001110110 +100001110110 +100010000110 +100001110110 +100010000111 +100010000111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100110001000 +100010000111 +100110001000 +100010001000 +100010000111 +100010000111 +100001110111 +011101100110 +100010000111 +100010001000 +100010000111 +011101100110 +011101110110 +100001110111 +100010001001 +011110001000 +011101110111 +100010001000 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +100010001001 +100010001000 +011101110111 +100010001001 +100010001001 +100010001001 +100010011010 +100010011010 +011110001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100010001001 +011110001001 +100010011001 +011101110111 +011001100101 +010101010100 +011001010100 +010001000011 +011001010101 +011101110110 +011101100101 +011101100101 +011001010100 +011101010100 +011101100100 +010101000011 +011001010100 +011001010100 +011001000011 +011001010011 +011001000011 +010101000011 +001100110010 +001000100011 +001000100010 +001000010001 +001000010000 +001100100001 +010000110010 +010000110010 +010100110010 +010100110010 +010000110010 +010101000010 +010000110010 +001100100001 +001100100001 +001100100001 +001100100001 +010000110011 +010001000100 +010001000011 +010101010100 +011001100110 +010101010101 +010101000100 +010000110011 +001100110010 +001100110010 +001100100010 +001100100010 +001100110010 +001100100010 +010000110011 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010101000101 +011001010110 +011101100110 +011001010101 +100101110101 +101110010110 +100101110101 +100101110101 +101010000110 +101010010110 +101010000110 +101110010110 +101010000110 +101110100111 +101010000110 +101010010111 +101010000110 +100010000110 +011101100100 +010101000011 +001100110010 +001100100001 +001000010000 +001100100001 +001100110011 +010001000100 +010001000100 +001100110100 +001100110100 +001100100010 +001100100011 +001100110100 +001000010001 +000100000000 +001000000000 +001000010000 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000000000 +010000100001 +011000110001 +011101000000 +011101000001 +100001000001 +100001000001 +011101000001 +001100010000 +000000000000 +000100000000 +001100100000 +001100110001 +000100010010 +000000010001 +000000000001 +000000010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +000000010000 +000000010000 +000000010000 +000000010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +101001110010 +100101100001 +100001010001 +100001010001 +100001000001 +011101000001 +011000110000 +010100110000 +010000100000 +010000100000 +010100100000 +010100110000 +010100100000 +010100100000 +010100110000 +010100110000 +010000100000 +010000100000 +010100110000 +010000100000 +010000100000 +010000100000 +010100110000 +010000100000 +010100100000 +010100100000 +010100110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +011000110000 +011000110001 +011001000001 +011001000001 +010100110000 +010100110000 +010000110000 +010000100000 +001100100000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000010001 +000000010010 +000100100101 +000100100101 +000000110110 +000001000111 +000000110110 +000001000110 +000001011000 +000001011001 +000001000111 +000001011000 +000001011000 +000101101001 +000101111010 +001101111000 +001101000011 +001101000011 +001101000100 +001101000100 +001101000011 +011001100110 +011001110111 +011001100110 +011001010101 +011001110110 +011001110111 +011001111000 +011101110111 +011001100101 +011001010100 +011001100101 +011101100110 +011001100101 +011001010101 +011001100110 +011101111000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110110 +011101110111 +011101111000 +011101110111 +011101110110 +011101100110 +011101110111 +011101110111 +011101100101 +011101110110 +011101111000 +011101110111 +011101110111 +011101100101 +011101100101 +100001110111 +100001110111 +100010001000 +100010001001 +100010001000 +100010001001 +011101110111 +100010011001 +100010011001 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +011101110111 +100010011001 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010001000 +100010000111 +100001110111 +100001110111 +100001110110 +011101100101 +100001110110 +100001110110 +100001100101 +011101100100 +011101100101 +100001110110 +100001110110 +011101100110 +100001100110 +100001110110 +100001100101 +100001100101 +100001100101 +011101100100 +011101100100 +011101100100 +011101010100 +011101010100 +100001100100 +011101010011 +011101000011 +011001000010 +011001000010 +011101100101 +100001110110 +011101100110 +011001010011 +011101100100 +100001110111 +100001110111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110101 +011101100101 +100001110110 +100001110111 +100010001000 +100110001000 +100010000111 +100010001000 +100010001000 +100010001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +011101100101 +011101100110 +011101110110 +100001110110 +011101100100 +011101100101 +011101100101 +100010001000 +011110001000 +011101111000 +100010001001 +100010001001 +100010011010 +100010011010 +100010001001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010001001 +100010001000 +100010011001 +100010011001 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010001000 +011101110111 +011101100110 +011001100101 +011001100101 +011001100101 +010101000011 +010001000010 +010101000011 +011101100101 +011001010100 +010000110010 +011001010100 +011001010100 +011001010011 +011001000011 +010000110010 +010101000011 +001000010001 +000100100010 +001000100010 +001000010000 +001100100001 +010100110010 +010101000011 +011001100100 +011101100101 +011001010100 +011001010100 +011101100101 +010101000011 +010000110010 +010100110010 +010000110010 +001100100001 +001100100010 +001100100010 +001100110011 +001100100010 +010001010100 +010101010100 +010001000100 +010001000100 +010000110011 +001100110011 +001100110011 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +010000110011 +010101000011 +010001000011 +010000110011 +010001000100 +011001010101 +011001100101 +011101100110 +011101100101 +101110010110 +101110100111 +101010010110 +101010010110 +101110100111 +101110101000 +101010010111 +101110010111 +100110000101 +100110000101 +101010000110 +101110010110 +101110100111 +101010000101 +101010000110 +101110010110 +100001110101 +001100100001 +010000110011 +010001000100 +010001000101 +001100110100 +001100110100 +001100110100 +001100110100 +001100110011 +001100110100 +001100110011 +001100110011 +001100100010 +001100100001 +001000010000 +001000010000 +001000010000 +001000010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000010000 +001000010000 +001000000000 +001000000000 +001000010001 +001100110010 +010000110010 +010000100001 +010100100000 +011000110000 +011000110001 +011101000001 +011001000001 +001000010000 +000000000000 +000100000000 +001000010000 +001000100010 +000000010010 +000000010010 +000000000000 +000000000001 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010100110001 +101001110010 +100001010001 +011101000001 +011101000001 +011101010001 +011101000001 +011000110001 +010100110000 +010000100000 +001100100000 +010000100000 +010100100000 +010100110000 +010100100000 +010100100000 +010100100000 +010100110000 +010000100000 +010100110000 +010000110000 +001100100000 +001100100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +011001000001 +011000110001 +011000110000 +011001000001 +011001000001 +010100100000 +010000100000 +010000100000 +001100100000 +001100100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000010001 +000000010011 +000100100101 +000100100101 +000001000110 +000001000110 +000001000110 +000001000111 +000001101001 +000001000111 +000001010111 +000001011001 +000001011001 +000001101000 +000101111001 +001101100111 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +011001100110 +011001100101 +011001100101 +011001100101 +011001100110 +011001110111 +011001100111 +011001010101 +011001100101 +011001100100 +011101100101 +011101110110 +011001010100 +010000110001 +010100110011 +011101100101 +011001010101 +011001100101 +011101110110 +011101100110 +011101100101 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101100101 +011101100110 +011110001000 +011101110111 +011101110111 +100001110111 +011101110110 +100001110110 +011101100101 +011101110110 +011110000111 +100010001000 +100010001001 +100010001001 +100010011001 +100010001001 +100010001000 +011101110111 +100010001000 +100010001001 +100010011001 +100010001000 +100010001001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100001110111 +100001110110 +011101100110 +100001110111 +100001110110 +011101100101 +011101100110 +100001110110 +100001110110 +011101100100 +011101010100 +100001100101 +100001110111 +100001110110 +011101100101 +011101100101 +100001110110 +011101100101 +100001100101 +011101100100 +011101100100 +011101010100 +011101010100 +011101010100 +011101010100 +011101010100 +011001000010 +011001000010 +011001000010 +011101010100 +100001110110 +100001110110 +011101110101 +011101100101 +100001110110 +100001110110 +100010000111 +100110001000 +100001110110 +100001110111 +100001110111 +100001110110 +100001110101 +011101100100 +100001110110 +100010000111 +100110001000 +100010001000 +100010001000 +100110001000 +100110011000 +100110001000 +100110001000 +100010000111 +100010001000 +100010001000 +100010001000 +100110001000 +100110000111 +100001110110 +100010000111 +100001110111 +011101100101 +100001110110 +011101110110 +100110011001 +100010001001 +011110001001 +100010011001 +100010001001 +100010011010 +100010011010 +100010001001 +100010011001 +100010001001 +100010011001 +100010001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001000 +011101100101 +010000110010 +001100110010 +010000110011 +010000110010 +010101000011 +011001010100 +011101100101 +011101100101 +011001010011 +010101000011 +001100110010 +001000100010 +001000100001 +010000110010 +010000110010 +010101010100 +010101000011 +011101100101 +011101100110 +011101110110 +011101100101 +100001110110 +011101100101 +010101000011 +010101000011 +010100110010 +010000110010 +010101010100 +011001010100 +010101000011 +010001000011 +010101000011 +001100100001 +001000100001 +001100100010 +001100110010 +001100100010 +001100100010 +010001000100 +010101000101 +010001000100 +001100100010 +001000100010 +010000110011 +010000110011 +010000110011 +010000110011 +010101000100 +010101000101 +010101010100 +011001010100 +011101010100 +100101110100 +101010010110 +101010010110 +101110010111 +110010101000 +110010111000 +110010111001 +110010111001 +101110101000 +100110000101 +101110010110 +101110100111 +101010010110 +101110010110 +101010000101 +101110010110 +101110010101 +010000110010 +010000110011 +001100110100 +010001000101 +001100110100 +001000110100 +010001000101 +010001000100 +001100110100 +001100110011 +001100110011 +001100110100 +001100110100 +001100110011 +001100100001 +001000010000 +001000010000 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000010000 +010000100010 +001100100010 +001100100011 +001100110011 +001100110011 +001100100011 +001000010001 +001100100001 +010100110000 +011000110001 +010100110001 +000000000000 +000000000000 +000100010000 +001000010000 +001000100010 +000100010010 +000100010010 +000000000000 +000000010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +100001100010 +100001010001 +100001010001 +011101000001 +011101010001 +011101100010 +011101010010 +011000110001 +010100110000 +001100100000 +001100100000 +001000010000 +010000100000 +010100110001 +010100110000 +010100100000 +010100110000 +010100110000 +010100110000 +010000110000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100100000 +010100100000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +011001000001 +011001000001 +011001000001 +011000110001 +011001000001 +010100110000 +010100110000 +010000110000 +010000100000 +001100100000 +001100010000 +001000010000 +000100000000 +000100000000 +000000000001 +000000010011 +000100100101 +000100110110 +000001000111 +000001000110 +000001000110 +000001011000 +000001011000 +000001000111 +000001011000 +000001011000 +000001101001 +000101101001 +000101101001 +001001010110 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +011001100110 +011001100101 +011001100110 +011001100110 +010101100111 +011001110111 +010101010101 +010101000100 +011101110110 +011001100101 +011001010100 +011101100110 +011001010101 +011001000100 +011001000011 +011001010011 +010100110010 +001100100001 +010101000011 +011001010100 +010101000011 +011001100101 +011101110110 +011101110110 +011101110111 +100001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011101100110 +011001010100 +011101100110 +011101110110 +100001110110 +100001100110 +100001110110 +011101110110 +011101110111 +100010001000 +011110001000 +100010001001 +100010011001 +100010001000 +100001111000 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010001001 +100001110110 +100001110110 +100001110111 +100010001000 +100010001000 +100001110110 +100001110110 +100001110110 +100001100110 +100001100101 +011101100100 +100001100101 +100001100110 +100001100110 +011101100101 +100001110110 +011101110110 +011101100101 +100001100101 +011101010100 +011101100100 +011101010100 +011101010100 +011101100100 +011101010100 +011101010100 +011101010011 +011101010011 +011101010011 +011101010100 +011101100101 +011101100101 +011101100101 +011101110110 +011101100101 +100010000111 +100010000111 +100010001000 +100001110111 +100010000111 +100001110110 +100001110110 +100001110110 +100001100101 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100110001000 +100010001000 +100010001000 +100010000111 +100110000111 +100110001000 +100010000111 +100010001000 +100010001000 +100110001000 +100110001000 +100010000111 +100110011001 +100010001000 +100001110111 +011101110110 +100010011001 +100010011001 +100010001001 +100010011001 +100010011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100010001001 +100010011001 +100010001001 +100010001000 +100010001001 +100010011001 +100010011010 +100010011001 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100110011010 +100010011001 +100010011001 +100110011010 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +011101110110 +011101110110 +011101110110 +011101110111 +011001110111 +011110001000 +100010001000 +011101110110 +001000100010 +000100010000 +001000100001 +011001010100 +011001100101 +011001010100 +011001010100 +010101000011 +011001010100 +011001010100 +001100110010 +001000100001 +010101000011 +010101000011 +011001010101 +011101100110 +011001010100 +010101000010 +011001010100 +011101110110 +011001010100 +011001100101 +011101100101 +011101100100 +011001010011 +010101000011 +011001000011 +011001010100 +011101100101 +011001010100 +011001000011 +010101000011 +011001010011 +010101000011 +011001010011 +010101000011 +001100100001 +001000100001 +001100100001 +001000010001 +001100100010 +001100100010 +001100100010 +001100100010 +010000110011 +010101010101 +010101000100 +010001000100 +010000110011 +010101000011 +011101010101 +100001110110 +101110010111 +101110010110 +101110010111 +101010010111 +100101110101 +101010010110 +110010111000 +110110111001 +110110111001 +110010111000 +110010100111 +101110100110 +101110010110 +101110100111 +101010000101 +101010000101 +101010010101 +010101000011 +001100110010 +001100110100 +010001010110 +001100110100 +001000100011 +010001000101 +001100110100 +001100110011 +001000010001 +000100010001 +001000010001 +001000100010 +001100110011 +001100110011 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100100010 +010001000100 +001000100011 +001000100011 +001100110011 +001000100011 +001000100011 +000100010010 +001000100010 +001100100000 +010100110001 +001100010000 +000000000000 +000000000000 +001000010000 +001000010000 +001000100001 +001000100010 +000100010010 +000000000000 +000100010001 +000000010000 +000000010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100010001 +101001110010 +100001010001 +100001010001 +100001010001 +011101010001 +011101010001 +011101010001 +010100110001 +010000100000 +001100100000 +001000100000 +000100000000 +001000010000 +001100100000 +010100110000 +010100110000 +011000110001 +010100110000 +010000100000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100100000 +010100110001 +011001000001 +011001000001 +011001000001 +011001000001 +011000110001 +010100110000 +010100110000 +010000110000 +001100100000 +001100010000 +001000010000 +000000000000 +000100000000 +000000000001 +000000010011 +000100100101 +000100110110 +000001010111 +000001000110 +000001000111 +000001011000 +000001000111 +000001000110 +000001011000 +000001011000 +000001111010 +000101111010 +000101011000 +001101010101 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001000100010 +010000110011 +010101010100 +010101100110 +011001100111 +011001100111 +011001100101 +011001010101 +011101100110 +011001010100 +010100110010 +011001010101 +011101110111 +011101100110 +011001010100 +011101100100 +011001010011 +010000100001 +001100010001 +001100010001 +001000010000 +010000110010 +011001010011 +011001000011 +011001010100 +011101100110 +011101110110 +011101110111 +011101111000 +011110001000 +011110001000 +011101110111 +011001010100 +011001010101 +100010001000 +100001110111 +100001110110 +011101100110 +011101110110 +011101110111 +011101110111 +011101100110 +011101110111 +011110001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011001 +100010011001 +100010000111 +100010001000 +100010001000 +100010011001 +100010001001 +011101110110 +011101100110 +100001110111 +100001110110 +011101100100 +011101010100 +011101100101 +100001100101 +100001100101 +100001100110 +100001110111 +100001110110 +100001110110 +100001110110 +011001010011 +011101010100 +011101010100 +011101010100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101010100 +011001000011 +011101100101 +100001110111 +011101100110 +011101100101 +011101100101 +100001110110 +100001110111 +100010001000 +100010000111 +100110001000 +100010000111 +100010000111 +100010000111 +100001110111 +100001110111 +100010000111 +100001110111 +100010000111 +100001110111 +100110001000 +100010000111 +100010000111 +100110000111 +100110001000 +100010000111 +100001110111 +100010001000 +100110001000 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100001110111 +100010000111 +100010001000 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100110101010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010011010 +100010011001 +100010001000 +100010001000 +100001110111 +100010001001 +100010011001 +100010011001 +100010001001 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010001001 +100010011010 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010001001 +100001110111 +100001110111 +100010000111 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +010101010101 +010101000100 +100001110110 +100010001000 +100010001000 +100010000111 +001100110010 +010101010100 +011101100101 +001000010001 +000000000000 +010000110010 +010000110010 +010001000011 +011001100110 +011001100110 +010101010100 +011101100110 +011101110111 +011101110111 +011101110110 +011101110110 +011001010100 +010101000011 +011101100101 +011101100110 +011001100101 +011101100110 +011001010101 +011001010101 +011101100110 +011001010101 +010000110010 +010101000010 +011001010011 +010101000011 +011001010011 +010000110010 +010000110010 +001100100001 +010000110010 +001100100001 +001100100010 +001100100010 +010101000100 +010101010101 +011001100101 +010101010101 +010101000100 +010101010100 +011101100101 +101110010111 +110010111000 +110010111000 +110010100111 +110010111000 +101110100111 +110010100111 +110010101000 +110110111001 +101110010111 +110010100111 +110010101000 +101110100111 +101110100111 +101010010110 +101010000101 +101110010110 +010101000011 +001000010001 +001000110011 +010001000110 +001100110100 +001000100011 +001100110100 +010001000100 +001000100010 +000000000000 +000000000000 +000100000000 +000100010000 +001000100010 +001000100010 +000100010001 +001000010000 +001100010001 +001100010000 +001100010000 +001000010000 +001100010001 +010000110011 +001100110100 +001000100010 +000100010010 +001100110011 +001000100011 +001000100010 +000100010001 +001000100010 +001100110100 +001000100001 +001100010001 +000000000000 +000000000000 +000000000000 +001000010000 +001000010001 +001000100001 +001000100011 +000000010010 +000000010001 +000000010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000000010001 +000000010001 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +011001000010 +101001100001 +100001010001 +100001010001 +100001010010 +100001010010 +011101010001 +011001000001 +010100110000 +010000100000 +010000100000 +001100100001 +000100010000 +001000010000 +001100100000 +010100110000 +010100100000 +011000110000 +010100110000 +010000100000 +010000100000 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110001 +011001000001 +011001000001 +011000110000 +011000110001 +010100110000 +010100110000 +010100110000 +010000100000 +001100100000 +001000010000 +001000010000 +000000000000 +000000000000 +000000000001 +000000010011 +000100100110 +000101000111 +000001000111 +000001000110 +000001011000 +000001011000 +000001000110 +000001000111 +000001011000 +000001011000 +000001111010 +000101111010 +000101000110 +001101010101 +001101000011 +001101000100 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000100010001 +001000110011 +010001000100 +010101010100 +011001100101 +011001100101 +010101000011 +010100110001 +010101000010 +011101100110 +011101110111 +011001100101 +011101100101 +011001010100 +010100110010 +010000100001 +010000100001 +010000100001 +010100110010 +011001000010 +010100110001 +010000100001 +010101000010 +010101000011 +011001010100 +011101100110 +011101110111 +011110001000 +011110001001 +011101111000 +011101110110 +011110001000 +011110001000 +011101110111 +011101110111 +011101111000 +011101110111 +011101110110 +011101100101 +011101100110 +100010000111 +011110001000 +011110001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010001000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100010001000 +100001110110 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101010011 +011101010100 +100001100101 +011101100100 +011101010100 +011101010100 +011001010011 +011101010100 +011101010100 +011101100100 +011001010011 +011001000010 +100001110110 +100001110111 +011101100110 +011001010100 +011101100101 +011101010101 +010101000011 +011001000011 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100001110111 +100001110111 +100010000111 +100110001000 +100010001000 +100010000111 +100001110111 +100001110110 +100010000111 +100110001000 +100001110111 +100001110110 +100010001000 +100110001000 +100010001000 +100110000111 +100001110111 +100001110111 +100010000111 +100010001000 +100110001000 +100010000111 +100010001001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011001 +100010011010 +100010011010 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011010 +100110011010 +100110011010 +100010011010 +100010011010 +100110011010 +100010001000 +100010011001 +100010011001 +100110011010 +100110011010 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001001 +100010001000 +100001110111 +100001110110 +011101110111 +100010001000 +100010001000 +100010001000 +010000110011 +010101000100 +100001110111 +010001000011 +000000000000 +010001000011 +011001100101 +010101000100 +011001010101 +011001100101 +011001100101 +011101100110 +011101110111 +011101110111 +011101111000 +011101110111 +011101100110 +011101100101 +011101100110 +011001100110 +010101010101 +010101010101 +011001100110 +010101010101 +010101010100 +011101100101 +011001100101 +011001100101 +011101100101 +011001010100 +010100110010 +011001010011 +010101000011 +010100110010 +010101000010 +010000110010 +010000110010 +010000100001 +001100100001 +001100100001 +001100100010 +010000110011 +010101000100 +001100110010 +010101000100 +011001010100 +011101100100 +100001110101 +100110000110 +101010000110 +101110010110 +101010010110 +101110010110 +101110010110 +101110010110 +101110010110 +101110010111 +110010100111 +110010111000 +101110010110 +110010100111 +101110010110 +011101100100 +001100100010 +001100110011 +010001000101 +001000100011 +001000100011 +001100100011 +001100100011 +000100010001 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000100010001 +000000000000 +000100000000 +001000010000 +000100000000 +001000010001 +001100110011 +001101000100 +001000100011 +000000000000 +001000100010 +001100110011 +000100010010 +000100010001 +000100010001 +001100110100 +010001000101 +001000100011 +001000100010 +000100100010 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +001000100011 +000100100011 +000100010010 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000000010001 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +100101100010 +100001010001 +100001000001 +011101000001 +100001010001 +011101010001 +011101000001 +011000110001 +010100100000 +010000100000 +010101000001 +001100100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110001 +001100010000 +001100100000 +010000100000 +001100100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000110000 +010100110001 +011000110001 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000110000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000000000 +000000000000 +000000010011 +000100110111 +000101001000 +000001000110 +000001000111 +000001011000 +000001000111 +000001000110 +000001010111 +000001000111 +000001011000 +000001111010 +001001101001 +000100110100 +001101000100 +001101000011 +001101000100 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +001000100001 +010000110010 +010101010011 +011001010011 +011001000011 +011001100101 +011101110111 +011101100110 +011101110111 +011101100110 +011001010100 +010100110010 +010100110010 +010100110010 +011001000010 +011101000011 +011001000010 +010000100001 +001100010001 +000100000000 +010100110010 +011101100101 +011001100110 +011001100110 +011101110111 +011101110111 +100010000111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011101110111 +011101100101 +011101100100 +011101100110 +100010001000 +011110001000 +100010000111 +100010001000 +100010011001 +100010001000 +011110001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001001 +100010001000 +100001110111 +011101100101 +011101010011 +011101100100 +011101100100 +011101100100 +011101010100 +011001000011 +011001000010 +011101000010 +011101010100 +011101010100 +011000110010 +011001000011 +011101100101 +011101100101 +011101100101 +011001010100 +011101100101 +011101110110 +011001010011 +011101010100 +100110000111 +100001110111 +100010001000 +100010001001 +100010000111 +100001110110 +100010000111 +100010001000 +100010001000 +100010000111 +100010000111 +100001100101 +100001110110 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100110001000 +100010000111 +100010000111 +100001110111 +100010001000 +100010000111 +100010001000 +100010011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010011010 +100110011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010001000 +011101110110 +011101110111 +011110001000 +011110001001 +100010001000 +100010000111 +100010000111 +100010001000 +100001110110 +100001100101 +011101100101 +011101100101 +011101110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +100001110110 +011001010101 +100001110110 +100001110111 +011001010100 +011101100101 +100010001000 +011101110111 +011001100101 +011101100110 +011101110111 +011101110111 +011001100101 +011101100110 +011101110110 +100001110110 +011101110111 +011001110111 +011001100110 +011001100110 +011001100101 +010000110010 +011001010100 +010101010100 +011001100101 +011001100110 +011101100101 +011101100101 +100001100101 +010101000011 +010101000011 +010100110010 +010101000010 +010101000010 +011001000010 +010100110010 +010000110010 +010001000011 +010000110010 +010000110010 +001100100001 +001100100001 +010101000011 +010000110010 +001100110010 +001100100010 +010000110010 +010101000011 +010101000011 +011001010011 +100001110101 +101110010111 +101010010110 +101110010110 +101110010110 +101110010101 +101110010110 +110010010110 +101110010101 +011101010011 +001100100001 +001100110011 +001100110100 +000100010010 +001000100011 +001100110011 +001000100010 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100000000 +000100000000 +000000000000 +000100000000 +000100000000 +000100000000 +010000110100 +001101000100 +001000100011 +000100010001 +000000000000 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +001000010001 +001000100010 +001000100010 +001100110011 +001000110011 +001000100011 +001100110100 +001100110100 +001100100010 +000100010000 +001000100011 +000100100011 +000100010010 +000100010001 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010000110001 +101001100010 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +010100110000 +010000110000 +011001000001 +011001000001 +001100100000 +010000100000 +011001000001 +010000100000 +001100010000 +001100100000 +010000100000 +010100110000 +010000100000 +001100010000 +001100100000 +010000100000 +001100100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110001 +010100110000 +010100110000 +011000110001 +011000110001 +010100110000 +010100100000 +010100110000 +010100110000 +010000100000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000000000 +000000000000 +000000100100 +000001001000 +000001000111 +000000110101 +000001000111 +000001000111 +000000110110 +000001000110 +000001010111 +000000110110 +000001101001 +000001111010 +001001101000 +000100100011 +001101000100 +001101000100 +001101000100 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100110010 +010001000011 +011001100110 +011001110111 +011101110111 +011101111000 +011101110111 +011101100101 +011001010011 +011101010100 +011101010100 +011001000011 +011001000010 +011000110010 +010100110010 +001100010001 +000100000000 +010000100001 +010100110011 +010101000100 +011001010101 +011001010100 +010001000011 +010101010100 +011001010101 +011101110111 +011110001000 +100010001001 +011110001001 +011110001000 +011101110111 +011101110111 +011101110110 +011101100101 +100010000111 +100010001001 +100010001000 +100010000111 +100010001000 +100010000111 +011110000111 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001000 +100001110111 +100001110110 +011101110110 +011101100101 +011101100101 +100001100101 +100001100101 +011101100101 +011001000011 +011001000010 +011001000010 +011001000010 +011101000011 +011001000011 +011101100101 +011101100101 +011001010100 +011001100101 +100010001000 +100010001000 +011101010101 +100001110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100110011001 +100010001000 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100001110111 +100010000111 +100001110110 +100010001000 +100010001000 +100001110111 +100001110111 +100010001000 +100010011001 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +100110011010 +100110011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011001 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100010011010 +100010011010 +100010011001 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +100010000111 +011101100101 +100001110110 +011110001001 +100010001001 +100001110110 +100001110110 +100001110110 +100010001000 +100001110110 +011101100100 +011101100100 +011101100101 +011101100110 +011101110110 +100010000111 +100001110111 +100001110110 +100001110110 +100001110111 +100001110110 +011101100101 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100110011001 +100010001000 +100010001000 +011001100110 +010101010101 +010101010100 +011001100101 +011101110111 +011001100110 +011001100110 +011101110111 +011001110110 +010101000011 +010000110010 +010101000100 +010101010100 +011001100101 +100010000111 +100001110111 +011001010100 +011001010011 +011001000011 +010101000010 +011001000011 +010101000010 +010100110010 +010100110010 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100100001 +001100100010 +001100100010 +001000100001 +001000010001 +001000010001 +001100100010 +010000110011 +011001010100 +010101000011 +011001010011 +100001100100 +100101110101 +101010000101 +101010000101 +101110010110 +100001100100 +001100100001 +001100110011 +001101000100 +000100100010 +001100110100 +001100110100 +001000100011 +000100000000 +000100010000 +001000010000 +001000010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +001000100011 +001100110100 +000000010001 +000000000000 +001000100010 +001000100010 +000100010001 +000100010000 +000100000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000010001 +001000100010 +001000100011 +001100110011 +001000100010 +001000100001 +000100010000 +000100100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +011001000010 +100101010001 +011101000001 +011101000001 +011101000001 +011101000001 +011101000001 +011000110000 +010100110001 +011001000010 +011101010010 +011101010001 +010000110000 +011000110000 +100101010010 +011000110001 +001000010000 +001000010000 +001100100000 +010000110000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110001 +010100110000 +010100110001 +010100110000 +010100110000 +010100110000 +010100110000 +010000110000 +010000100000 +001100010000 +001000010000 +000100000000 +000000000000 +000000000000 +000000100101 +000001000111 +000000110110 +000000110110 +000001010111 +000000110110 +000000110110 +000001000111 +000001000111 +000000110110 +000001101001 +000101111010 +000101000110 +000100100010 +001101000100 +001101000100 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100010 +001100110100 +001101000100 +010001010101 +010001010110 +010101100110 +011001110111 +011101100110 +011001100101 +011101100101 +011101100101 +011001010011 +011001000010 +010100100001 +010100100001 +010000100001 +001100010000 +001100010000 +010000100001 +001100100001 +011101010100 +011001000011 +000100000000 +001000010000 +010000100010 +010101000011 +010101000011 +011001100110 +011110001000 +011110001001 +011110001000 +011110001000 +011101110111 +100010000111 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010011001 +100010011001 +100001110111 +011101100101 +100001110101 +100001110111 +100010001000 +011101100101 +011101010011 +011101010100 +011001000010 +011000110010 +011001000010 +011001000010 +011001010011 +011001010100 +011101110110 +011101110111 +011101110110 +100001110110 +100001110110 +100010001000 +100001110111 +100010001000 +100010001001 +100010001000 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010011001 +100010001000 +100010001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +011101110111 +100010001000 +100010001000 +100010011001 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100110011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011000 +100010001000 +100010011010 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100001110111 +100010011001 +100010011010 +100010011001 +100010011001 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010001000 +100001110111 +011101110110 +100010001001 +100010001000 +100001110111 +100001110110 +100001110110 +100010001000 +100010001000 +100001110110 +100001100101 +011101100101 +011101110110 +011101110110 +100010001000 +100001110110 +011101100101 +011101110101 +100001110110 +011101100101 +011101100101 +011101100101 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010011001 +100010011001 +011101110110 +011101100110 +100001110111 +100010000111 +010101010101 +001100100010 +010101000100 +010101000100 +010101010101 +011001100101 +010101000100 +010101000011 +010001000011 +011001100101 +011101110110 +100010000111 +100010001000 +100001110110 +011101100101 +100001110101 +011001010100 +010101000011 +010000110010 +010100110010 +010101000011 +010000110011 +010101000011 +010101000010 +010101000010 +010101000011 +010101000010 +010000110010 +010000110010 +010101000011 +010101000011 +001100100010 +001000100001 +001000010001 +001000100010 +010000110011 +001100100010 +001000010001 +001100100010 +001100100010 +010101000100 +011001010101 +011101100110 +100001110101 +011001010011 +001000010001 +001000100010 +001101000100 +000100100010 +001100110100 +001100110100 +001100110011 +001000010001 +000100010001 +001000010001 +001000100001 +001000010001 +001000010001 +001000010001 +001000010000 +001000010000 +000100000000 +000100000000 +000000000000 +000100010001 +000100010001 +000000000000 +000100010001 +001000100010 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +001000010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +100101100011 +100001000000 +011101000001 +011101000001 +011001000001 +011001000001 +010100110000 +010000110000 +010101000001 +100001010001 +100101100010 +101110000001 +011101010001 +011101000001 +101001100010 +100001010001 +001100100000 +001000010000 +001100100000 +010100110000 +010100110000 +010000100000 +001100100000 +001100100000 +010000100000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000000000001 +000000110101 +000000110110 +000000110101 +000000110110 +000001000110 +000000110101 +000001000110 +000001000111 +000000110101 +000001000110 +000001101010 +000101101001 +000000110100 +001000110011 +001101000100 +001101000100 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100010 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001000101 +010101010100 +010101010100 +011001100101 +011001010100 +011001000011 +010100110001 +010000100001 +010000100001 +010000100001 +010000100001 +010000100001 +010100100001 +010000100010 +010100110010 +010100110010 +001000010000 +001100100001 +001100100001 +001000010000 +001000010001 +001000010001 +010001000011 +011001010101 +011101110111 +011101100101 +011101100101 +100001110110 +100001110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010011001 +011110001000 +100010001001 +100010001000 +011101110110 +011101110111 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010001001 +100001110111 +011101100101 +011101110110 +100010001000 +011001010011 +011001000011 +100001110110 +011101010100 +011001000010 +011001000010 +011001000010 +011001000010 +011001010011 +011101110110 +100001110111 +011101100110 +011101110110 +100010000111 +100010000111 +100001110111 +100010001000 +100010001001 +100010011001 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100110011001 +100010011001 +100010001000 +100010000111 +100001110111 +100010000111 +100010000111 +011101100110 +100001110111 +100010011001 +100110011001 +100010011001 +100110011010 +100110011010 +100010011010 +100010011010 +100110011010 +100010011001 +100010011010 +100010001001 +100010001001 +100010011001 +100010011001 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100001110111 +100010000111 +100010001000 +100001110111 +100001110111 +100010000111 +100001110101 +100001110110 +011101100101 +011101100101 +011101100110 +100010001000 +011101110110 +100001100101 +100001110101 +100001110110 +100001110111 +100001100101 +011101100100 +100010000111 +100001110111 +100001110110 +100010001000 +100010001000 +100010011001 +100010001000 +100001110111 +011101100101 +011101100101 +100001110111 +011101100110 +001100100010 +001100110010 +001000100001 +011101100110 +100001110111 +011101100101 +010000110010 +010001000011 +010101010100 +011001100101 +011101110110 +100010000111 +011101110111 +011001010101 +011001100101 +100001110110 +011101100101 +010000110010 +010000110010 +010101000011 +010000110010 +010101000011 +010100110010 +010000100001 +011001000011 +011001010011 +011001000011 +011001000011 +011101010100 +100001110110 +011101100110 +010101010100 +010000110011 +001100110010 +010000110011 +001100100001 +001100100001 +001100100001 +001000100001 +001100110011 +001100110011 +010001000101 +010101010101 +010101000100 +010000110011 +001000100010 +001100110011 +000100010010 +000100010001 +000100010001 +001000100010 +000100010001 +000100000000 +001000010001 +001000010001 +001000100001 +001100100010 +010000110010 +010000110010 +001100100001 +001100100001 +001000100001 +000100010001 +000000000000 +000000000000 +000100010001 +001100110011 +001000100010 +000100010001 +001000010001 +000100010000 +000100010000 +000100010001 +001000010001 +000100010000 +001000010001 +001000010001 +001000010001 +001100100010 +001100110010 +001100100001 +001000010001 +001100100001 +001000010001 +001000010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010000100001 +100101100010 +011101000000 +011101000001 +011001000001 +011001000000 +011001000001 +010100110001 +010100110001 +011101000001 +101101110001 +110110100001 +111010110001 +101110000001 +100001000001 +101001100010 +100101100010 +010000110000 +001000100000 +010000110000 +011001000001 +011101000001 +010100100000 +001100100000 +001100010000 +001000010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100110000 +010000100000 +010100110000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +001100100000 +001000010000 +000000000000 +000000010001 +000000010011 +000000100011 +000000100100 +000000110110 +000000110101 +000000110101 +000001000111 +000001000110 +000000100100 +000001000111 +000001101001 +000101101001 +000000010010 +001000110011 +001101000011 +001101000100 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010100 +010101000011 +010000110001 +010000100001 +010000100001 +010000100001 +010000100001 +010000100001 +010000100001 +010100100010 +010100100001 +010100110010 +001100100001 +010000100001 +010000100001 +010000100001 +010000100001 +001100010001 +011101010101 +011101110111 +100010001000 +011001010100 +010000100001 +010100110010 +011101100100 +100001110101 +011101110110 +100010001000 +100010001001 +100010001001 +011110001001 +011110001001 +011110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010000111 +011110001000 +011101110111 +011101110111 +100010001001 +100010011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010001000 +100010001001 +100010011001 +100001110111 +011001010100 +011101100110 +100001110111 +011101100100 +011001010011 +011101010100 +011001000010 +011001000011 +011101110110 +011101100110 +011101010100 +011101100101 +011101110111 +100001110111 +100001110111 +100001110111 +100010001000 +100010011001 +100010001000 +100010001000 +100110011001 +100010001001 +100010000111 +100010000111 +100001110111 +100001110110 +100001110110 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010011000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100001110111 +100010000111 +100010001000 +011101100110 +100001110111 +100010000111 +100010011001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100110011010 +100110011010 +100010011010 +100110101011 +100010011010 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010001000 +100010001001 +100010011001 +100010000111 +100010000111 +100010001000 +100010000111 +100001110110 +100010000111 +100010000111 +100001100110 +011101100110 +011001100101 +011101100110 +100010001000 +100001110111 +100001110110 +100001110101 +100010000111 +100010001000 +100001110110 +011101100101 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +100010001000 +100001110111 +100001110111 +011101100110 +011101110110 +011101100101 +011101100101 +011101110110 +011101110111 +010101010101 +011110001000 +100010001001 +100010001000 +011001010101 +011101100110 +100010000111 +011001010101 +011101100110 +100001110111 +011001100101 +001100100010 +001000010001 +011001010101 +011101100110 +011101100101 +010101000011 +010000110010 +010000110010 +010101000011 +010101000011 +010101000011 +010000100001 +010100110010 +011001010011 +011001010011 +011101010100 +011101100101 +100010001000 +011101110111 +011101100110 +011001010101 +011001010100 +010101000011 +010000110010 +010101000011 +010000110010 +010000110011 +001000100001 +001000010001 +001100110011 +010001000100 +010000110011 +001100100010 +001000110011 +000100100010 +000000000000 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +000100010001 +001000010000 +001100100001 +010000100010 +010000110010 +010000110010 +001100100001 +001100100001 +001100100001 +000100000000 +000000000000 +001000100010 +001000100011 +001000100011 +000100010000 +000100010000 +001000010001 +001000010001 +001100100010 +001100100010 +001000100001 +001100100001 +001000010000 +001000100001 +001100100001 +001100100001 +001100100001 +001100100001 +001000010001 +001100100010 +001100110010 +001100110011 +010000110011 +010000110011 +010000110011 +001000100010 +001000100001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +011101000010 +100001010001 +011001000001 +011001000001 +011001000001 +011101000001 +011001000001 +011001000001 +011101000001 +101001100010 +110110010001 +111111000000 +111110110001 +111010100001 +100001010001 +101001100010 +101001110010 +010101000001 +001100100001 +001100100000 +011001000001 +100001000001 +011001000001 +010000100000 +001000010000 +001000000000 +001000010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100110000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +001100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000100100 +000000110101 +000000100100 +000000110110 +000001000111 +000000110101 +000000010100 +000001010111 +000001101001 +000101011000 +000000010001 +001000110100 +001101000011 +001101000100 +001101000100 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001100110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010100 +010001000100 +010000110010 +010000110010 +010000110001 +010000100000 +010000100001 +010000100001 +010000100001 +010000100001 +010000100001 +010100110001 +010101000010 +010100110010 +011000110010 +010000100001 +011001010100 +100010000111 +100001110111 +011001000011 +001000000000 +001000010000 +011001000011 +011001000011 +010001000010 +011001110110 +011110001000 +100010001000 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +100010001001 +011110001001 +011110001001 +100010011001 +100010001001 +011110001000 +100010001000 +100010001001 +100010011010 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010001001 +100010001001 +100010011001 +100010001000 +011101100101 +100001110111 +011101100101 +011001010011 +100001110111 +100001110111 +011001010011 +011101100101 +100010000111 +100001110111 +100001110110 +011101110110 +100010001000 +100010011001 +100110011001 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010000111 +100001110110 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010001001 +100010011001 +100010011001 +100010001001 +100110011001 +100010001000 +100010001000 +100010000111 +100001110111 +011101110110 +100010000111 +100010001000 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100110101011 +100010011010 +100010011001 +100010011010 +100010011001 +100010011010 +100010011001 +100110011010 +100110011011 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100010000111 +100001110110 +011101100101 +011001100101 +011001100101 +100010001000 +100010000111 +100001110111 +100001110110 +100001110110 +100010000111 +100001110110 +100001110110 +100001110101 +100001110110 +100001110110 +011101110110 +100001110110 +100010000111 +100010000111 +100010000111 +011101110111 +011101110111 +011101110110 +011101100100 +011101100110 +100001110111 +100001110110 +100001110111 +100010001000 +011101110110 +011101100110 +011101110111 +011110001000 +011101110111 +100010000111 +100010001000 +100010001000 +011101110111 +011001010101 +011101100101 +011001010100 +011101100101 +011001010100 +011001010100 +010101000011 +010000110010 +011001010100 +011101100110 +010101000100 +010101000011 +011001010100 +011101100100 +011001000011 +010101000010 +011101110111 +011101110111 +011101110111 +011001100110 +011001100101 +011001100101 +010101010100 +010101000011 +010000110010 +010000110011 +010000110010 +010000110010 +001100100001 +001100100001 +001100110010 +001100100001 +001000100010 +001000110011 +000000000001 +000000000000 +000000000000 +001100110011 +010101010110 +010101010101 +010001000100 +010001000100 +001100100010 +001000010001 +001000010000 +001000010000 +001000100001 +001100100001 +001100100001 +001000010000 +000100010001 +001000100010 +001000100010 +000100010001 +000100010000 +000100010000 +000100010000 +001000010000 +001000100001 +001000010001 +001000010001 +001000010001 +001000010000 +001000100001 +001100100010 +001100100010 +001100100001 +001000010001 +001000010001 +001100100010 +010000110011 +010101000011 +011101010101 +011001010101 +011001010100 +010101000100 +011001010101 +011001010101 +010101010100 +010101000100 +010000110011 +001100100010 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +100001010010 +100001000001 +011101010001 +011101010010 +011001000001 +011101000001 +011000110000 +011000110000 +100101010001 +111010010010 +110110000001 +111010110000 +111110110001 +111010110001 +100101100001 +100101100001 +101101110010 +011001000001 +001100110001 +010000110001 +010000100000 +011101000001 +100001010001 +011001000001 +001100010000 +001100010000 +001100010000 +001000000000 +001000010000 +001100100000 +001100100000 +010000100000 +010000100000 +010000110000 +010100110000 +010000110000 +010000100000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010100110000 +010100100000 +010100110000 +010100110000 +010100110000 +010100110000 +010000100000 +010000100000 +010000100000 +000100000000 +000000000000 +000000000000 +000000000000 +000000100011 +000000110100 +000000100011 +000000110110 +000000110110 +000000100011 +000000100100 +000001000111 +000101101001 +000101000110 +000100010001 +001001000100 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001100110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010110 +010101100110 +010101100110 +010101010101 +010101000100 +010000110010 +010000100001 +001100100000 +010000100000 +010100100001 +010000100001 +010000100001 +011001000011 +010100110010 +010000100001 +010000100001 +010100110001 +011101010100 +011101010011 +011000110010 +010000100001 +010000100001 +011001000010 +010101000010 +010100110010 +010101010100 +011001010100 +010101000011 +011001100101 +011101110110 +100010000111 +100010011001 +100010001001 +100010011001 +011110011010 +011110001001 +011110011001 +011110011001 +011110001001 +011110001000 +011110001000 +100010011001 +011110011001 +100010011001 +100010001001 +100010001001 +011110001001 +011110011001 +011110001001 +100010001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +011110001000 +011101110111 +011110000111 +011101110111 +011101100101 +011101110111 +100010001000 +011101110111 +100010001000 +100010001000 +100010000111 +100001110111 +011101110111 +100010001000 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100001110111 +100001110111 +100001110111 +011001100101 +011101110110 +011001000011 +011001000010 +011101100110 +100010001000 +100010001001 +100010011001 +100010001001 +100010001000 +100010011001 +100010001001 +100010001000 +100010001001 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010001001 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011010 +100110011010 +100110101011 +100110011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010011010 +100010011001 +100010001001 +100010001001 +100110011001 +100110001000 +100001110110 +100001110110 +100010000111 +100001110110 +011101100110 +011001100101 +011101100101 +100001110110 +100001110110 +100001110111 +100001110110 +100001100101 +100001110101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001110110 +011101110110 +011101110110 +011101110111 +100001110111 +011101110111 +011001100101 +011101100101 +011101100101 +011101100101 +011101100101 +100001110110 +011101100101 +100001110111 +011101110111 +011101110111 +011101100110 +011101100101 +011101110111 +100010001000 +100010001000 +011001100110 +001000100010 +001000100001 +011001100110 +010101000100 +001100110010 +001100100001 +001100100001 +010101000100 +011101100110 +011101110110 +011101100101 +011001010100 +011101100101 +010000110010 +010001000011 +011101110110 +011101110111 +011101110111 +011001010101 +011101100101 +011101100101 +011101100101 +011001010100 +010100110010 +010100110010 +010000110001 +010000100001 +001100100001 +001000100001 +001100100001 +001100110010 +001000100010 +001000100010 +000100100010 +000000000000 +000000000000 +000100010000 +001100110011 +010000110011 +001100100010 +001100110010 +010000110011 +010101000011 +010000110011 +010001000100 +010001000100 +010001000011 +001100110010 +001000010001 +001000100010 +001100110100 +000100010001 +000100010000 +001000100001 +001000010001 +001000010001 +001000010001 +000100010000 +001100100010 +001100100010 +001000010001 +001100100001 +001100100001 +001100100010 +001100100010 +001100100010 +001100100001 +001100100010 +001100100010 +010000110010 +010000110011 +010101000011 +010101000100 +010101000100 +011001010101 +011101100101 +011101100101 +011001010100 +011101100101 +100110000110 +100101110101 +011101100101 +011001010100 +010101000100 +010000110011 +001000100001 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100100001 +100101100010 +011101000001 +011101100100 +100001100101 +011001000001 +011000110000 +010100110000 +011101000001 +110110010001 +111110110000 +111010010001 +111010100001 +111010100001 +111010100001 +101010000001 +100001010001 +101001110010 +011101010010 +010000110001 +011001000010 +010100110001 +010100110001 +100001000001 +100001010001 +010100110000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000110000 +010000100000 +010000100000 +010100110000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001000010000 +000000000000 +000000000000 +000000000001 +000000010011 +000000010010 +000000100011 +000000110110 +000000110101 +000000010011 +000000100100 +000001000110 +000101011000 +000100100011 +000100100010 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010101 +010001010110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010101 +010001000011 +010000110010 +010000100001 +010000100001 +010000100001 +010100110001 +010000100001 +010000100001 +010000100001 +010000100001 +010100110001 +011001000001 +011001000001 +010100110001 +010100110001 +011000110001 +010100110001 +010100110001 +011101010011 +011001000010 +001000010000 +010101000011 +011001000011 +010101010100 +011110001000 +100010001000 +100010001001 +100010011001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100001110111 +100001110111 +100001110111 +100001110111 +100010001000 +011101100110 +011101010100 +011101010011 +011001000011 +011101100110 +100010001000 +100010001001 +100010011001 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100110011010 +100110011010 +100010011010 +100010101011 +100010011010 +100110101010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001000 +100010001001 +100010011010 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100110001000 +100010000111 +100010000111 +100001110110 +011101100110 +011001100101 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001110101 +100010000110 +100010000111 +100001110101 +100001110110 +100001110110 +100001110110 +100001100101 +100110000111 +100001110110 +011101100101 +011101100101 +011101100110 +011101110110 +100001110110 +011101110110 +011101110110 +100001110110 +011101100101 +011101100101 +100001110101 +011101100101 +010101010100 +011101100101 +011001010100 +011001000011 +011101100101 +100010000111 +100010001000 +011101110111 +011001100110 +011001100101 +011101110111 +100010001000 +100010001000 +100001110111 +010101000100 +001000010001 +010000110011 +010001000011 +011001010100 +011001010100 +010101010100 +011001010100 +011001100101 +011101110101 +011101110110 +011101110110 +011101010100 +011001010011 +011001010100 +011001000011 +011001010011 +011001000010 +011001000010 +011001000010 +010100110010 +010101000011 +010001000011 +001100100001 +001000010000 +001000100010 +000100100010 +001000100011 +001000010001 +001000010000 +001100100001 +010000100001 +010000100001 +010000110010 +001100100001 +001100100001 +001100100001 +010000110010 +001100110010 +001100100010 +010000110011 +010001000011 +010101010101 +010001000100 +001000100010 +000100010000 +000100010001 +001000010001 +000100010000 +000100010000 +001000010001 +000100000000 +001000010001 +001100100001 +001000010001 +001000010000 +001100100001 +010000110010 +010000110010 +001100100010 +001100100010 +010101000011 +010101000100 +010000110011 +010000110011 +010101000011 +010000110011 +010101000100 +010101010100 +011001010101 +011101100101 +011101010101 +011101100110 +100110000110 +101010000111 +101010000110 +100101110101 +100001110101 +100001100101 +011101100101 +011101100101 +011001010100 +010101000100 +001100110010 +001000100001 +000100010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010100110001 +011101000001 +010100110010 +100001111000 +011101100110 +010000100001 +010000100000 +011000110001 +100001010010 +111010100010 +111010110001 +111010110000 +111010100001 +110010010010 +101110010010 +100001100010 +010101000001 +100001100001 +100001100010 +010100110010 +011101010001 +011101010001 +010000100001 +010100110001 +100001010001 +011101000001 +010100100000 +001100010000 +001100010000 +001100100000 +001100100000 +001100010000 +001100010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001100010000 +001100100000 +010000100000 +010100110000 +010100100000 +010000100000 +010000100000 +010000100000 +010000100000 +001000010000 +000000000000 +000000000000 +000000000001 +000100010100 +000000000010 +000000010010 +000000100100 +000000100100 +000000010011 +000000100101 +000100110110 +000101010111 +000000010001 +001000110011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010001010101 +010001000100 +001100110011 +001100100010 +010000110010 +010000100001 +001100100001 +010000110001 +010000100001 +010000100001 +010100110001 +011000110001 +011000110001 +010100100001 +010100110001 +010100110001 +011000110001 +010100110001 +010100110001 +010100110010 +010100110001 +011101010100 +010000110001 +010100110011 +100010001000 +100010001000 +011101110111 +100001110111 +011101110111 +011101110111 +011110001000 +100010011010 +100010001001 +011110001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +011110001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100001111000 +100001110111 +100010001000 +100010001000 +100001110111 +100001110111 +100010001000 +100010001000 +011101100110 +011101100101 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100010000111 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010011010 +100010011010 +100010011010 +100010011010 +100010011010 +100110011011 +100010011010 +100110011010 +100110101010 +100110011010 +100110011010 +100010011010 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010001000 +100001110110 +100010000111 +100010000111 +100001110111 +011101110110 +011101110111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110101 +100001110110 +100001110101 +100001110110 +100001110101 +100001100101 +011101100101 +100101110111 +100001110111 +100001110110 +100010000110 +100010000111 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +011001010100 +010101000011 +011101100101 +011101100101 +100001100101 +011001010011 +011001010100 +011001010100 +011101100101 +011101010100 +011001000010 +011101100100 +100010000111 +100010001000 +011101100110 +011101100101 +011101100110 +010101000100 +011101010100 +100001110110 +100001110111 +011101100110 +010000110011 +011001100110 +100001110111 +100001110111 +011101110110 +010001000011 +010000110010 +010101010100 +010001000010 +010101000011 +011001100101 +100001110110 +011101100110 +011101100110 +011001010100 +010101000010 +010101000010 +011001000010 +010100110010 +010100110010 +010101000011 +011101100101 +011001010100 +010100110010 +001100100010 +001000100011 +001000110100 +001000100010 +001100100001 +001100100001 +010000110010 +010100110010 +010100110010 +010101000011 +010001000011 +010000110010 +010000110010 +001100100001 +001100100010 +001000100001 +001000010001 +010000110011 +001100110011 +000000000000 +000100010001 +001100110010 +010001000100 +010001000100 +001100110011 +001000100010 +001100100001 +001100100010 +010000110011 +010000110010 +010000110010 +001100100010 +010000110011 +010100110011 +010000110010 +010000110010 +010101000011 +011001010101 +010000110011 +010000110011 +011001000100 +011001010101 +011101100101 +011101100110 +100001110111 +100001110110 +011101100110 +011001010101 +011101100101 +101010000110 +101010000110 +101010000110 +100101110101 +100101110110 +100001110101 +100101110110 +100001110101 +100101110110 +101010010111 +100101110110 +011101100101 +011001010100 +011001010100 +010000110011 +001000100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100110010 +010001000011 +011001010101 +010001000011 +000100010001 +011101010011 +011001000011 +011101100110 +011101111000 +010000110010 +001100100001 +010000110000 +011001000001 +010000110001 +100001100001 +110010010010 +110110010001 +110110010001 +101001110001 +011001010001 +001100110001 +001000100001 +011101100010 +011101010001 +010101000001 +100001010001 +100101100010 +011101000001 +010000100000 +011101000001 +100001010001 +011000110001 +010000100000 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100100000 +010000100000 +010000100000 +010000100000 +010000100000 +001100100000 +001000010000 +000100000000 +001100010000 +010000100000 +010000100000 +010100100000 +010100100000 +010100110000 +010100110000 +010000100000 +001000010000 +000000000000 +000000000000 +000000000001 +000000100100 +000000000010 +000000010010 +000000010011 +000100100101 +000000000011 +000100110101 +000100110111 +000000110101 +000000010001 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010001010110 +001101000100 +001100110011 +001000110011 +001000110011 +001000100010 +001100110010 +010000110011 +010000110010 +010000110001 +010100110001 +011001000001 +011000110001 +010000100000 +010000100001 +010000100000 +010100110001 +010100110001 +010100100001 +011000110010 +011000110010 +011101010011 +010100110010 +011001000011 +100010001000 +011101110111 +001100100010 +010000110011 +100001110110 +100001110110 +011101100110 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110001001 +100010001001 +011110001000 +100010001001 +100010011010 +100010011001 +100010011001 +100010001001 +100010001001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010001001 +100010001001 +100010001001 +100010011001 +100010001000 +100010001000 +100010001001 +100010001000 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +100001110111 +100010001000 +100001110111 +100001110111 +100010001000 +100010011001 +100010001000 +100001110111 +100001110111 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +011110001000 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +011110001000 +100010000111 +100001110111 +100010000111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001100101 +100001110110 +100001110110 +100101110110 +100101110111 +100010000111 +100010001000 +100010001000 +100010001000 +100001110111 +011101110110 +100001110110 +100010000111 +011101100101 +010101000011 +011101110110 +100001110110 +010101000010 +001100100001 +010101000011 +010101010100 +011001010100 +011001010011 +010100110010 +010100110010 +011001010100 +100001110110 +011101100101 +011001010100 +011101100101 +011101100101 +010101000011 +010000110010 +011001010100 +011101110110 +100010001000 +100010000111 +100001110111 +100001110111 +100010001000 +011101110111 +100001110111 +100010001000 +011001100110 +010000110011 +010001000011 +011001100101 +011001100110 +011101100110 +011101100101 +011101100100 +011001000011 +001100100001 +010000100001 +010000100000 +010000100001 +011101100101 +011101100110 +011001010100 +010101000100 +001100110011 +001000100011 +001000100010 +010001000011 +001100110001 +010000110010 +011001000011 +010101000011 +011001010100 +011001010100 +011101010100 +011101100100 +011001010011 +010101000010 +010000110010 +001100100001 +001100100010 +000100010001 +000000000000 +000100010000 +000100010000 +001100100010 +010101000100 +010101010101 +010001000100 +010101010101 +010001000101 +010101010101 +011001010101 +011001010101 +010101000011 +010000110010 +010101000011 +011001010100 +010001000011 +010000110010 +010000110011 +010101000011 +010000110011 +010101000100 +011001010101 +100001110110 +011101100110 +011001010101 +011101100101 +011101100110 +011001010100 +011101100101 +100001110101 +101010000110 +100101110101 +100001100101 +100101110101 +100110000110 +100101110101 +100001100101 +100001100101 +100001110101 +100001100101 +100001100101 +100101110101 +100101110110 +100001110101 +100001110101 +011101100101 +011101100100 +010101000011 +001100110010 +001000010001 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +010101000011 +100110000110 +011101010101 +010101000100 +011001010101 +010101000011 +011101100110 +100110000111 +100001110111 +010101010101 +010101000101 +010001000100 +010101000100 +010100110001 +010000110000 +001000010001 +001100110010 +011101100011 +011101100010 +011101100001 +011001010001 +001000100001 +000000010001 +000000010001 +010001000010 +011101100011 +011001010010 +100101100010 +100101100010 +100101010001 +011001000001 +010000100000 +011001000001 +011000110000 +011101000001 +010000100000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100100000 +001100100000 +001100100000 +001100100000 +001000010000 +000100000000 +000100000000 +001100100000 +010000100000 +010000100000 +010100110000 +010100110000 +011000110000 +011000110001 +010000100000 +001100010000 +000000000000 +000000000000 +000000000001 +000000010100 +000000000010 +000000010010 +000000010100 +000100010100 +000000000010 +000100110110 +000101000111 +000000010011 +000100100010 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010001010110 +001101000101 +001100110100 +001100110011 +001000110011 +001000110011 +001000110100 +010001010101 +010101100110 +010101010101 +010101010100 +010101000011 +011001000010 +010100110001 +001100100001 +001100010000 +010000100001 +010100100001 +010100110001 +010100110001 +011000110010 +010100110001 +011101000011 +011101010011 +100001110110 +100010001000 +001100100010 +001100100001 +100001100101 +100001110110 +011001010100 +100010000111 +011101110110 +010101010100 +011101100110 +011101110110 +011101110110 +011110001000 +100010001001 +100010001001 +011110001001 +100010011001 +100010001001 +011110001001 +100010011001 +100010011001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001111000 +100010001000 +100010000111 +100001110111 +100010001000 +100010001001 +100010011001 +100010011001 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001001 +100010001000 +100001110111 +100010000111 +100010001000 +100010000111 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +011110001000 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010011001 +100010001001 +100010011001 +100010001001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100110011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100110000111 +100001110110 +100010000111 +100001110111 +100010001000 +100010000111 +011101100100 +011101100101 +100001110110 +100010001000 +100010001000 +100010001000 +100110001001 +011101110111 +011101110110 +100010001000 +100010001000 +011101110111 +100010001000 +100010000111 +011001010100 +011001010011 +011101100101 +011101100101 +011001010100 +011001000011 +011101010100 +011101010100 +011001010100 +011001010100 +011101100101 +011101010100 +011101100101 +011001010100 +011101010100 +011101010100 +011001000011 +010101000011 +011001010100 +011001000011 +011001010100 +100001110110 +011101110110 +011001100101 +011101100101 +011110000111 +100001110111 +011101100101 +100010001000 +100010001000 +011101110111 +100001110111 +011001100101 +011001010100 +011001010100 +010101000011 +010000100001 +010000110001 +010000100001 +011001100101 +011101100110 +011101100110 +100001110111 +010001000100 +000100010001 +010000110011 +010101000011 +010000110010 +010000110010 +010100110010 +010000110001 +010000110010 +011101100101 +011101010100 +011101010100 +011101010011 +011101010011 +011101100100 +011001000011 +010100110010 +001000010001 +000100000000 +001100110010 +001100100010 +001000010000 +001100100010 +010000110010 +010000110010 +001100110010 +001000100001 +001100110011 +010001000011 +010000110011 +010000110011 +010101000011 +011001010100 +011001010101 +011001010101 +010101000100 +010000110011 +010000110011 +010101000011 +010101000100 +011101100101 +011101010101 +011001010101 +010101000100 +011101100101 +011101100101 +100001110110 +011101100101 +011001010100 +100001110101 +100101110101 +100001110101 +100101110101 +100110000110 +101110011000 +101010011000 +101010000111 +100101110110 +100101110101 +100101110101 +100101110101 +100101110101 +100001100101 +100101110101 +100001100100 +100001100101 +100101110101 +100001100100 +100001100101 +011101100100 +011001010100 +010101000011 +001100110010 +001000010001 +000100010000 +011101100101 +100110000110 +100001110101 +011001010101 +011101100110 +011101110110 +100110000111 +100001110110 +011101100110 +010101010101 +001100110100 +001100110100 +010001000101 +001100110011 +001000010000 +000100010000 +000100010001 +001100110011 +001101000011 +001101000011 +001000100001 +000100100001 +000000010001 +000000010001 +000000010001 +000100100001 +010001000011 +010001000011 +011101010010 +100101100010 +100101100010 +100001010001 +010101000001 +010000100000 +010100110001 +100101100010 +011101010001 +010100110000 +010000100000 +001100010000 +001000010000 +001000010000 +001000010000 +001100010000 +001100010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000000000 +000100000000 +000100000000 +001100100000 +010000100000 +010100100000 +010100110000 +010100110000 +011000110000 +010100110000 +010000100000 +001100010000 +000100000000 +000000000000 +000000000000 +000000100011 +000000000010 +000000010010 +000100100100 +000100010011 +000000010010 +000100110110 +000101000110 +000000010010 +001000100010 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001000110011 +001101000100 +001100110011 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010101100110 +010001010110 +001101000101 +001100110100 +001000110011 +001000110011 +001000110011 +001000110011 +010001010101 +010001100110 +010101100110 +010101100110 +010101100110 +010101100101 +010101010100 +010000110011 +001100100001 +010000100001 +001100100001 +010000100001 +010000100001 +010100110010 +010100110001 +011101000010 +011101100011 +100001110110 +100010001000 +011001010100 +011001000010 +100001100101 +100001110110 +100001110110 +100110001000 +010101000100 +001000010001 +011101100110 +100010000111 +011101100101 +011101100101 +011110001000 +100010001000 +100010001001 +100010001001 +100010001001 +011110001001 +100010001001 +100010011001 +011110001001 +100010011001 +011110001001 +011110001000 +011110001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010001001 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100010001000 +100010001001 +100010001001 +100010001001 +100010011001 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010011001 +100010000111 +100001110110 +100010001000 +100010001000 +011110001000 +100010011001 +100010001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001001 +100010011001 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100001110111 +100010001000 +100110001000 +100010011001 +100110011000 +100110000111 +100001110111 +100001110111 +100110001000 +100010001000 +100110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100010001000 +100010011001 +100010001000 +011101100101 +011001000011 +011101100101 +011101100101 +011001010100 +011001010100 +011101100101 +100101110111 +100001110111 +100001110110 +011101100101 +011001000011 +010101000010 +011001010100 +011101010100 +011101010100 +011001000011 +010100110010 +010100110010 +011001000010 +011001000011 +011001010011 +011001010100 +011001010100 +011101110110 +100001110111 +011101100101 +011001010100 +011110001000 +100010001001 +011001110111 +100010001000 +100010001001 +100010001000 +100110001000 +100001110111 +010101010100 +010000110010 +001100100001 +011001010100 +011001100110 +011001100101 +011101100101 +010101000100 +000000000000 +001000100010 +010101010100 +010000110011 +010100110011 +010101000011 +011001000011 +010101010100 +011001100101 +011001010101 +011001010011 +011001010011 +011001010011 +011001010011 +011001010011 +011001000011 +001100100001 +000000000000 +010101010100 +010101000011 +010000110010 +010000110010 +010000110010 +010000110010 +010000100010 +001100100010 +001100100001 +001000010001 +000100000000 +000100010000 +001000010001 +001000010001 +001100100010 +001100110011 +001100110010 +010000110011 +010101000100 +010101010100 +011001010101 +010000110011 +010101000100 +010000110011 +010101000100 +011101100110 +100001110110 +011101100110 +011101100110 +011001000100 +100001100101 +101010000111 +101010000111 +100101110101 +100110000110 +101010010111 +101110101000 +101110101000 +101010000110 +100101110110 +100101110101 +100101110101 +100001100101 +100101110101 +100101110110 +100001100101 +100001100100 +100001100100 +100001100101 +100101110101 +100101110101 +100001100101 +100101110101 +100101110110 +100001110110 +011101100100 +100101110101 +100001110110 +001100110100 +001000110011 +010001000100 +010101010101 +010001000101 +001100110011 +001100110100 +001100110100 +001000100011 +000100010010 +000100010010 +001000100010 +000000000001 +000000000000 +000000000000 +001000110010 +001101000100 +001001000011 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000000100010 +001000110011 +001101000100 +010001000010 +011101000001 +100001100001 +100101100010 +100001010001 +010100110001 +010100110001 +100001100010 +100001100010 +011001000010 +011000110001 +011000110001 +010100110001 +001100010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000010000 +001000000000 +001000000000 +001000000000 +001000000000 +001100100000 +010000100000 +010000100000 +010100100000 +010100110000 +010100110000 +010100100000 +010000100000 +001100100000 +000100000000 +000000000000 +000000000000 +000000010011 +000000000001 +000000010010 +000100100101 +000000010010 +000100010011 +000100110111 +000100110110 +000100010001 +000100110011 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001000110011 +001100110100 +001000110100 +001000110100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001010110 +001101010101 +001001000100 +001000110011 +001000110011 +001000110011 +001000110100 +001101010101 +010001100110 +010101100110 +010001100110 +010101100110 +010101100111 +010101100111 +010101100110 +010101010101 +010001000100 +001100110011 +001100100001 +001100010000 +010000100001 +011000110001 +011101000010 +011101010011 +100001100100 +011101100101 +011001010100 +011001010011 +100001110101 +100001110110 +100001110110 +100001110110 +010101000011 +010000110010 +100001110110 +100001110111 +011101100100 +011101010100 +011101110110 +011101110110 +010101000100 +011001010101 +011110001000 +011110001001 +011110001001 +100010001001 +011110001001 +011110001001 +100010001000 +011101111000 +011110001000 +011110001001 +100010001001 +100010001001 +100010011001 +100010001001 +011110001001 +100010001001 +100010001001 +011110001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010011001 +100010001001 +100010001001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100001110111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010000111 +100010000111 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010001001 +100010000111 +100010001000 +100010001000 +100001110110 +100001110110 +100001110110 +100010000111 +100010001000 +100010001000 +100010011001 +100010000111 +100010000111 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100110001000 +100110001000 +100010000111 +100110011000 +100110011001 +100010011001 +100110011000 +100110011000 +100010001000 +100110011001 +100110011001 +100010000111 +100010000111 +100010001001 +100010001000 +100010000111 +100010001000 +100010001000 +100001110111 +011101110110 +100001110110 +100001110110 +100001110110 +011101100101 +011101100100 +011101100101 +011101100101 +011101010100 +100001110110 +011101110110 +011101100100 +010100110010 +010101000010 +011001000011 +011101010011 +011101010011 +011001000011 +010000110010 +011001000011 +011001010011 +011001010011 +011001000010 +010100110010 +010101000011 +011101100101 +100010000111 +011101110110 +011101100101 +011101110111 +011110001000 +100010001000 +011110001000 +100010001000 +011110000111 +011101110111 +100010001000 +011101110111 +011101110110 +011001100101 +100010000111 +100010000111 +011101100110 +011001100101 +010101000100 +000100010001 +000000000000 +001100110011 +010101010101 +011001100101 +010101000011 +010101000011 +011001010100 +010101000100 +011001100110 +011101110111 +011101100110 +011001010100 +011101110110 +011101100101 +011101100101 +010101000011 +001000010001 +010000110010 +011001000011 +011001000010 +010101000011 +010101000011 +010100110010 +010101000011 +010000110010 +010000110010 +001100100001 +001100100010 +001000010001 +001000100001 +001000010001 +000100000000 +000100000000 +000100010001 +001000100001 +001100100010 +001100100010 +010000110011 +001100100010 +010000110011 +011001010101 +011001010101 +010101000100 +011001000100 +011001010100 +011001000100 +011001010100 +011001010101 +100001110110 +101010000111 +101110010111 +101010000110 +100101110110 +100110000110 +100101110110 +100001100101 +100001100100 +100001010100 +011101010100 +100001100100 +100101110110 +100101110101 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100101 +100001100100 +100001100100 +101110010111 +011001010101 +001000110011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +001000100011 +000100100010 +000000010001 +001000100011 +001000110011 +001000110011 +000100100010 +000000000000 +000000000000 +000100010001 +001101000100 +001100110011 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +001000110011 +001101000100 +010001010100 +010001000010 +011101000001 +100001100001 +101001100010 +011101010001 +011001000001 +100001010010 +011101010010 +010001010011 +010100110001 +011101000001 +011101000001 +011100110001 +010100100000 +010000100000 +010000010000 +001100010000 +001100010000 +001100010000 +001000010000 +001000010000 +001000010000 +001000010000 +010000100000 +010000100000 +010000100000 +010000100000 +010000100000 +010100100000 +010100100000 +010100110000 +001100100000 +001000000000 +000000000000 +000000000001 +000000010010 +000000000000 +000100010010 +000100010100 +000000000000 +000100100100 +000101000111 +000100110101 +000100100010 +000100110011 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +010000110010 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100100010 +001000110011 +001100110100 +001000110100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001010101 +001101000100 +001000110011 +001000110011 +001000110011 +001000110100 +001101000101 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101010110 +001100110011 +001100110010 +010000110010 +010100110010 +011001000010 +011101000010 +010100110001 +010100100001 +010000100001 +011101100100 +100001110110 +011101100101 +100001100101 +011001000010 +010100110010 +100001100101 +100010000111 +100001110101 +100001110110 +010101000011 +010000110010 +010000110001 +010101000010 +100010000111 +100010001001 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +011110001000 +011110001000 +011110001000 +011110001001 +100010001001 +011110001000 +100010001000 +011101111000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010001001 +011110001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110110 +100001110110 +100001110111 +100010001000 +100010001000 +100010000111 +100010000111 +100001110111 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100001110111 +100010000111 +100001110110 +100001110110 +100010000111 +100010001000 +100010011001 +100010011001 +100010001000 +100001110111 +100001110111 +100001110111 +100001110111 +100010001000 +100010000111 +100001110111 +100010001000 +100010001001 +100001110111 +100001110110 +100001110111 +100001110111 +100001110110 +100001110111 +100010000111 +100010000111 +100010000111 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010000111 +100010000111 +100001110111 +100010001000 +100010001000 +100010000111 +100010011001 +100110001000 +100010001000 +100010001000 +100010011000 +100110011001 +100110011010 +100110011010 +100110011001 +100110011001 +100110011010 +100110011010 +100110011001 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010000111 +100001110110 +011101010100 +100001110110 +100001110111 +011101100110 +100001110110 +100001110110 +011101010100 +010101000011 +010000110010 +010101000010 +010100110010 +010101000010 +011101010100 +011101010011 +010100110010 +011001010011 +010000110001 +010100110010 +011001000011 +011001010100 +011001000011 +010100110010 +011001010100 +010101000011 +011001010100 +010101000011 +010101000011 +011001010101 +011001100110 +011001100110 +011110001000 +100010001001 +011101110111 +011101100101 +011101110110 +011101110110 +100001110111 +100001110110 +100010001000 +100010001000 +011110001000 +100010001000 +011101110111 +010101010101 +000000000000 +001000100010 +010101010101 +011001100101 +010101010101 +010101000100 +010100110010 +010100110010 +011101110110 +011110001000 +011110001000 +011001100110 +011001100110 +011001100110 +011001100110 +011101110110 +011101100100 +011001000010 +010100110010 +011001000010 +011101100101 +011001100101 +011101010100 +011001000011 +011001010100 +011101010100 +011001010011 +011001000011 +010000110010 +010000110011 +010000110010 +001100100001 +001100100001 +001000010001 +000100010000 +001000010001 +001000010000 +001000010001 +001000010001 +001100110011 +010001000011 +001100110010 +001100110011 +001100110011 +001100110011 +010000110011 +010101000100 +011001010101 +100001110110 +101010000110 +101010000110 +101010000111 +101010000110 +100101110101 +100101110101 +100101110101 +100001100100 +100001100100 +100001100100 +011101010100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001100100 +100001110101 +100101110101 +100001100101 +100001100100 +100001100100 +100101110110 +100110000110 +010101000011 +000100010001 +000100100010 +000100100011 +001000100011 +001000100010 +000100100010 +000100100010 +001000100011 +001000100011 +001000110011 +001000110100 +001000110011 +001000100010 +000100010010 +000100010000 +000000000000 +000100010001 +001101000011 +001000110011 +000100100010 +000000010001 +000000010001 +000000010001 +000000010001 +000100100010 +001000110011 +001101000100 +001101010100 +010001010100 +010101000010 +011101010001 +100101100001 +100101100010 +011101000001 +100001010001 +011101000001 +010101100100 +001000110010 +010100100001 +100001000001 +100001000001 +011100110001 +010100110000 +010100100000 +010100100000 +010000100000 +010000100000 +001100010000 +001100010000 +001100010000 +001100010000 +010000100000 +010000100000 +010000100000 +001100100000 +001100100000 +010000100000 +010100110000 +010100110000 +001100100000 +001000010000 +000000000000 +000000010001 +000000000001 +000000000000 +000100010011 +000000010001 +000000000000 +000100100101 +000101000110 +000100100011 +000100100010 +000100110011 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +011101100100 +010101000011 +010000110010 +001100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001001000100 +001000110011 +001000110011 +001000110011 +001000110011 +001101000101 +010001010110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100111 +010101100111 +010101100110 +010101100110 +010101100110 +010101010100 +010101000011 +010101000011 +010100110010 +010000100001 +010100110001 +011001010011 +011101100100 +011101010011 +100001100101 +011101100100 +011101100101 +100001110110 +100010001000 +100001110110 +100001110110 +011001010100 +001100100001 +011101010100 +100001100101 +100010001000 +100010011001 +100010011001 +011101110111 +100001110111 +011101110110 +011101110111 +100010001000 +011110001000 +011110001001 +011110001000 +100010001000 +100010000111 +100010000111 +100010000111 +100001110111 +011101100110 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001000 +011110001000 +011110001001 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110000111 +100010001000 +100010001001 +100010001001 +100010001001 +100010011001 +100010001001 +100010011001 +100010011010 +100010011001 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100001110111 +100001110110 +100010000111 +100010000111 +100010000111 +100010000111 +100001110111 +100001110110 +011101110110 +100010000111 +100001110111 +011101110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100001110110 +100001110110 +011101110110 +100001110111 +100001110111 +100001110111 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110110 +100010000111 +100010001000 +100010001000 +100001110110 +100010000111 +100110001000 +100001110111 +100010000111 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110011001 +100110011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100001110110 +100010000110 +100010000111 +011101110111 +100010001000 +100010000111 +011101110110 +011101110110 +011101100101 +010101000011 +011001010100 +011101010101 +100001110101 +011101010100 +011001000010 +010100110010 +011001010011 +010101000011 +001100010000 +001100100001 +001100010001 +010000100001 +010000110001 +010101000011 +001100100001 +010101000011 +001100100001 +001000010000 +010000110010 +010101000100 +011001100101 +010101010101 +011101110110 +100010000111 +011101100101 +011101100101 +011101100101 +100001110111 +011101110110 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +010101010110 +010101010101 +011001100110 +011101110111 +011101110111 +011001100110 +011001010101 +010101000100 +011101100101 +011101110110 +011101110111 +011001100110 +011001100110 +011101110110 +011001100110 +011101110111 +100001110111 +011001000011 +010101000011 +011001100101 +011101110110 +011101100101 +011101010100 +011101100100 +011101100100 +011101010100 +011001010011 +011001000011 +010101000010 +010101000011 +011001010011 +011001010011 +011001000011 +010100110011 +010101000011 +010000110011 +010000110010 +001100110010 +001100100001 +001100100001 +001100100001 +001000100001 +001000100001 +000100010000 +000100000001 +001000100010 +001100100011 +010000110011 +010000110011 +011101010101 +100001110110 +100001110110 +100001110110 +100101110101 +100101110101 +100101110101 +100001100100 +100001100100 +100101110101 +100101110101 +100001100100 +100001100100 +011101100100 +100001100100 +100001100100 +100101110101 +100101110101 +100001100100 +100001100101 +100001100100 +101010000110 +100001110110 +010001000100 +000100010010 +000100010001 +000100010010 +000100100011 +000100010010 +000100100010 +000100100010 +000100100010 +000100100010 +000100100011 +001000110100 +001100110101 +001000110100 +000100100010 +001000100010 +011001010011 +010000110010 +010001000011 +010101000100 +001100110010 +000100100001 +000000010000 +000000000001 +000000010001 +000000010001 +000100100010 +001000110011 +001101000100 +001101010100 +001101010100 +010001010100 +010000110001 +010101000001 +011101010001 +011101010001 +100001010001 +011000110000 +011001010011 +001000110011 +001000010001 +010100110001 +100101010010 +100001010001 +011101000001 +010100110000 +010100100000 +010100100000 +011000110001 +010100100000 +010100100000 +010100100000 +010000100000 +010000100000 +001100100000 +001100100000 +001100010000 +001100010000 +010000100000 +010100110000 +010100100000 +001100010000 +000100000000 +000000000000 +000000010001 +000000000001 +000000010010 +000000010010 +000000000000 +000000000001 +000000110101 +000100110101 +000100100010 +000100100010 +000100110011 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +010101000011 +010101000011 +011001000011 +011001010100 +011001010100 +010101000011 +001100110001 +001000010000 +000000000000 +000000000000 +000000000000 +000000010001 +001000100011 +001100110100 +001101000100 +001100110100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101010101 +001000110100 +001000110011 +001000110100 +001000110100 +001000110100 +001101000100 +010001010101 +010001010110 +010001100110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010101 +010101010100 +011001010100 +011001010100 +011101110110 +011101100101 +011101110101 +100001110110 +011101100101 +011101110110 +010101010100 +011001010100 +100001110101 +011101100101 +010100110010 +100001110110 +100001110110 +100010001000 +011110001001 +100010000111 +010101000011 +100001110110 +010101000100 +011001010101 +011101110110 +011101100101 +011110001000 +011110001000 +011110001000 +100001110110 +011001010100 +100001100101 +011101110101 +011101100100 +011101100100 +011101100100 +011101100101 +011101110110 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +011110001000 +100010001001 +100010001001 +011110001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +011110000111 +011110001000 +100010001000 +100010001000 +011110001001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010001001 +100010001000 +100010001000 +100001110111 +100010000111 +100010000111 +011101110111 +100010000111 +011101110111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100010000111 +100001110111 +100001110110 +011101110110 +011101110110 +100001110110 +100001110110 +100001110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010000111 +011101110110 +011101110110 +100001110110 +100001110110 +011101110111 +100010001000 +100010000111 +100001110110 +100001110111 +100001110111 +100010000111 +100010000111 +100010001000 +100010000111 +100001110110 +100001110110 +100010000111 +100010000111 +100001110110 +100010000111 +100001110110 +100001110110 +100001110110 +100001110110 +011101100101 +100001110111 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011010 +100010011010 +100010011010 +100110011010 +100110011010 +100110101010 +100110101010 +100110101010 +100110011010 +100110011010 +100010011000 +100110011000 +100010001000 +100010000111 +100010001000 +100010001000 +100001110110 +011101110110 +011101110111 +100010011001 +100010011001 +100010011001 +100010001000 +100010000111 +100001110111 +011001010100 +011001010011 +011101100101 +100001110110 +011101100101 +010101000010 +010000110001 +010101000010 +010000110010 +001100010000 +010100110010 +001100010000 +010000100001 +010100110010 +001100100001 +010100110010 +010100110010 +010100110010 +010100110010 +010000110001 +010101000011 +011101100110 +011101100110 +011001010101 +011101100101 +011101100101 +011101110110 +100001110110 +011101110110 +011001010100 +011001010100 +100010001000 +011101110110 +100001110110 +100010001000 +100010011010 +011110011001 +011110001000 +011101110111 +011110001000 +011110001001 +011110001000 +011110001000 +100010001000 +011101110111 +011101110110 +011001110111 +011001100110 +011001100101 +011001100110 +011101100110 +011101110111 +011001110110 +011101110110 +011001100110 +011001100111 +011001100110 +011101100101 +011001010100 +011101100101 +100001110110 +100001100101 +011101100100 +011101010100 +011001000011 +011001000011 +011001010011 +011001010011 +011101010100 +011001000011 +011001010100 +010101000100 +010101000100 +010000110011 +010000110011 +011001010100 +010101000011 +010000110001 +001100110001 +000100010000 +000000000000 +000100010001 +001000010001 +001000100010 +001100100010 +001100100010 +001100100010 +010000110011 +010101000100 +011001010100 +011101100101 +100001100101 +100001110101 +100101110101 +100101110101 +100101110101 +100001100101 +100001100100 +100001100101 +100101110101 +100101110101 +100101110101 +100101110101 +100001100101 +100001100100 +101010000110 +011101100100 +000100010010 +000100100011 +000100010001 +000100010001 +001000110100 +001100110100 +001000100011 +000100100010 +000100100010 +000100100010 +000100100011 +001000110100 +000100100011 +000100100010 +000100010001 +000100010000 +001000100001 +010101000010 +011001010010 +011001000011 +100101110101 +100001100011 +011001010011 +010001000010 +001100100010 +000100010001 +000000010001 +000100100010 +001000110011 +001101000011 +001101010100 +001101010100 +010001010100 +010001000011 +001100110001 +010100110001 +011101000001 +100101010010 +011000110001 +011101000010 +010001000011 +000100100001 +000100010000 +010100110001 +011101000001 +100101010010 +100001000001 +011000110001 +011000110000 +011101000001 +011000110000 +011100110001 +011000110001 +010000100001 +010101000011 +010000100001 +001100010000 +001000010000 +001100010000 +010000100000 +010100100000 +010000100000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010010 +000100010011 +000000010010 +000000000001 +000000010001 +000100110101 +000100110100 +000100100010 +000100100010 +001000110010 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +010101000011 +010101000011 +011001010100 +011101100100 +011101010100 +011001010100 +011001010011 +011001010100 +010101000010 +001100100001 +000100010000 +000100010000 +001000100010 +001000110011 +001000110011 +001100110011 +001100110011 +001100110011 +001100110100 +001100110100 +001101000100 +001101000100 +001101000101 +001101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101010101 +001101000101 +001000110100 +001000110101 +001000110101 +001000110100 +001101000100 +001101010101 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010101 +010001010101 +010101010101 +011101110110 +011001100101 +011001000011 +100001110110 +001100100001 +010000100001 +011101100101 +011101100100 +011101010100 +100001110110 +100001110111 +100010000111 +100010001000 +100010001000 +011101110110 +100110000111 +011001000011 +001100010001 +010000100001 +010000100001 +011101100101 +100010000110 +100010000111 +011101100101 +010000100001 +010100110001 +011101100101 +100001110101 +011001000010 +010100110001 +010100110010 +011101010011 +100001100100 +011101010100 +011101100100 +100001110111 +100010001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +100010001001 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +100010001001 +100010001001 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010001001 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100001110111 +100010001000 +100001110111 +100001110110 +100001110111 +100001110111 +100001110110 +011101100101 +011101110110 +100001110110 +100001110110 +100001110110 +011101110110 +100001110110 +011101110110 +100001110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100001110111 +100010000111 +100001110111 +100001110110 +100001110111 +100010000111 +100001110111 +100010000111 +100010001000 +100010000111 +100010000111 +100001110111 +100001110111 +100001110110 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +011101100101 +100001110110 +100010001001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100110011010 +100110011010 +100110101010 +100110011010 +100110101010 +100110101010 +100110101010 +100110101010 +100110101010 +100110011010 +100110011001 +100110011001 +100110011001 +100110011001 +100110011001 +100010011001 +011101100101 +100001100101 +011101110111 +100010011001 +100010001001 +100010001000 +100010011001 +100010011001 +100010001000 +100110000111 +100001110110 +011101100110 +100001110110 +100010000111 +011101010100 +010100110001 +010100110010 +011001010100 +010000110010 +100001100101 +010101000010 +001100100001 +011001000011 +010101000010 +010100110010 +011101010100 +010101000010 +010000110010 +010000110010 +011101100101 +011110001000 +011101110111 +011101100101 +011101010100 +011001000011 +011101100101 +100001110110 +100001110110 +011101100101 +011101100101 +100010001000 +100001110111 +011101100101 +100010001000 +011101110111 +011101110111 +100010001001 +011110001000 +100010011001 +100010011001 +011101110111 +011101110111 +100010001000 +100010001000 +100010011001 +100110011001 +100010001001 +011101110111 +100010001000 +011101110111 +011001100110 +011001100101 +011001010101 +011001100110 +010101010101 +011001100110 +011101110110 +011001100101 +011101100110 +011101110111 +011101100110 +011001010100 +011001010011 +011101100100 +011001010100 +011001010100 +011001010100 +010101000011 +010101000011 +011001010100 +010101000011 +011001010100 +011101110110 +011001010100 +011101100101 +011101100110 +011001010101 +011001010100 +010000110010 +000100010000 +000100010001 +001100110010 +001100100001 +001000010001 +000100010000 +000100000000 +001000010001 +001000100001 +010000110010 +010101000011 +010101000100 +010101000011 +010101000011 +011001000100 +011101010101 +100001100101 +100001100101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +100101110101 +101110010111 +011001010101 +001000100010 +000000000001 +000000000001 +000000000000 +001000100011 +001100110100 +001000100011 +001000110011 +001000100011 +000100100010 +000100010010 +001000110011 +001100110100 +000100010001 +000000000000 +000000000000 +000100010000 +001000010001 +001100100001 +010000110010 +010000110001 +011001000010 +100101110011 +100101110011 +100101110011 +100001100011 +011101010011 +011001010011 +011001010011 +010101010011 +010101010100 +010001010100 +010001010100 +001101010100 +001101000100 +001101000011 +001100100001 +011001000001 +100001010001 +011000110001 +011101010001 +011101100100 +000100100010 +000100100001 +000100010000 +001000010000 +011101000001 +100101010010 +011101000001 +011000110000 +011101000001 +011001000001 +011101000001 +010000100001 +001100110100 +001100110100 +001100100010 +001100010000 +001000010000 +001100010000 +010000100000 +010000100000 +001000010000 +000000000000 +000000000000 +000100000000 +000000000000 +000000010010 +000100010011 +000000010010 +000000010010 +000000010010 +001000110101 +000100100010 +000100100010 +000100100010 +000100110010 +001000110011 +001001000011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +011001000011 +011001010100 +011101100100 +011001010100 +011001010100 +011001010100 +011101100100 +011101100100 +011101100100 +011101100100 +011101010100 +011001010100 +010101010100 +010001000011 +001101000011 +001100110011 +001000110100 +001100110100 +001100110100 +001100110100 +001101000100 +001101000100 +001101000100 +001101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +001101000101 +001001000101 +001000110101 +001000110101 +001000110100 +001001000100 +001101000101 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010001010101 +001000110011 +001000100001 +001100110011 +010001010100 +011001010100 +011101110110 +011001000011 +011000110010 +011001000011 +011101000010 +100001100011 +011101100100 +011101100101 +100001110101 +011101110110 +100010001000 +100010001000 +100010000111 +100001100101 +010100110001 +010100110001 +011001000010 +100001100101 +011101010011 +100001100100 +100110001000 +011101100110 +001100010001 +010101000011 +011101100101 +010100110010 +001000000000 +001100010000 +011000110010 +011101000010 +010000100000 +010100110001 +100001100101 +100001110110 +100001110111 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +100010011001 +100010001000 +011110001000 +100010001001 +100010001000 +011101110111 +011101110110 +100001110111 +100010001000 +100010001000 +011101110111 +011101110110 +100001110111 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +011101100101 +011101110110 +011101110110 +011101110111 +100001110111 +100001110110 +011101110101 +011101110101 +100010000111 +100010000111 +100010001000 +100010001000 +100010000111 +100001110111 +100010000111 +011101110111 +011101110110 +100001110110 +100010000111 +100001110110 +100001110110 +100010000111 +100010001000 +100001110111 +100001110110 +100001110110 +100010000111 +100001110111 +100010000111 +100010001000 +100010001000 +100010000111 +100110001000 +100010001000 +100010000111 +100010000111 +100010000111 +100010000111 +100001110110 +100001110110 +100001110111 +100001110110 +100001110110 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110011001 +100110011001 +100110011010 +100010011010 +100010011010 +100110011010 +100110011010 +100110101010 +100110101010 +100110101010 +100110011010 +100110101010 +100010011001 +100010011001 +100110011010 +100110011001 +011101110111 +100010001000 +100010000111 +011101100101 +100010001000 +100010011001 +100010011010 +100010011010 +100010001001 +100010001000 +100010000111 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100001110110 +011101010100 +011101100100 +100001110110 +011101110110 +100001110101 +010101000010 +001000010000 +001100010001 +010101000011 +010000110010 +010000100010 +001100100001 +001100100001 +010000110001 +011001010100 +100010001000 +011101110111 +011101100100 +011101100100 +011001000011 +011001000011 +011101100101 +100001110111 +100010000111 +011101110110 +100001110110 +100001110110 +011101100101 +100001110110 +011001010011 +011001010100 +011101100110 +011101110111 +100010000111 +011101110110 +011001100101 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +011110001001 +011110001000 +100010001000 +100010001000 +100110011001 +100010001000 +011101110111 +011001100110 +011001010101 +011001010101 +011001100101 +011001100101 +011001100110 +011101110111 +011001100110 +011001010101 +010101000011 +011001010100 +011101100101 +011101100101 +011001010101 +010101000011 +011101100101 +011001100101 +011001010100 +011101100101 +011001100110 +011001100101 +011101100110 +011101100110 +100001110110 +011101100110 +011001010100 +010100110010 +001100100001 +010101000010 +010101000010 +001100100001 +001100100001 +001000010001 +001100100001 +001000010001 +001000010000 +001000010000 +000100000000 +000100010001 +000100010001 +001000100010 +010000110011 +010000110011 +001100100010 +010000110010 +010101000011 +011101010100 +100001100101 +100101110101 +100101110110 +010101000100 +001000100011 +000000010010 +000000000000 +000100010001 +010001000100 +001100110100 +000100100010 +001000100010 +001000100011 +001000100011 +000100000001 +000000000000 +000100010001 +000100010001 +000100010001 +001000010001 +001000010001 +001000010001 +001000100001 +001000010001 +001000100001 +010000110010 +010000110010 +011101010010 +101001110100 +101001110100 +100001100011 +100001100011 +100001100011 +011101010010 +100001100010 +100001100011 +100001110100 +011101010011 +010101010011 +001100110010 +001000110010 +001000010001 +011001000001 +100101010010 +011100110001 +100001010001 +100101110011 +001101000010 +001000110010 +000100010001 +000100010000 +010000100000 +100001000001 +011000110000 +010000100000 +001100010001 +000100000000 +001000100001 +001000010001 +000100010010 +000100100011 +001000100011 +001000010001 +001000010000 +001000010000 +001100010000 +001100100000 +000100010000 +000000000000 +000000000000 +000100010000 +000000000000 +000000010010 +000000010011 +000000010010 +000000010010 +000100010010 +001000110100 +000100100010 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001001000011 +001101000011 +001101000011 +001101000011 +001101000011 +001101000011 +010101000011 +011001010100 +011001010011 +011001000011 +011001010100 +010101000011 +011101100100 +011101100101 +011001010100 +011001010011 +011101100100 +100001110101 +011101100100 +011101010100 +011101010011 +011001010100 +010001000100 +001100110011 +001100110011 +001100110011 +001100110100 +001101000100 +001101000100 +001101010101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +001101000110 +001000110101 +000100110100 +001000110100 +001000110011 +001000110100 +001101000101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010110 +010001010101 +001100110011 +000100100010 +000100100011 +001101000100 +010001010101 +010001010110 +010101000100 +010001000011 +010101000011 +010000100001 +011101010011 +011101010011 +011101100100 +011101100011 +011001010011 +100010001000 +100010001000 +100010001000 +100001110111 +011101100101 +100001100100 +011101100101 +100001110111 +100001100101 +011101100101 +100010001000 +100010001001 +010000110011 +100001110111 +100001110111 +001100010001 +000000000000 +000100000000 +010000100001 +010100110010 +001100010000 +001100010000 +010100110010 +011001000010 +011101100101 +100010001000 +011110001000 +011110001000 +011101111000 +011101111000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +100010001000 +100010000111 +100010000111 +100010000111 +011101110111 +100001110110 +011101100110 +011101100110 +100001110110 +100001110111 +100001110110 +100001110110 +100001100101 +011101100110 +100001110111 +100001110111 +011101110111 +011101110110 +100001110110 +100001110110 +011101100101 +100001110110 +100010000111 +100010001000 +100010001000 +100001110110 +100001110110 +100010000111 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100001110111 +100001110111 +100010000111 +100001110111 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +011101110110 +100001110110 +100010000111 +100001110111 +011101110110 +100001110111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100110011010 +100010011010 +100110011010 +100010011001 +100110011001 +100110011010 +100110101010 +100110101010 +100110101010 +100110011010 +100010011010 +100110011010 +100010011001 +100110011001 +100110011001 +100110011001 +100001110110 +100010000111 +100110011001 +100001110111 +100010011000 +100010011001 +100010001000 +100110011001 +100010011001 +100110011001 +100010001000 +100110011001 +011001110110 +100010001000 +100010001000 +100001110111 +011101100101 +100010000111 +100001110111 +100001110111 +100001110110 +011101110101 +011001010011 +010000100010 +010000100010 +010100110010 +010000110010 +001000010000 +001100100001 +010101000011 +010000100001 +001000010001 +010101000100 +010000110011 +010101000011 +011101100101 +100001110110 +011001010011 +010101000011 +100001110111 +100001110111 +100001110111 +011101010100 +011001010100 +011101100101 +011001010011 +011101100100 +011101100101 +011101010100 +011001010100 +011001010100 +011101010100 +011101100110 +011101110111 +011110001000 +011110001000 +011101100110 +011101110111 +011110001000 +011101111000 +011101110111 +100001110111 +100010001000 +100010001001 +100010001001 +100010001000 +011101110111 +011101110111 +011001100110 +011001100110 +011101100110 +011001100110 +011001010101 +011001010101 +010101010101 +011001100101 +011001010100 +011001010100 +011101100110 +011001010101 +011101100101 +011001100101 +011001010101 +010101100110 +011001100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010011 +011101010011 +011001010011 +010100110010 +010100110010 +010000110010 +010000110010 +001100100001 +001100110010 +010000110010 +001100100001 +001000010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000000000000 +000100000000 +000100010001 +001000010001 +010101000011 +010000110010 +000100010010 +000100010010 +000000000000 +000100000000 +010001000100 +001000100011 +000100100010 +000100010010 +001000100011 +000100010010 +000100010001 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100010 +001000100010 +001100100010 +010001000011 +010000110010 +010000110011 +010101000011 +010101000010 +010000100001 +011101010011 +100101110100 +100101100011 +100001100011 +100001100011 +100001100100 +100001100011 +100001100010 +100001100010 +100001010010 +011101010010 +010000100000 +001000010001 +001000100001 +010000110001 +011000110001 +010100100000 +011001000001 +100101100010 +011001100100 +001000110010 +000100010001 +000100000000 +001000010000 +010000100000 +001100100000 +001000010000 +000100000000 +000000000000 +000100010001 +000100010010 +000100010010 +000100100010 +001000100011 +000100010001 +000100000000 +000100000000 +001000010000 +001000100000 +000100010000 +000000010000 +000000000000 +000100010000 +000100000000 +000100010010 +000100010011 +000000010001 +000000100011 +001000110100 +010001010101 +001000110011 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001001000011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +010000110010 +010101000010 +010100110010 +010000110010 +010101000010 +010100110010 +011001010100 +011001010100 +011001010011 +010101000011 +010101000011 +011001000011 +011001000011 +011001010011 +011001000011 +011001010011 +011101010100 +011001010100 +011001010100 +010101000100 +010001000100 +001100110100 +001101000100 +001101000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +001101010101 +001101000101 +001000110100 +000100100011 +000100100011 +000100100011 +001000110011 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010110 +010001010101 +001100110100 +000100100010 +001000100010 +001101000100 +010001010110 +010001010110 +010001000101 +001000110011 +000100010001 +000100010001 +001100110011 +010101010100 +011101110110 +100001110101 +011101100101 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +100001110111 +100010001000 +100010001001 +010000110010 +011101010100 +011101010100 +001100010001 +000100000000 +001100100001 +001100100010 +010000100001 +000100000000 +000100000000 +001100100001 +010000100001 +011001010011 +011101110110 +011101110110 +011101111000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011101111000 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +011101110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +011101110110 +011101110110 +011101110110 +011101110110 +011101100110 +011101110110 +011101100100 +011101100101 +100001110111 +100001110111 +011101100101 +011101110110 +100001110110 +100001110110 +011101100100 +100001110110 +100010001000 +100010001001 +011110001000 +100001110110 +100001110111 +100001110111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010001000 +100001110111 +100010000111 +100001110111 +100001110111 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +100010000111 +100010000111 +100001110111 +100001110110 +100001110111 +100001110111 +100001110110 +100001110111 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010011010 +100110011010 +100110011010 +100110011010 +100110011010 +100110101010 +100110011010 +100110101010 +100110011010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110001000 +100010001000 +100010011001 +100010011000 +100010011001 +100010011010 +100010001000 +100110011010 +100110101010 +100110011010 +100010001000 +100010011001 +100010001001 +100010011001 +100010011001 +100010001000 +100010000111 +100010000111 +100001110110 +100001110110 +011101110110 +100001110110 +100001110110 +100001110110 +011101100110 +011001010100 +011001010100 +010101000011 +011001000011 +010000100010 +001100100001 +010100110011 +001000010001 +000100010000 +011001010101 +100001110111 +011101110110 +011101100101 +011101100101 +100001110111 +100010000111 +100001110110 +011001010011 +011101010100 +100001110110 +011101100101 +100001110111 +100010001000 +100001110110 +011101100101 +011001010100 +011101010100 +011101100100 +011001010100 +011101100110 +011101100110 +011101110111 +100010001000 +011110001000 +011110001000 +011101110111 +011101111000 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +011101110111 +100010001000 +011101110111 +100010001000 +100010001001 +100010001000 +011101110110 +011001100110 +011001100110 +010101010101 +010101000011 +010101000011 +010101000100 +011001010100 +010101000100 +011001010101 +011001100110 +011001100110 +010101010101 +011001100110 +011101100110 +011101100110 +011101110111 +011101110111 +011001000100 +011001010011 +011001010011 +011101100100 +011101010100 +010101000010 +010101000011 +010000110010 +001100100001 +010000110010 +010101000011 +011001000011 +010101000011 +001100100001 +001100100001 +001000010000 +001000010000 +001000010000 +000100000000 +000100000000 +000100010001 +000100000000 +010101000011 +001000100010 +000100100010 +000000000000 +000000000000 +000100010001 +001000100011 +001000100011 +000100010010 +000100100010 +001000100010 +001000100010 +001100100010 +010101000100 +010101010101 +011001010101 +010101000100 +010000110011 +001100110011 +010000110011 +001100100010 +010101000100 +011001010100 +011001010100 +011101010100 +010100110010 +001100100000 +010100110010 +100101100100 +101001110100 +100101110100 +011101100011 +011101010011 +100001100011 +100001100010 +100101100011 +100101110011 +100001100011 +100001100011 +001100100001 +001100100001 +001000010000 +001000010000 +001000010000 +001000010000 +001100010000 +001100100001 +001000100001 +001000100010 +000100000000 +000100010000 +001000010000 +001000010000 +001000010001 +000100000000 +000100010001 +001000010010 +000100010001 +000100010010 +001000100011 +000100100011 +000100010010 +000000000000 +000100000000 +001000010000 +010000110001 +001000100001 +000100010001 +000000000000 +000100010000 +000100000000 +001000100010 +001000010010 +000100010001 +000100100011 +011001100110 +010101010101 +001100110011 +000100100010 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +011001010100 +010101000010 +010000110001 +010101000010 +011001010011 +011101100101 +100001110101 +011101100100 +011001000010 +010100110010 +010000110010 +010101000011 +011001000011 +011101100100 +011101100100 +010101000010 +011001010011 +011101010011 +011101010011 +011101010100 +011101100100 +010101010100 +010101010100 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +001101010101 +001101000101 +001000110100 +000100100011 +000100100010 +000100010010 +000100100011 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001100110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010101100110 +010001010110 +010001010101 +001101000100 +000100100010 +001000100010 +001101000100 +010001010101 +010001010110 +010001010101 +001000110011 +000100100010 +000100100011 +001000100011 +001000110011 +001100110100 +010001010100 +011001100101 +011101110110 +011101110111 +011110001000 +100010001000 +100010001000 +100010000111 +100010000111 +100001110110 +100001110110 +100001110110 +100010001000 +100010011001 +011001010100 +011101010100 +011101100100 +010100100001 +010000100000 +011001000011 +011101100101 +011101010100 +001000010000 +001100010001 +010000100001 +010000100001 +011001010011 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +100001110111 +011101110111 +011110001000 +100010001001 +011110001001 +011110001001 +011110001001 +011110001000 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +100010001000 +100010001001 +011110001000 +011101110111 +100001110111 +011101110111 +100010001000 +100010001000 +100010001000 +011101110111 +100001110111 +011101110110 +011101100110 +100001110111 +011101100101 +011001010100 +011001010100 +011101100101 +011101110110 +011101100101 +011101100100 +011001010100 +100010001000 +100010000111 +100010000111 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +011101110111 +100001110111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001001 +100010001000 +100010000111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110110 +100001110111 +100010000111 +100001110111 +100001110110 +100001110111 +100001110110 +100010000111 +100010000111 +100001110111 +100001110111 +100010001000 +100010001001 +100110011001 +100010001000 +100010001001 +100110011010 +100110011010 +100010011010 +100110011010 +100010011001 +100010011001 +100110011010 +100110011010 +100010011001 +100110011001 +100010011001 +100010001000 +100010011001 +100110001000 +100010011001 +100010011001 +100010001000 +100010011001 +100010011001 +100010011010 +100010011010 +100110101011 +100110011010 +100010011001 +100010011001 +100110011001 +100010011010 +100010011010 +100010011001 +100010001000 +100010001000 +100010000111 +100001110110 +100001110110 +011101110110 +011101100101 +100001110110 +011101100101 +010101000010 +011101100101 +011101110110 +011101110110 +011101100101 +010100110010 +010101000011 +010101010100 +011001100101 +011101110110 +011101110111 +100010000111 +011101100110 +011101100110 +011101110111 +100010000111 +100001110111 +011001000011 +011101010011 +011101010011 +011001010100 +100001110111 +100010011001 +011101100101 +011101100101 +011101100110 +011101100101 +011101100100 +011101010100 +011101100101 +011101100110 +011101100101 +011001100101 +011001100110 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +100010001000 +011101110111 +100001110111 +011101110111 +100010001000 +011101110111 +011101110111 +100010001000 +011101110111 +011101110111 +100010001000 +100010001001 +100010001000 +011101110111 +010101010101 +010101000100 +001100110010 +001100110010 +010101000011 +011001010100 +011001010101 +010101010101 +011001100110 +011001100110 +011001100101 +011001010101 +011001010100 +011001000011 +010101000010 +011001000010 +011101100100 +011101110110 +011101110110 +011101100100 +011101100101 +011001010100 +011001000011 +010101000011 +010101000011 +010000110010 +010000100001 +010000110010 +010000110011 +010000100010 +010000100001 +001100100001 +000100010000 +000100010000 +001100100001 +010001000100 +000100100010 +000000000001 +000000000000 +000000000000 +000100100010 +000100100011 +000100100010 +000000010001 +000100010001 +001100100010 +010000110011 +010101000100 +010101000011 +010101000100 +011001010100 +010101000100 +010101000011 +010101000100 +011001010100 +010101000011 +010000110010 +010101000011 +011001000100 +010101000011 +010101000010 +011001000011 +010100110010 +011101010011 +101010000101 +101010000101 +100001100100 +011001000010 +011001000010 +011101010010 +100001100011 +100101110100 +100101110100 +100101110100 +011001000010 +001000010001 +000100010000 +001000010001 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +001000010000 +001000000000 +001000010000 +000100010001 +000100000001 +000100010001 +000100010010 +000100010010 +001000100011 +000100100010 +000100100010 +000100100011 +000000000001 +000000000000 +000100000000 +001100100001 +001000010001 +000100010001 +000000000000 +000100000000 +001000010001 +001100110001 +001000010010 +001000010001 +010001010110 +011101110111 +011001100110 +001101000100 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001100110010 +010000110010 +010000110010 +010101000011 +011001010100 +011001010011 +011101100100 +011101100100 +100101110101 +100001100101 +010101000011 +010101000011 +010000110010 +010000110010 +010101000010 +011001000011 +011001010011 +011001000011 +010101000010 +010101000011 +011001010011 +011001010011 +100001100101 +100001100101 +011001010100 +010101010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +001101000100 +001000110100 +000100100010 +000100010001 +000000010001 +000100100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010101100110 +010101100110 +010001100110 +010001010110 +010001010101 +001101000100 +000100100010 +000100100010 +001101000100 +010001010101 +010001010110 +010001010101 +001101000100 +001000110100 +001000110100 +001101000100 +001101000100 +001101000100 +001100110100 +001100110011 +001100110011 +001101000011 +010001000100 +011001100110 +011101110110 +011101110111 +100010001000 +100001110111 +100001100101 +100001100101 +100010000111 +100010001000 +011101100101 +100001100100 +100001110101 +011101010011 +011101010100 +011101110110 +100001110111 +011101010100 +010000100001 +011001000010 +001100010000 +001100010001 +011001010100 +100001110111 +100001110111 +011101100101 +011101100101 +011101100101 +011101100100 +011101100110 +011101111000 +100010001000 +011110001000 +100010001001 +011110001000 +011110001000 +011101111000 +011101110111 +011110001000 +011110001001 +100010001001 +011110001000 +011110001001 +011110001000 +011110001000 +100010001000 +100001111000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101100110 +011101110111 +011101110110 +011101100110 +011101100101 +011001010100 +011101100101 +100001110111 +100001110111 +011101100101 +100010001000 +100010001000 +100010001000 +100010001000 +011101111000 +011101111000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001001 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100010001000 +100010000111 +100001110111 +100001110111 +100001110111 +100010000111 +100001110111 +100001110110 +100010000111 +100010000111 +100001110110 +100001110111 +100010001000 +100010011001 +100010011001 +100010000111 +100010011001 +100110011010 +100010011001 +100010011010 +100110011010 +100110011001 +100110011001 +100010011001 +100110011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100110011001 +100010011010 +100010011010 +100110101010 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010000111 +100010000111 +011101110110 +011101110110 +011101110110 +011001010100 +011101100101 +100001110111 +100010000111 +100001110111 +011101100100 +010101010011 +011101110110 +100001110111 +011101110111 +011101110111 +011101110110 +011101110111 +011101110110 +100010000111 +011110000111 +011101110110 +011101100101 +011001010011 +011001000011 +010000100001 +011001000011 +100001110111 +011101100101 +011101110110 +011101100110 +011001100101 +011101100110 +011101110111 +011110000111 +011101110111 +011101110110 +100001110111 +011101110111 +011001100110 +011001100101 +011001010101 +100010001000 +011110001000 +100010001000 +011101110110 +011101110110 +011101100110 +100001110111 +011110001000 +100010001000 +100010000111 +011101110110 +011001100110 +011101110111 +011110001000 +011101111000 +011101110111 +011101111000 +011101111000 +011101110111 +010101010100 +010000110011 +010101000011 +010000110010 +001000100001 +001100110010 +010001000011 +010000110010 +010100110010 +011001000010 +011001000010 +011001010100 +011001000010 +011001010011 +100001110110 +011101110111 +011101100110 +011001010101 +011101100101 +011001010101 +010001000011 +010101010101 +010101010100 +010101010100 +010001000011 +010101000011 +010101000011 +010100110010 +010000100001 +001100100001 +001100100001 +001100110010 +001000100010 +000000000001 +000000000000 +000100000000 +000100000000 +000100010010 +000000000001 +000100010001 +000000000000 +000000000000 +001000010001 +001100100010 +001100100010 +001100110010 +001000100010 +010000110011 +010101000100 +011001010100 +011001010100 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +010101000010 +011001000011 +011001000011 +011101010011 +011101010100 +100001110100 +101010000101 +100101110100 +100001100011 +011101010011 +011101010011 +011101010011 +100001010011 +100001010011 +100001100100 +100001100100 +010000110010 +001000010001 +001000100001 +001000100001 +001000100001 +001000100001 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100000000 +001000100001 +001000010001 +000100000001 +000100010001 +001000010010 +000100100010 +000100100011 +001000100011 +000100100011 +000100100011 +000000010010 +000000000001 +000000000001 +000000000001 +001000100010 +001100110011 +000100010010 +000100010010 +001100100010 +001100100010 +001100100001 +001000100001 +010001010110 +011001110111 +011001100110 +001101000100 +000100100010 +000100100010 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100000000 +000100000000 +001000010000 +001000010001 +001000100001 +010000110010 +010101000011 +011101010100 +100001110101 +011001010100 +010101000010 +010101000011 +010001000010 +010000110010 +010101000011 +011001010011 +011001010011 +010101000011 +010100110010 +010101000010 +010101000010 +010101000010 +010101000011 +011101010100 +100001100100 +011101100100 +011101100101 +011001010100 +010101010101 +010101010101 +010001010101 +001101000100 +001000110011 +001000100010 +000100100010 +000100010001 +000100100010 +001101000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010101100110 +010001100110 +010001010110 +010001010101 +001101000100 +000100100010 +000100100010 +001101000100 +010001010101 +010001010110 +010001010101 +001101000101 +001101000100 +001101000100 +001101000101 +001101000101 +001101000100 +001100110011 +001000100010 +000100100010 +000100010010 +000100100010 +001000100010 +001100110011 +010001000100 +010101100101 +011101110111 +100001110101 +011101100100 +011101100101 +100001110101 +011001000011 +100001100011 +100001110101 +100001110110 +100001110110 +100001110110 +100001110111 +011101100100 +011001000011 +011101010100 +010100110010 +010100110001 +011001000011 +011101110110 +100010001000 +100001110110 +011101010100 +011101100101 +011101010100 +011001010100 +011101110110 +011101110110 +011101110110 +100010000111 +011110001000 +011110001000 +011101111000 +011101111000 +011101111000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101110111 +100001110111 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100001110111 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100010001000 +100001110111 +011101110110 +100010001000 +100010000111 +100001110111 +100010000111 +100010001000 +100010001001 +100010011001 +100010001000 +100010001001 +100110011010 +100010011001 +100110011010 +100110011010 +100010011001 +100110011001 +100010011001 +100010011001 +100001110111 +100010001000 +100110011000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110111 +100001110110 +100010000111 +100010000111 +100010001000 +100010011001 +100110011010 +100010011001 +100010011001 +100010001001 +100010011001 +100010011001 +100110011001 +100110011001 +100010011001 +100010011000 +100010011001 +100010001001 +100010001000 +100010001000 +100001110111 +100010000111 +100001110111 +100001110110 +100001110110 +100001110111 +100010001000 +011101110110 +011101110110 +011101100101 +100001110111 +011101110111 +100010001000 +011101110111 +100001110110 +011101110111 +100001110111 +100010001000 +100010000111 +100001110110 +100001110110 +011101110110 +011101100110 +011101010100 +011001000010 +011101100100 +011101010101 +011101110110 +011101100110 +011101100101 +011101110110 +011101110110 +011101100101 +011101110110 +011101110110 +011101110111 +011101111000 +011101110111 +011101110110 +011101100110 +011101110111 +011101110111 +100010000111 +011101110110 +011101100101 +011101100101 +011101110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011101110111 +011101110111 +011101111000 +011101110111 +011101111000 +011001100111 +011001010101 +100001110110 +011001000100 +011001010100 +010101000100 +010101000100 +010101000011 +010000100001 +001100100001 +010101000011 +011101110110 +011101100110 +011001010100 +011101100101 +011001100110 +011001100101 +010101000100 +010101010101 +010001010101 +010101010101 +010101010101 +010101010101 +011001100110 +010101010100 +010101000011 +010100110010 +011001000010 +010100110001 +010000110010 +001100100010 +001000100010 +000100010010 +000000010001 +000100010001 +001100100001 +001000100001 +000000010001 +000000000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000000000000 +000100000000 +001000100010 +001100100010 +001000100010 +001000100001 +001100100010 +001100100010 +010000110010 +010000110010 +010000110010 +010100110010 +010100110010 +010101000010 +011001010011 +011001000010 +100101110100 +100101110100 +100001100011 +011101010011 +011001010011 +011001000010 +011101010011 +011101010011 +011101100011 +100101110100 +011101100100 +001100110010 +001100110010 +001100100001 +001100100001 +001100100010 +001000010001 +001000010001 +001000010001 +000100000000 +000100000000 +000000000000 +001000010010 +001000010010 +000000000000 +000000000000 +000100010010 +000000010001 +000100010010 +001000100011 +000100100011 +001000100011 +001000100011 +000100100011 +000000010010 +000100010010 +000000010001 +001000110011 +010001000100 +001000100010 +001000100010 +001000100010 +001100100010 +001100110010 +010001000101 +011001100110 +010101100110 +001101000100 +000100010001 +000100100001 +001000110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000100001 +001000010001 +000100010001 +001000010001 +000100010001 +000100010000 +001000100001 +001100100010 +010000110011 +010101000100 +011001010100 +010001000011 +001100100001 +010101000011 +100001100101 +011001010011 +010101000010 +010000110010 +010101000010 +010101000010 +010000110010 +010000110010 +010000110010 +010101000010 +011001000010 +011001000010 +011001000011 +011001000011 +011001010011 +011101100100 +011101100101 +010101010101 +001100110011 +001000110010 +001000100010 +000100100010 +000100100010 +001001000100 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001100110 +010001010110 +010001010101 +001101000100 +001000100010 +000100100010 +001000110100 +010001010101 +010001010110 +010001010110 +010001010101 +001101000100 +001101000100 +001101000100 +001100110100 +001000110011 +001000100010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100011 +001000100011 +001000110011 +001100110100 +010001000101 +010101010101 +011001100101 +011001100101 +011101100101 +011101010011 +011101010011 +100001110110 +100010000111 +100001110111 +100001110111 +100010000111 +100001110101 +011101100100 +100001110110 +011101100101 +011101100101 +011101100101 +011101110110 +011110001000 +011101110110 +011101100101 +011101110110 +100010001000 +100010000111 +011101010100 +011101100101 +011101100101 +011101110110 +011101110111 +011110000111 +011101110110 +011101110111 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011101111000 +011101111000 +100001110111 +100010000111 +100010001000 +100010001000 +011101111000 +100010000111 +100001110111 +100001110111 +100001110111 +100010001000 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100001110111 +100010001000 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100010001000 +100010001000 +100001110111 +100001110111 +100010000111 +100001110111 +100001110111 +100010001000 +100010001000 +100001110111 +100110001000 +100010000111 +100001110111 +100001110111 +100010001000 +100010001001 +100110011010 +100010011010 +100110011010 +100110101010 +100110011010 +100010011001 +100010001000 +100010011001 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +011101100101 +100001100101 +011101100101 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +011110000111 +100010001000 +100010001000 +100010011001 +100010011001 +100010011000 +100010001000 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100001110111 +100010000111 +100010001000 +100010000111 +100010000111 +011101100110 +011101110110 +100010011001 +100010000111 +011101110110 +011101110111 +100010001000 +011110000111 +100010001000 +011101110111 +011101110110 +100001110111 +100010000111 +100010000111 +100010000111 +011101100101 +011101110110 +100010000111 +100001110111 +100001110110 +011001000011 +011001000011 +011101100101 +011101110111 +011101110110 +011101100101 +011101100100 +011001010100 +011101100100 +011101100100 +011001010011 +011101100101 +011101110110 +011101110111 +011101110110 +011101110111 +100010001001 +100010001000 +100010001000 +100010000111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +100001110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101111000 +011101110111 +011101110111 +011001010100 +011001010100 +011001010011 +011101010100 +011101010100 +011101100101 +011101100101 +011101010100 +010101000011 +011001000011 +011001010100 +011101010101 +011101100101 +011101100110 +011101100101 +011001010100 +010101000011 +010101010100 +010101010101 +010101010100 +010101000011 +011001010101 +011001010101 +010101000100 +010101000100 +010101000011 +001100100001 +010100110010 +010101000011 +001000100010 +000100010001 +000100010010 +000100010001 +001100100001 +010000110001 +001000010001 +000000000000 +001000100001 +001100100001 +001100100001 +001100010001 +001100100001 +001100100001 +001100100001 +001000010000 +000100000000 +000100010000 +001000010000 +001000010001 +000100000000 +001000010001 +001100100001 +000100010001 +001000100010 +001100100010 +001000010001 +010000110010 +001100100010 +010000110010 +010000110010 +010000110001 +011101010011 +100101110101 +100001100100 +011001000010 +011001000011 +011001010011 +011001010011 +011101010011 +011101010100 +100001100100 +100101110101 +011001010100 +010000110011 +010000110011 +010000110010 +001100100010 +001100100010 +001000100001 +001000100001 +001000010001 +000100010001 +000100010001 +000100010010 +000000000001 +000000000001 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100010 +000000010001 +000100100010 +001000110100 +001000100011 +000100010001 +000100010001 +000100010001 +001000100010 +001000010001 +010000110011 +001100110010 +001100100001 +000100100010 +001100110100 +010101010101 +010101010101 +001100110011 +000000010001 +000100010001 +000100110010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000010001 +000100010000 +000100010000 +000100010001 +000100010010 +000100010001 +001000100010 +001101000100 +010001010101 +010001010101 +010001010101 +001100110011 +001100110010 +010101010100 +011101100101 +011001010100 +010101000010 +010101000011 +011001010100 +010101000011 +010000110010 +010101000011 +010101000010 +010100110010 +011001000010 +011001000010 +011001000010 +010101000010 +010100110001 +011001010011 +100001110101 +100110000110 +011101100101 +010101000100 +001000110010 +000100100001 +000100100010 +001000110100 +001101000101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001100110 +010001010110 +010001010101 +001101000100 +001000100011 +000100100010 +001000110011 +001101000100 +010001010101 +010001010110 +010001010101 +001101000100 +001100110011 +001000110011 +000100100010 +000100010010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100011 +001000110100 +001101000101 +001101000101 +001101000101 +010001000100 +010001010101 +010101000100 +010101000011 +011101100101 +100001110110 +100001110110 +100001110110 +100010000111 +100001110110 +011101100101 +100001110111 +100001110111 +100001110110 +100001110111 +100001110111 +011110001000 +011101110111 +100001110111 +011101110111 +011110001000 +100010001000 +011001010100 +011101100101 +100010000111 +011001010100 +011101100101 +100001110110 +011101100101 +011001010100 +011101110110 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +011101110111 +011101110111 +100010001000 +011110001000 +011110001001 +011110001001 +100010001001 +011110001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +011101110111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110111 +100010001000 +100010000111 +100001110111 +100001110111 +100001110110 +100001110111 +100001110111 +100001110111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110110 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100110011010 +100110011010 +100110011001 +100010001000 +100010011001 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +011101100101 +011101100100 +100001110101 +100001110110 +100001110110 +100001110110 +100010000111 +100001110111 +100010000111 +100110011000 +100010011000 +100010011001 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010001001 +100010011001 +100010001000 +011101110110 +100010000111 +100010001000 +100010001000 +100010001000 +100001110111 +100001110111 +100010011001 +100010001000 +100010000111 +100010000111 +100010001000 +100010001000 +100010011001 +100010001000 +100001110111 +100001110110 +011101110110 +100010000111 +011101110110 +100001110110 +100001110110 +100010000111 +100010000111 +100001110111 +011101110110 +011101100101 +011101110110 +011101110110 +011101110111 +100001110111 +100001110110 +011101100101 +011101100101 +011001010100 +011001010100 +011101010100 +011101100110 +011110001000 +100001110111 +100001110111 +100010011001 +100010001000 +100010000111 +011101110111 +100001110111 +011101110111 +011101110111 +100010001000 +100010001000 +100001110110 +011101100110 +011101110110 +011110001000 +011110001000 +011101110111 +011101110110 +011101110111 +011101110110 +011001100110 +011001100101 +011101100101 +011101100110 +011101100101 +011001100101 +011101100101 +011001010100 +011001000010 +011001000011 +010100110010 +011001000011 +011001010100 +010101000011 +011001010100 +010101010100 +010101010100 +010101000011 +001100110010 +001100100010 +010100110010 +010000100001 +010000100001 +010100110011 +010101000011 +010101000011 +010000110010 +001100110010 +001100110010 +010000110010 +010000110010 +000100010001 +000000000000 +000000010001 +001000100001 +010000110010 +010100110010 +000100010000 +000000000000 +010000110010 +010101000011 +010000110010 +010000100001 +001100100001 +010000100001 +010000110001 +010000110001 +010000110001 +010000110010 +010100110010 +010000110010 +001100100001 +001100010000 +001100100001 +001000010001 +001000100001 +001100100010 +001100110011 +010001000100 +000100000000 +000100000000 +000100000000 +001100100001 +010000110010 +011001000011 +011101010100 +011001000011 +011001000011 +011001000010 +011001000010 +011001000010 +011001010011 +011001010011 +100001100100 +011101010011 +010000110010 +010001000011 +010000110011 +010000110011 +001100100010 +001100100010 +001100110011 +001000100010 +000000010001 +000000010001 +000000010001 +000000000000 +000100010001 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +000100010001 +000000000000 +000000010001 +000100010010 +000100010001 +000000000001 +000000000001 +000100010001 +000000010001 +000100010001 +000100010001 +001000100010 +001000100010 +000100100010 +001000100010 +001100110100 +001100110100 +001000100010 +000000010001 +000100010001 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100010000 +000100000000 +000100010001 +001100110100 +001101000100 +001101000100 +010001010110 +010001010110 +010101100111 +010001010110 +010001010110 +010001010110 +010001000100 +010101000101 +010101000011 +010000110010 +010000110010 +011001000011 +011001010100 +010101000011 +010101000010 +011001010011 +010000110010 +010000110001 +010101000010 +010101000010 +010101000010 +011001000010 +010101000010 +010101000010 +011001000010 +011001000010 +011101010011 +011101100100 +011001010011 +011001010100 +010001000011 +001100110011 +001101000101 +010001010101 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000100 +001000110011 +000100100010 +001000100010 +001100110011 +001101000101 +010001010101 +010001000101 +001100110100 +001000100010 +000100010010 +000000010001 +000100010001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +001000100010 +001101000100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +010001000101 +010101010101 +011001100101 +011101100101 +011101110110 +100001110110 +011101100100 +011101110110 +100010000111 +011101110111 +011101110111 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +011110000111 +100001110111 +100010001000 +010101000100 +011001010011 +011101100101 +100110000111 +011101100100 +011101100101 +011101110111 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +100010001000 +011101111000 +011110001000 +011110001000 +100010001000 +011101110111 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011101111000 +011110001000 +011110001000 +011101111000 +011101111000 +100001110111 +100001110111 +100001110111 +100010001001 +100010011001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100001110111 +100010001000 +100010000111 +011101110110 +100001110111 +100001110111 +100010000111 +100010000111 +100001110111 +100010001000 +100010001001 +100010001000 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011010 +100010011010 +100110011010 +100110011001 +100110001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110101 +100001110101 +100001110101 +100001110110 +100010000111 +100010001000 +100010011000 +100010001000 +100010001000 +100010001000 +100110011001 +100010000111 +100010001001 +100010011001 +100010011001 +100010001000 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +011101110110 +100010000111 +100010011001 +100010001001 +100010001000 +011101110110 +100010001000 +100010001001 +100010001001 +100010000111 +100001110111 +011101100110 +011101100101 +100001110111 +100001110111 +100010000111 +100010001000 +011101110111 +011101110110 +011101110110 +100010000111 +100010001000 +011110001000 +011101110110 +011101110110 +011101110111 +011101110111 +100010000111 +100010001000 +100010001000 +011101110111 +011101110110 +011001100101 +011001100110 +100001110111 +011101100110 +100010000111 +100010000111 +100010000111 +011101110110 +011001010100 +011101100101 +100001110111 +100010001000 +100010001000 +100001110111 +100001110110 +011101110110 +011101110111 +011110000111 +011101100110 +011101100110 +011101100101 +011001010101 +011001010101 +011001010100 +011001010100 +011101100110 +011101110111 +011110001000 +100010001001 +011101110110 +011001000011 +010100110010 +010100110010 +011001010100 +011101100101 +011101100101 +011001010101 +011001100101 +011001010100 +011001010011 +010101000011 +011001010100 +011001010100 +010100110010 +010000100001 +001100100001 +010000110010 +010101000011 +010000110010 +001100100001 +001100100010 +010000110010 +001100100010 +000100010010 +000000000000 +000100010001 +010001000011 +010101000010 +010101000011 +001000100001 +000000000000 +001100110010 +010101000011 +010101000011 +010100110010 +010000110001 +010100110010 +010101000010 +011001000010 +011001000011 +011001010100 +011001000011 +010101000010 +010000110010 +010000110010 +010101000010 +010101000011 +010000110010 +010000110010 +010000110010 +001100100010 +001000100010 +001100100010 +001100100010 +001100110010 +000100010001 +001000010001 +001100100010 +001000100001 +001100110010 +010000110010 +010101000011 +010000110010 +010000100010 +001100100010 +010000110010 +011001000010 +001100100001 +001000100001 +001100100010 +001100100010 +001100100010 +001100100010 +001100100010 +000100010010 +000000000001 +000000000001 +000000000000 +000000000000 +000000000001 +000000000001 +000000000001 +000100010001 +000100010010 +000100010010 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000100010010 +000100100010 +000100100010 +001000110011 +001100110011 +001100110011 +001100110011 +001000100010 +001000100010 +001100110011 +001000100011 +001000100011 +001000100010 +000100010001 +000100010001 +000100100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +001000110011 +000100010000 +000100000000 +000100010001 +001100110011 +001000110011 +001101000100 +010101010111 +010101010110 +010101010110 +001101000101 +010001000101 +010001000101 +001101000101 +010001000101 +001100110011 +001100100010 +001100110011 +010101000100 +010001000011 +010001000011 +010000110010 +010101000011 +010100110010 +010100110010 +011001000011 +011101100100 +011001010011 +011001000011 +011001010011 +010100110010 +010101000010 +010101000010 +010000110010 +010000110010 +010101000010 +011001010100 +011101010100 +011101100101 +011001100110 +010101100101 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010101 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000100 +001000110011 +001000100001 +000100100001 +001000100010 +001000110011 +001101000100 +001101000100 +001000110011 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +000000010001 +000000010001 +000100010001 +000100010001 +001000100010 +001000110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +010001000100 +011001010011 +011101100101 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +100010001000 +100001110111 +100001110111 +011101110110 +100001110111 +100001110111 +100010001000 +100010001000 +100010011001 +100010001001 +011110001000 +011110001000 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +100010001000 +011101111000 +011110001000 +011101110111 +100001110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +011110001000 +011110001000 +011101110111 +100001110111 +100010001000 +100010001001 +100010011001 +100010011001 +100010011001 +011110001001 +100010001001 +100010001001 +100010001001 +100010011001 +100010001001 +100010001000 +100001110111 +100010000111 +100010001000 +100001110110 +100001110111 +100010000111 +011101110110 +100001110110 +100010000111 +100001110111 +100010001000 +100010001000 +100001110111 +100010001000 +100010001001 +100010001001 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100010011001 +100010011001 +100010001000 +100010001000 +100110011000 +100010000111 +100010001000 +100010001000 +100010001000 +100001110111 +100001100101 +100001100101 +100001100101 +100001110110 +100001110101 +100001110110 +100001110110 +100001110110 +100010000111 +100001110110 +100010000111 +100010001000 +100010011000 +100010011001 +100010001000 +100010011001 +100010011001 +100010000111 +100001110110 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010011001 +100010011001 +100010001001 +011101110110 +100010000111 +100010011001 +100010011001 +100010000111 +100010000111 +100001110111 +100001110110 +100001110110 +100010000111 +100010001000 +100010001000 +100010000111 +011101100101 +011101100101 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011110001000 +100001110111 +011101110111 +011101110111 +011101110110 +100001110110 +011001010100 +011101100110 +100001110111 +011101110110 +100001110111 +100001110110 +100001110110 +011101100110 +011101100101 +011101100100 +011101100101 +011101110111 +100010000111 +011101110110 +100001100110 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100101 +011001010100 +011001100101 +011101100110 +011101100110 +011101110110 +011101111000 +011110001000 +100001110111 +011001000011 +011000110010 +011101010100 +011101100101 +011001100101 +011101100110 +011001010101 +011101010100 +011101010100 +011001010100 +011101010100 +011101010100 +011101010100 +011101100100 +011001010100 +011001010100 +010101000011 +010101000011 +001100100001 +001000010001 +001100110010 +010101000011 +001100100010 +000100100010 +000100010001 +001000100010 +010101000011 +010000110010 +010101000100 +010001000100 +000100010001 +001100100010 +010100110010 +010101000011 +010101000011 +010000110010 +010101000011 +011001000011 +010100110010 +010100110010 +010000110010 +001100100001 +010100110010 +010000100001 +011001000011 +010101000011 +010000110010 +010000110010 +010101000011 +011001010011 +010100110010 +001100100001 +010101000011 +010101000011 +010101000011 +001000010001 +001100100010 +010001000011 +000100000000 +000100010001 +001000010001 +001100100010 +001100100010 +001100100010 +001100110010 +001100100010 +001100100001 +001100100001 +000100000000 +000100000000 +001000010001 +001000010001 +001000100001 +001000010010 +000000000001 +000000000000 +000000000000 +000000000000 +000000000001 +000100010010 +000000000000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +001000100011 +001100110100 +001000100011 +001000100010 +001100110011 +001000100011 +001000100010 +001100110011 +001100110100 +001100110100 +001100110011 +001100110011 +001100110100 +010001000100 +010001000100 +010001000100 +001101000100 +001101000011 +001001000011 +001000110011 +001000110011 +001000110011 +000100010000 +000100010001 +000100010001 +001000100010 +001000100010 +001100110010 +001100110100 +010001000101 +010001010110 +001101000101 +010001000100 +001100110100 +010001000100 +010001000100 +000100100010 +001100110011 +001101000100 +001101000100 +001101000100 +010001010101 +001100110011 +001100110010 +010000110010 +010000110010 +010000110001 +010000110001 +010101000011 +010101000010 +010000110010 +010000110010 +011001010011 +011001010011 +010101000011 +010101000011 +010000110010 +011001000011 +011101010100 +100001110101 +101010000111 +100001110101 +011001010100 +011001010100 +010101010101 +010101010101 +010001010101 +010001010110 +010001010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000100 +001000110011 +001000100010 +001000100001 +000100100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000100011 +000100100011 +000100100010 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010010 +001000110100 +001101000101 +001101000101 +001101000101 +001101000101 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000101 +001101000100 +001000110011 +000100010010 +000100010010 +000100100010 +001101000100 +010101010101 +011001100110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100001110111 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +100010001000 +100010011001 +011110001001 +011110001001 +011110001001 +011110001000 +011101110111 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101111000 +011110001000 +011110001000 +100010001001 +011110001001 +100010001001 +100010001001 +011110001000 +100010001000 +100010001001 +011110001000 +100010001000 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001001 +100010001000 +100010001000 +100010001000 +100001110111 +100010001000 +100010001000 +011101110111 +011101110111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100110001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100001110110 +100001110110 +011101100101 +011101100101 +100001110110 +011101100101 +100001110110 +100001110111 +100010000111 +100010000111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001000 +100001110110 +100010000111 +011101110110 +011101110111 +100010011001 +100010001000 +100010001000 +100010011001 +100010001001 +100010001000 +100010001000 +100001110110 +100001110111 +100010011001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001000 +100001110111 +011101110111 +011101110111 +011101100110 +011101100110 +011101110110 +011101110111 +100010001000 +011101110111 +100001110111 +011101110110 +011101110111 +100001110111 +011101100110 +100010000111 +100001110111 +011101110111 +100010001000 +011101110111 +011101110110 +100001110110 +100001110110 +011101100101 +011101100101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100101 +011101110110 +100001110110 +011101110111 +011101110111 +011101110111 +011101110110 +011001100101 +011101100110 +011101110110 +011101100110 +011101110111 +011101100110 +011101100110 +011001010101 +011001010100 +011001000011 +011101010100 +011101100100 +011101100101 +011101100101 +011001010011 +011001000011 +011001010100 +011101100101 +011101010100 +010100110010 +011000110010 +011001000011 +011101010011 +011101010100 +011001000011 +010101000011 +010101000100 +010101000100 +010101000011 +010000110001 +001000010001 +000000000001 +000100000000 +001000100001 +010000110010 +010001000011 +010101000100 +010101010100 +010101010100 +010000110010 +010100110010 +011101100101 +011101100101 +010000110010 +001100100001 +010100110010 +010000110010 +010000100010 +010000100001 +010100110010 +010000110010 +010000100001 +010100110010 +011001010100 +011101010100 +011101100100 +011101010100 +011001000010 +011001000011 +011001010011 +010101000010 +010100110010 +010100110010 +001100100001 +001100100001 +010101000011 +010000110010 +001000010001 +001000010001 +001100100010 +001100100001 +001000010000 +000100000000 +000100000001 +000100010001 +001000010001 +000100010001 +000100010001 +001000010001 +001100100001 +001000010001 +000100010001 +000000000000 +000000000000 +000000000000 +000100010001 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010010 +000100010010 +000100010010 +000100010010 +000100010010 +000100100010 +000100100011 +000100100010 +001000110011 +001000110100 +001100110100 +001000100011 +001000100010 +001000100010 +001000100011 +001000100010 +001000100010 +001100110011 +001100110011 +001100110100 +001100110011 +001100110011 +001100110011 +001100110100 +010000110100 +001100110100 +001100110100 +010001000100 +010001010101 +001101000100 +001101000100 +000100010000 +001000010001 +001000100001 +000100010001 +001000100010 +001100100010 +001000100010 +001100110100 +001000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001000100010 +001100110011 +010001000101 +001100110100 +001101000100 +010001010110 +010101100111 +010101010110 +001101000100 +000100010001 +001100100010 +011101100101 +011101010011 +100101110101 +100101110101 +010101000011 +010000110001 +011101100100 +100101110110 +100001110101 +011101100011 +011001000010 +011001010011 +011001010011 +011001000010 +011101010011 +011001000010 +010100110010 +011001000010 +011101010011 +011101100100 +011101100101 +011001010101 +010101010101 +010101010101 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010110 +010001010101 +001101000100 +001000110011 +001000100010 +001000100010 +001000110011 +001100110100 +001000110100 +001000110100 +001000110011 +000100100011 +000100100010 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010001 +001000110011 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000100 +001101000101 +001101000101 +001101000100 +001000110100 +000100100011 +000100100010 +000100010010 +000000010010 +000000010010 +000100010010 +000100100010 +001100110011 +010101010101 +011001110111 +011101111000 +011110001000 +011110001000 +011101110110 +011101110111 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +100010001000 +100010001000 +100010011001 +100010001000 +011101110111 +011101110111 +011101110111 +100010001000 +100010001001 +100010001001 +100010001000 +011101110111 +100010001000 +011110001000 +011101110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101111000 +011101111000 +011110001000 +011110001000 +100010001000 +100010001000 +011110001000 +100010001000 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +100010000111 +100010000111 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100010001001 +100010011001 +100010001001 +100010001000 +100010001000 +100010011001 +100010011010 +100010011010 +100010011010 +100010011001 +100010011001 +100010011001 +100010001000 +100010001000 +100010000111 +100010000111 +100010001001 +100010001001 +100010011001 +100010000111 +100001110110 +100001110110 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100010000111 +100010000111 +100001110110 +011101100101 +100001110111 +100010001000 +100010000111 +100001110110 +100001110111 +100001110111 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010000111 +100001110111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100010011000 +100010001000 +100010001000 +100010001000 +100010001000 +100010011001 +100010001001 +100001110111 +011110000111 +011101110111 +011101110111 +011101110111 +100001110111 +100001110111 +100010001000 +011101110111 +100001110111 +011101110111 +100001110111 +100001110111 +011101110111 +100010001000 +011101110111 +100001110111 +100010001000 +100001110111 +011101110110 +100001110110 +011101100101 +011001010101 +011101100101 +011101100101 +100001110110 +100001110110 +011101100101 +100001110110 +100001110110 +011101100101 +011101110111 +100001110111 +100001110111 +100010001000 +011101110111 +011101110110 +100001110111 +100001110111 +011101110110 +011001010100 +010101000011 +011001000011 +011001000011 +011001000011 +011101010011 +011101010100 +011101100101 +011101110110 +011101100100 +011101010100 +011001010100 +011101100110 +011101010100 +011001000011 +011001000011 +010101000010 +011001000011 +011001010011 +011001000011 +010101000010 +011001000011 +010101000011 +011001010011 +010101000010 +000100000000 +000000000000 +001100100001 +001100100001 +010000110010 +010000110010 +010001000011 +010001000011 +001100110010 +001100100001 +010000100001 +010000110010 +011001010100 +010000110010 +001100100001 +001100100001 +010000100001 +010100110010 +011001000010 +011001000011 +010101000010 +010101000011 +010101000011 +010000110010 +011001000100 +010101000011 +011001000011 +011101010011 +011101010100 +100001100101 +011001010011 +010101000010 +011001000011 +011001010100 +010101000011 +011001000011 +011001000011 +010000100010 +001100100010 +010000110010 +001100100001 +001100100001 +000100000000 +000100010001 +001000010001 +001000010001 +000100010000 +000100010001 +000100010000 +001000010001 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +001000100010 +001000100011 +000100100010 +001000100010 +001000100001 +000000000000 +001000100010 +001000110011 +001000100011 +001100110100 +001000110100 +001000110011 +001000100011 +001000100011 +001000110100 +001100110100 +010001000100 +010001000100 +001101000100 +001100110100 +001100110011 +001100100011 +001000100011 +001000100010 +001000100011 +001100110011 +010001000100 +010001000101 +010001000100 +001100110011 +001100110011 +001100110100 +001100110011 +001100110100 +010001000101 +010001000100 +001100110011 +000100010000 +001000100001 +001000010001 +001000010001 +001000100010 +001100100010 +001000100010 +001100100010 +001000100010 +001100110011 +001100110100 +001100110011 +000100010001 +001000010001 +001100100011 +001100110011 +001100110011 +001100110100 +010001010101 +010001010110 +010001000101 +001101000100 +001000100010 +001000100010 +001000100010 +001100110010 +011001010100 +011001010011 +010000110010 +010000110010 +011101100100 +011001010011 +010101000010 +010000110010 +010100110010 +010101000010 +010101000010 +011001010010 +011001000010 +011001000010 +010100110001 +010100110001 +010101000010 +011101010011 +011101010011 +011101010011 +100001110101 +011101110101 +011001100110 +010101010101 +010001010101 +010001010110 +010001010110 +010101010101 +010001010110 +010001010110 +010001010110 +010001010101 +001101000101 +001000110011 +000100100010 +001000100010 +001101000100 +010001000101 +001101000101 +001101000100 +001000110011 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010001 +001000100010 +001000110011 +001000110011 +001000110010 +001000110011 +001000110011 +001000110011 +001100110011 +001100110100 +001100110100 +001000110011 +001000110011 +000100100010 +000100100010 +000100010001 +000100010010 +000100010010 +000100100010 +000100010010 +000100100010 +001000100011 +001000110011 +001101000100 +010001010101 +011001100110 +011001100110 +100010001000 +100010001000 +011110001001 +011101111000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011101110111 +100010001001 +100010011001 +100010011001 +100010000111 +100001110110 +100001110110 +100001110110 +011101110110 +100001110111 +011101110111 +100010001000 +011110001001 +011110000111 +011101110110 +011101100101 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001001 +011110001000 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001001 +100010001001 +100010001001 +011110001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +011110001000 +100010001001 +100010001001 +100010001000 +011110001000 +100010001000 +011101110111 +100010001000 +100010001000 +100010001001 +100010001000 +011110001000 +011110001001 +100010001001 +100010001001 +100010011001 +100010001001 +100010001001 +100010011001 +100010011001 +100010011001 +100010011010 +100010011001 +100010011001 +100010011000 +100010001000 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010011001 +100110011001 +100010000111 +100001110111 +100001110110 +011101100101 +100001110110 +100001110111 +100001110110 +100001110110 +100001110111 +100001110110 +100001110110 +100001110101 +100001110110 +100010000111 +100010000111 +100001110110 +011101100101 +011101100101 +011101100110 +100001110110 +100001110110 +100010000111 +100001110110 +100001110110 +100010000111 +100001110110 +100001110110 +100001110110 +100001110111 +100010001001 +100010011001 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100001110110 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100010000111 +100001110111 +100010000111 +100010001000 +100010001000 +011101110111 +100010000111 +100010001000 +100010001000 +011101110111 +100001110111 +011101110111 +011101110110 +100001110111 +100010000111 +100010000111 +100010000111 +011101110110 +100001110111 +011101110111 +011101110110 +011101100101 +011101100110 +011001100101 +011101100100 +011101100101 +011101100101 +011101110110 +011101100110 +011101100110 +011101100101 +011101100101 +100010000111 +100010000111 +011101100110 +011101100110 +011101110111 +100001110111 +011101110111 +011101110111 +011101100110 +011101110110 +011001100100 +011001010011 +011001000011 +010100110010 +010100110010 +011001000011 +011001000100 +011001010100 +011001000011 +011101010011 +011001000011 +011001000011 +011001000011 +011001010100 +011101010100 +011001000011 +011101010100 +011001010011 +011001000010 +010100110010 +010101000011 +010000100001 +010000110001 +010000100001 +000000000000 +000100000000 +001100100001 +010100110011 +011101100110 +011101110110 +011101110110 +011101100101 +010101000100 +001100100001 +001100100001 +001100010001 +001100100001 +001000010001 +010101000011 +011001010100 +010100110010 +010101000011 +010101000011 +010101000011 +010101000011 +010101000011 +011001010101 +010000110010 +010000110010 +010101000100 +010101000100 +010101000011 +010101000011 +011001010100 +011001010100 +011001010100 +011001010011 +011001010100 +011001100101 +011001010011 +011001010011 +011001010100 +010101000010 +011001000011 +010100110010 +010100110010 +010000110010 +001100100001 +001000010001 +001100100001 +001000010000 +000100000000 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000001 +000100100010 +000100100010 +000100010010 +000100010001 +000100000000 +000100000000 +001000010010 +001000100010 +001000110011 +001100110011 +001100110100 +001100110100 +001100110100 +001100110100 +001000110011 +001000100011 +001100110100 +010001000101 +001101000101 +010001000100 +010001000100 +001100110100 +010000110100 +010000110100 +001100110011 +001100110100 +001100110011 +010001000100 +010000110100 +010000110100 +001100110011 +001100110011 +001100110100 +001100110011 +001100110100 +001100110100 +001100110011 +000100010000 +000100010000 +001000100001 +001000100010 +001100100010 +001100100010 +001000100001 +001000100001 +001100110011 +001101000100 +010001000101 +001000100010 +000100010001 +001000100010 +001000100010 +001000100010 +001100110100 +001101000101 +010001000101 +001101000101 +001000110011 +000100010001 +000100010010 +001000110011 +000100100010 +010001000101 +010101010110 +010101010101 +010101010100 +010001000011 +010000110001 +010000110001 +010100110010 +010000110010 +010100110010 +010100110010 +011001000010 +011001010010 +011001000010 +011001010010 +011101010011 +011001000010 +011001000010 +011101010011 +011101010011 +011001010010 +011101010011 +011101100011 +100001100100 +011101100101 +011101100101 +011001100110 +011001010101 +010101010110 +010001010101 +010001010101 +010001010110 +010001010101 +001101000101 +001000110011 +000100010010 +000100100010 +001100110100 +010001010101 +010001010101 +001101000101 +001000100011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100100001 +000100100010 +000100100001 +000100100001 +000100100001 +001000100010 +001000110010 +001000110010 +001000110010 +001000100010 +001000100010 +001000100001 +001000100001 +000100010001 +000100010001 +000100100001 +000100100010 +000100100010 +000100010010 +000100100010 +000100100010 +001000100010 +000100100010 +001000100011 +001000110011 +001000110011 +001101000100 +010101010101 +011001100111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +100010001001 +011110001001 +100010001001 +100010001001 +100010011001 +100010011001 +100010000111 +011101010011 +100001010011 +011101100100 +011101100101 +011110000111 +100001110111 +011101100100 +010000110001 +010101000011 +011101100110 +011101110111 +011101100110 +011101110111 +100010001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +011110001001 +100010001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +011110001000 +011110001001 +100010001000 +011110001000 +011110001000 +100010001000 +100010001000 +011110001000 +100010001001 +100010001000 +100010001000 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010001001 +100010001001 +100010011001 +100010011010 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010001000 +100010001000 +100010011001 +100010011001 +100010001000 +100010001000 +100010000111 +100001110110 +100001110111 +100010000111 +100001110111 +100010000111 +100001110110 +010000110010 +011001010100 +100001110110 +011101100101 +100001110111 +100010000111 +100001110111 +100001110111 +100001110110 +100001110110 +011101100101 +100001110110 +100001110111 +100001110110 +100001110110 +100001110101 +100001100100 +100001100101 +100001100101 +011101100101 +100010001000 +100010001000 +100010000111 +100010001000 +100010001000 +100010000111 +100001110111 +100001110110 +100001110110 +011101100101 +100001110111 +100010001000 +100010001001 +100010011001 +100010001000 +100001110111 +100001110111 +011101110111 +011101110111 +100010001000 +011101110111 +011101110111 +100010001000 +100010001000 +011101110111 +100010001000 +011101110111 +011101110111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100001110110 +011101110110 +100001110111 +011101110110 +011101110101 +011101100101 +011101010100 +011101100101 +011101100101 +011101100110 +011101100101 +011101100101 +011101100101 +100001110110 +100010000111 +100001110110 +011101110110 +011101110110 +100001110110 +011101110110 +011101110110 +011101110111 +011101100110 +011101110110 +011101110110 +011101100110 +011101100101 +011101100101 +011001010100 +011101100101 +011101010100 +010100110010 +010100110001 +010100110010 +010100110010 +010100110010 +011001000011 +011001010101 +010101000100 +010100110010 +010100110010 +010100110010 +010100110001 +010101000011 +011101100101 +011001010100 +010100110010 +001000010001 +000000000000 +001100100001 +010100110010 +011001100110 +011110000111 +011101100110 +011101100101 +011001010100 +100001110111 +011101110111 +010000110011 +010000110010 +001000010001 +001100100001 +001100100001 +001100100001 +001100100001 +010000110010 +010000110010 +011001010100 +011001100101 +010101010100 +011001010100 +010000110011 +010001000011 +011001010101 +011101100110 +010101000011 +010100110010 +010101000011 +010100110010 +010101000011 +010101000100 +011001010101 +011001100101 +010101000011 +010101000011 +011001000011 +011001010011 +010101000010 +010101000011 +011101100101 +010101000011 +010000110010 +010000110010 +010000100010 +001100100001 +001000010000 +001000010000 +001000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000100010010 +000000010001 +000100010001 +000000000000 +000100010000 +000100010001 +000100010001 +001000100010 +001100110011 +001100110011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +001100110011 +010001000101 +010001010110 +010001000101 +001100110100 +001100110100 +010001000101 +010000110100 +001100110011 +001100100011 +001100100010 +001100100010 +001100100010 +001100100011 +001100100011 +001100100011 +001100110011 +001100110100 +001100110011 +001000100011 +000100010000 +000100010000 +000100010001 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001100110010 +001100100011 +001000100010 +001000100001 +001000100001 +001000100010 +000100010001 +001000100010 +001100110011 +001000100011 +001100110011 +001100110100 +001100110100 +000000000000 +000000010001 +010001000101 +010001010101 +001100110100 +001101000100 +010001010101 +010001010101 +001101000100 +010001000011 +010101000100 +001100110010 +001100100001 +010100110010 +010101000010 +011001000010 +011001000010 +011001010011 +011101010011 +011101010011 +011101010011 +100001100011 +011001000010 +011001000010 +011101010011 +011001000010 +011101010011 +100001110101 +100001100100 +100001100100 +011101100100 +100001100100 +100001100101 +011001100101 +010101010110 +010001010101 +010001010101 +001101000100 +001000110011 +000100010001 +000100100010 +001100110100 +010001000101 +010001010101 +001101000101 +001000100011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100001 +000100100001 +000100100001 +000100010001 +000100100001 +000100100001 +000100100001 +000100100001 +000100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000000010001 +000100010001 +000100010001 +000000010001 +000100010001 +000100100001 +000100100010 +000100100001 +000100100010 +001000100011 +001000100011 +000100100010 +000100100010 +001000100011 +001000110011 +010001000011 +010101010100 +011001100110 +011101110111 +011101111000 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001001 +011110001000 +100010001001 +011110011001 +011110001001 +100010001001 +100010001000 +100010000111 +100110000111 +100001100101 +011001000011 +011101100011 +100001110101 +011001010011 +010000100001 +010100110010 +011101010100 +100001110110 +011101110110 +011101110110 +011101110110 +100001110111 +100010001000 +100010001001 +100010001001 +100010001001 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101110111 +011110001000 +011110001000 +011110001001 +100010001001 +011110001001 +100010001000 +100010001000 +011110001001 +100010001000 +100010001000 +011110001000 +011110001001 +100010001000 +100010001000 +100010001000 +100010001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +100010001001 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +011110001000 +100010001001 +100010011010 +100010011001 +100010001001 +100010001001 +100010001001 +100010001000 +100001110111 +011101100110 +100010000111 +100010001000 +100010001001 +100010011010 +100010011001 +100110011001 +100010001000 +100010000111 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +010100110001 +010101000010 +100001110110 +100001110110 +100001110110 +011101110110 +011101100110 +100001110111 +100001110110 +100001110110 +011101100101 +100001110110 +100001110111 +011101100101 +011101100101 +100001110110 +100001110110 +100001110110 +011101100100 +100001110110 +011101110110 +100010000111 +100001110111 +100010001000 +100010000111 +100001110110 +100001110110 +100010000111 +100001110111 +100001110110 +100010000111 +100010001000 +100010011001 +100010001001 +100010000111 +100001110111 +100010000111 +100010001000 +011110001000 +011110001000 +011101110111 +011101110110 +011101100110 +011101110111 +011101110111 +011101111000 +011110001000 +100010011001 +100010001001 +100010011001 +100010001000 +011101110111 +011101110111 +100001110111 +011101110110 +100001110111 +100001110111 +011101110110 +011101100101 +011101100101 +011101110110 +011101100110 +011101100101 +011101100110 +011101100101 +011101100101 +011101100101 +011101100110 +011101100101 +011101100110 +011101110110 +100001110111 +011101100101 +011101010101 +011101100110 +011101100101 +011001010101 +011101100110 +011001100110 +011101110111 +011101110111 +011101110110 +011101110111 +011001100101 +010101010100 +011001010100 +011001000100 +010101000011 +010100110010 +010100110011 +011101100110 +011101100110 +011001010100 +010100110010 +011000110010 +011101010100 +010101000011 +011101100101 +011101100101 +011101100101 +001100100010 +000000000000 +001100100001 +010100110010 +011001010101 +011101110111 +011101100101 +011001000011 +010101000010 +011101110111 +011110001000 +011101100110 +011001010100 +000100000000 +000100000000 +001100100001 +001100010001 +010000110010 +010100110010 +010000110010 +001100100010 +001100110010 +010101000100 +010101000100 +010101000100 +010101010101 +011001010101 +010101000100 +010101010101 +010000110011 +010000100010 +010000100001 +010101000011 +011101100110 +011101110111 +011101110111 +011001100101 +010101000010 +010100110010 +010000110010 +010100110010 +010100110010 +011101010100 +010101000011 +010000110010 +010101000010 +010101000011 +010101000010 +010000110001 +001100100001 +001100100001 +000100010001 +000000000000 +000000000000 +000000000000 +000100010001 +000100010010 +000100010001 +000000000000 +000000000000 +000100010000 +000100000000 +000100010001 +001000100010 +010001000100 +010101000101 +011001100110 +010001000101 +001100110011 +001100110011 +001100110100 +001100110100 +001100110011 +001100110011 +001100110100 +010001000101 +010001000101 +010101010110 +010101010110 +001100110100 +001100100011 +001000100010 +001100110011 +001100110011 +010000110011 +001100100010 +001000100010 +001100100010 +001100100010 +001100110011 +010001000100 +010101000101 +010000110100 +000100010001 +000100010001 +001000010001 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +001000100001 +000100010000 +000100010001 +001100100010 +001000100001 +001000100010 +000100010001 +001000100001 +001000100010 +000100010001 +001000100010 +001000100010 +000100010001 +000000000000 +001000100010 +010001010110 +001101000100 +001000100010 +001000100010 +001100110011 +001101000101 +010001010101 +010001010110 +010001010101 +010101010110 +010001000100 +010000110010 +011001000010 +011101010011 +011101100100 +011001010011 +010101000010 +011001000010 +011001000010 +011001000010 +010101000010 +011001000010 +011101010010 +011101010011 +100001100100 +100001100100 +011101010011 +011101010011 +011101010011 +011101010011 +011101010011 +011101100100 +100001100101 +011101100101 +010101100101 +010001000100 +001000110011 +000100010001 +000100010010 +001000110011 +001101000101 +001101000101 +001101000101 +001000100011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100100010 +000100100010 +001000100010 +001000110010 +001000110010 +000100100001 +000100100001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000000010001 +000000010000 +000000010000 +000100010001 +000100010001 +000100010001 +001000100010 +001000100011 +001000110011 +001000110011 +001000110011 +001000110011 +000100100010 +000100100010 +000100100001 +001000100001 +001100110010 +010001000011 +011001010101 +011101110111 +011101111000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011110001001 +011110001000 +011110001001 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +100010001000 +100001110111 +100001110110 +100001100101 +100110000111 +100001110110 +011101100100 +011001000010 +010000110001 +011001010100 +011101100101 +011101100101 +100001110110 +100001110110 +100001110111 +011101111000 +011110001001 +011110001000 +011110001000 +011110001001 +011110001000 +011110001000 +100010001000 +011101111000 +011101110111 +011101110111 +011101110111 +100010001000 +011110001000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +100010001000 +100010000111 +011101110111 +011110001000 +011110001000 +011110001000 +100010001001 +011110001000 +011110001000 +011110001000 +100010001001 +100010011001 +100010011001 +100010001001 +011110001000 +100010001000 +100010001000 +100010011001 +100010011001 +100010011001 +100010011001 +100010011001 +100010001001 +100001110111 +100001110110 +011101100110 +100001110110 +011101100110 +100010001000 +100010011001 +100010011010 +100010011010 +100010001000 +100010000111 +100001110111 +100010000111 +100010000111 +100010001000 +100010000111 +011001000011 +010100110001 +011101100101 +100001110110 +100001110110 +011101100101 +100001110110 +100001110110 +011101100101 +011101100110 +100001110110 +100001110110 +100001110110 +011101100100 +011101100101 +100001100101 +100001110110 +100010000111 +100001110101 +100001100101 +011101100101 +100110000111 +100001110110 +100010001000 +100001110111 +100001110110 +100001110110 +100001110110 +011101100110 +100001110110 +100001110111 +100001110111 +100010001000 +100010011001 +100010001000 +100010001000 +011110001000 +011110001001 +011110001000 +011101110111 +011101110111 +100010000111 +100010000111 +100001110111 +011101110111 +010101100110 +011001100110 +100010001000 +100010001001 +100010011010 +100010001001 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100010000111 +100001110111 +100001110111 +011101110111 +011101110111 +100010001000 +011101110110 +011101110110 +011101110110 +011101100110 +011101100101 +100001110110 +011101100110 +011001100101 +011101100101 +011101100101 +011101100101 +010100110010 +011001010100 +011101110110 +011101100101 +011001010101 +011001010100 +011101100101 +011001100101 +011101100110 +011101110110 +011001010011 +010101000011 +011101100110 +011101100110 +011101100101 +011001010100 +010000110001 +010100110010 +010101000011 +011001010101 +010101000011 +010101000010 +010101000011 +010101000010 +010000110010 +010101000011 +010101000011 +001100100010 +000000000000 +001100100001 +011001000010 +010100110010 +010101000011 +011001010101 +010100110010 +011001000011 +011101110110 +011001110111 +011101100110 +010101000011 +010000110010 +001100100001 +001000010000 +001100010001 +010100110010 +010100110010 +011001010100 +011001010100 +010000110010 +010101000011 +010101010100 +010000110011 +010000110011 +001100110011 +010001000100 +011001100101 +011001100101 +011001010101 +010101010100 +010101000100 +011001010101 +010101010101 +011001100111 +011001100110 +010101000011 +010000110011 +010101000011 +010101000011 +010000110001 +010100110010 +010000100001 +010000100001 +010100110010 +010101000011 +010100110010 +010000100001 +001100100001 +001100100001 +001100100001 +000000000000 +000000000000 +000100000000 +000100010001 +000100010001 +001000100001 +000000000000 +000000000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010001 +001101000011 +010101010101 +010001000100 +010001000100 +010001000101 +010001000101 +010001000101 +010001000100 +001100110011 +001100100011 +001100110011 +010001000101 +010001010101 +010001000101 +001100110011 +001000100010 +001000100010 +010001000100 +010000110011 +001100100010 +001100100011 +001100110011 +001100100011 +010000110011 +001100110011 +001100110011 +001100110011 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000010001 +001000100010 +000100010001 +001000010001 +000100010001 +001000100001 +001000100001 +001000010001 +000100010001 +001000100010 +000100010001 +000000000000 +001100110011 +001101000100 +001000100010 +001100100011 +001100110011 +001100110011 +001100110100 +001100110011 +001101000100 +010001010110 +010001010110 +010001000101 +001100110010 +010000110010 +011101010100 +100001110110 +011001010100 +010100110010 +011001010011 +011001010011 +010101000010 +010101000010 +010101000010 +011001000010 +011101010011 +011001000010 +011001000011 +011001000011 +011001000011 +011001000010 +011101010010 +011001010011 +011001000010 +011101010011 +011101100100 +011101100100 +011101100100 +010101010100 +001100110010 +000100010001 +001000110011 +001101000101 +001101000101 +001101000101 +001000110011 +000100010000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100010 +000100100011 +001000100010 +001000110010 +001000100010 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100010 +001000100010 +001000110011 +001000110011 +001000110100 +001000110011 +001000100011 +000100100010 +000100100010 +000100100001 +000100010001 +000100010000 +000100010000 +001000100001 +001100110011 +010101010101 +011001100111 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011101111000 +100010001001 +011110001001 +011110001001 +011110001001 +011110001000 +100010001000 +100010001000 +100001110111 +100001110110 +100010000111 +100010000111 +100010000111 +100001100100 +010100110010 +010100110010 +010100110010 +010100110010 +011101100101 +011101110110 +100001110111 +011101110110 +011101110111 +011110000111 +100010001000 +011101110111 +011101111000 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001000 +011110001000 +100010001000 +100010000111 +100010001000 +011110001000 +011110001000 +011110001000 +100010001000 +100010001001 +011110001001 +011110001001 +100010001001 +100010011010 +100010011010 +011110001001 +100010001000 +100010001000 +100010011001 +011110011001 +100010011001 +100010011001 +100010011001 +100010001000 +100010000111 +100010000111 +100001110110 +011101110110 +011101100101 +100010000111 +011101110111 +100010011001 +100010011001 +100010001001 +100010001000 +100001110111 +100010000111 +100001110111 +100010000111 +100001110110 +010100110001 +010000100001 +011001000011 +100001110110 +100001110111 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +011101100101 +011101100101 +011101010100 +011101100100 +011101100100 +011101100101 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +011101110110 +100001110111 +100001110111 +011101100110 +100001110111 +100010000111 +100010000111 +100010001000 +100010001000 +100010001000 +011110001000 +011101111000 +011110001000 +100010001001 +100010001000 +011101110111 +011101110111 +011001100111 +011101110110 +100010001000 +011110001000 +100010011001 +100010011001 +100010001001 +100010001000 +100010001000 +100010001000 +100010000111 +100010000111 +100010001000 +100010000111 +011101110111 +011110001000 +100010001000 +100001110111 +011101110111 +011101110111 +011101110110 +011101110101 +011001010101 +011101100110 +011101100101 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +011001010100 +010101000011 +011001010100 +011001010100 +010101000010 +010101000010 +010101000010 +010101000011 +011101110111 +011101110111 +010101010100 +010100110010 +010000100001 +010000100001 +010000100001 +010101000010 +011101100100 +010100110010 +010101000010 +010101000010 +010101000011 +010100110010 +010000100001 +010000110010 +001000100001 +010100110010 +010101000010 +010100110001 +010100110001 +010100110010 +010000100001 +010100110010 +010101000011 +011101100110 +011001010100 +011001010100 +011101100101 +011001010101 +010000110011 +001100100001 +010000110010 +010101000011 +011101100101 +011101100101 +011001000100 +011101100110 +011101110111 +011101110111 +011101100110 +011001100101 +011001100110 +010101010110 +010101010101 +010101010101 +010101010101 +011001010101 +011001010101 +010101010101 +010101010101 +010101010101 +010101010110 +011001100111 +011001110111 +011001100110 +010101010100 +010000110010 +010000100001 +001100100001 +010000100001 +010000110010 +010100110010 +010100110010 +010000110001 +010000100001 +010000100001 +001100100001 +001000010001 +000100000001 +000100010001 +000100010000 +000000000000 +000100000000 +000100000000 +001000010000 +001100100001 +001000010000 +001000010000 +001000010000 +000000000000 +000100000000 +001000010001 +001100100010 +010000110011 +001100110011 +010000110011 +001100110011 +001100110100 +010001000100 +001000100010 +001000100010 +001100100010 +001100110011 +001100110100 +001100110011 +001000100010 +001000100010 +001100110011 +001100100011 +001000100010 +001000100010 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +000100100010 +000100100010 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000100001 +000100010001 +000100010000 +000100000000 +000100010000 +001000010001 +001000010001 +001000100001 +000100010000 +000100010000 +000100010001 +000000000000 +000000000000 +000100010001 +001100110011 +001100110011 +001100110100 +001101000100 +010001000101 +010001000101 +001000110011 +001100110011 +010001000101 +010001010110 +010001010101 +001000100010 +001100100010 +001100100010 +010000110010 +010000110010 +010000100010 +010101000010 +010101000010 +010000110010 +010100110010 +010100110010 +010101000010 +011001000010 +010101000010 +011001000010 +011001010011 +010101000011 +010101000010 +010101000010 +011001010011 +011101010011 +100001100100 +011101010011 +011101010010 +011101010010 +100001100011 +100001100100 +011101010100 +011001010100 +010101010100 +010001000101 +001101000101 +001000110011 +000100010001 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010010 +000100100010 +000100100010 +000100100001 +000100100001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000000010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +001000100010 +001000110011 +001000110011 +001000110011 +001000110011 +001000100011 +000100100010 +000100100010 +000100100010 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001100110010 +010001010100 +011001100101 +011101110110 +100001110110 +100001110111 +011110001000 +011110001001 +011110001001 +011110001001 +011110001000 +011110001000 +100010001001 +011110001000 +100001110111 +011110001000 +100001110111 +011101110111 +011101110110 +011101010100 +011001010100 +011101010100 +011101010011 +100001110110 +100001110110 +011101110110 +011101100101 +011101100101 +011101010100 +011101100100 +011101100101 +011101100101 +011101110111 +011101111000 +011110001001 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011110001000 +100010001001 +011110001001 +011110011001 +011110011010 +100010011010 +011110001001 +100010001001 +100010001000 +100010001000 +100010001010 +100010011001 +100010011001 +100010001001 +100010000111 +100010001000 +100010001000 +011101110110 +011101010100 +011001010100 +011001100101 +011101110110 +100010001000 +100010001000 +100010001000 +100010001000 +100010001000 +100001110111 +100010000111 +011101110111 +100001110110 +011101100100 +011101010100 +011101100101 +011101100101 +011101100110 +100001110110 +100001110110 +100001110110 +100001110110 +011101110110 +100001110110 +011101100101 +011101100100 +011001010011 +011101100100 +011101100100 +011101100101 +100001110110 +100001110110 +100001110111 +100001110111 +100001110111 +100001110110 +100001110110 +100001110110 +100001110110 +100001110111 +011101110110 +011101100101 +011101110110 +100001110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101110110 +011001100101 +011001010100 +011101110111 +100010001000 +100010001000 +100010001001 +011110001000 +011101111000 +011101111000 +011001100110 +011101110111 +100001110111 +011101110111 +100010011001 +100010011010 +100010001001 +100010000111 +100010000111 +100001110111 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +011101110111 +100010001000 +100010001000 +011110001000 +100001110111 +011101100110 +011101100110 +011101100101 +011101100101 +011001010100 +011001010101 +011001010101 +011001010100 +100001110110 +011101110110 +011101100110 +011001010101 +011001010100 +010000110011 +011001010100 +011001100101 +010101000011 +010101000011 +011101100101 +010101000011 +011001010100 +011001100101 +011001010100 +010101000011 +010101000010 +010101000010 +010100110010 +010000110010 +011001010100 +010101000100 +011001100101 +010101010100 +010101000011 +010101000011 +010000100001 +010000100001 +010100110010 +011001000010 +010100110010 +010100110010 +010100110010 +010100110001 +010100100001 +010100110010 +010100110011 +011001010101 +011101100101 +011101100101 +011101100101 +011001100101 +011101100101 +010101000011 +001100100010 +010101000100 +011101100110 +011001010100 +010101000100 +011101110110 +010101010101 +011001100110 +011101100110 +011101110111 +100010001000 +100010001001 +100010001001 +011110001000 +011101110111 +011001100101 +011001100101 +010101010100 +010000110011 +010001000100 +010001000100 +010101010110 +011001100110 +011001110111 +010101010110 +001100110011 +001000100010 +001100100010 +001100100001 +010000100001 +010100110001 +010100110010 +010000100001 +010000110010 +010000110011 +010001000100 +001100110011 +000100010010 +000000010001 +000000000000 +000000000000 +001000100001 +001100100010 +001100100001 +010000110001 +010000110010 +001100100001 +001100100001 +001100100001 +001100100001 +001000010001 +001000010001 +001000010001 +000100000000 +000100010000 +000000000000 +000000000000 +000100010001 +001000010001 +001100100010 +010001000011 +010000110011 +010101010100 +001100110011 +001000100011 +001000100011 +001101000100 +001100110100 +001000110011 +001100110011 +001000100011 +001000100011 +001100100011 +001100100011 +001100100011 +001100110011 +000100010010 +000100100010 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +001000010001 +001000010001 +000100010001 +000100010000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000001 +000100000001 +000000000000 +000000000000 +000100010000 +001000100010 +001100110011 +010001000101 +010001000101 +001101000100 +001101000100 +001000100010 +000100010001 +001100110011 +001100110011 +001000100010 +000100010001 +001000100010 +000100010001 +001000010000 +001000010001 +001000100001 +010000110010 +010001000011 +010101000011 +010101000011 +010100110010 +010100110010 +010100110010 +010101000010 +010101000010 +011001010011 +011001010011 +010101000011 +010101000010 +010101000011 +010101000010 +010101000010 +011001000011 +011101010011 +100001100011 +011001000010 +011001000011 +011101010011 +011101010011 +011101010011 +011101100100 +011001100101 +001100110011 +000100010001 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000000010000 +000000000000 +000000000000 +000000010001 +000100100001 +000100100001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +000100100010 +000100100010 +000100100010 +000100100010 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +001100100001 +010101000011 +010101000010 +010101000011 +011101100110 +011101110111 +011110001000 +011110001000 +011110001000 +011110001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101110110 +100001110111 +011101110111 +011101110110 +011101100101 +011101100101 +011101100101 +100001110110 +011101110110 +011101100101 +100001110110 +011101010100 +011101010011 +010100110010 +010000100001 +010100110010 +011001010011 +011101100101 +011101110111 +011110001000 +011001110111 +011101110110 +011101111000 +011110001001 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101110110 +011101110111 +011110001000 +011101111000 +011110001000 +011110001000 +011101111000 +011101110111 +011101111000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011110001000 +011110001001 +011110001001 +011110001001 +100010001001 +011110001001 +011110001000 +100010001000 +100010001001 +100010001001 +100010001001 +100010001000 +100010001000 +100010001000 +100010000111 +011101100101 +011001010011 +011001010011 +011001000010 +011001010100 +011101110110 +011101100110 +011101110111 +011101110110 +100001110110 +011101110111 +100010000111 +100001110111 +100001110111 +100001110110 +011101100101 +011101110110 +011101100110 +011101100110 +011101100110 +100001110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100100 +011101100101 +011101100100 +011101010011 +011101100100 +011101100101 +011101100101 +011101100101 +100001110110 +100001110110 +100001110111 +100001110110 +100010000111 +100001110111 +100001110111 +100010001000 +011101110110 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +100001110111 +011101100101 +011101100101 +011101100101 +010100110010 +011001100101 +100010001000 +011101110111 +011101111000 +011110001000 +011110001001 +011101111000 +001101000100 +011001110111 +100010001000 +011110001000 +100010001001 +100010011001 +100010001000 +011101110111 +100001110111 +011101110111 +011101110111 +011101110111 +100010001000 +100010001000 +011110001000 +100010001000 +100010001000 +011110001001 +011101110111 +011001100101 +011101110110 +011101110111 +011101110110 +011101100101 +011001100101 +011101100110 +011101100101 +011001010100 +011101100110 +011001100101 +011101100101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001010100 +011001100101 +011001010100 +010101000011 +011001010100 +010101000011 +010101000011 +011001000011 +011001010101 +011001010101 +010101000011 +010101000010 +011001010100 +011001100101 +011001010100 +010000100001 +010000100001 +010000100001 +010000100000 +010000100001 +010100110010 +011001000011 +010100110010 +010100110010 +010100110010 +011001000010 +010101000010 +011001010011 +011101100101 +011101100110 +011101100101 +010100110010 +010000110010 +010000110010 +011001010100 +011001010100 +010101000100 +011001010100 +010101000010 +010000110010 +010101000011 +010101010100 +010001000011 +010000110010 +010000110011 +011001010101 +011101110111 +011101111000 +011101111000 +011101110110 +011101110111 +100010001001 +011101110111 +010000110010 +010101000011 +011001100110 +010001000100 +010001000011 +010101000100 +010001000100 +001100110011 +000100010001 +001000100010 +010000110011 +001100100010 +010000110010 +010000100001 +001100010000 +001100100010 +010101000100 +010001000100 +000100010010 +000100010010 +000000000001 +000000000000 +000100010001 +001100110011 +010001000100 +001100100010 +001100100001 +010000100001 +001100100001 +001100100001 +010000100001 +010000110010 +010101000011 +010101000100 +010000110010 +001000010001 +001100100010 +001100100001 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +001000100001 +001100100010 +010000110010 +010000110011 +010001000101 +010101100110 +010001010101 +001000100011 +010001000100 +001000110011 +001000100011 +001000100010 +001000100011 +001100110011 +001100110011 +000100010001 +000100100010 +000100100010 +001000010001 +001000010000 +001000010001 +001000010000 +001000100001 +000100100010 +000100100010 +000100010001 +000100000000 +000100000000 +000100000000 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000100000000 +000100010000 +001000100001 +001000100010 +001100110100 +001100110100 +010001000100 +010001000101 +001100110100 +001000100010 +001000100010 +001100110010 +001000100010 +001000100001 +001000010001 +000100010001 +001000010001 +001000100001 +001000010001 +001100110011 +001100110011 +001100100010 +010000110010 +010000110010 +010000110010 +010101000010 +011001010011 +011101010100 +011001010011 +010101000010 +010101000010 +010101000011 +011001010100 +010101000011 +010100110010 +011001000011 +010101000010 +011101010011 +011101010011 +010100110001 +010101000001 +010101000010 +011001000010 +011101010011 +100001100100 +011101010011 +010101000010 +001000100001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100100001 +000100100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010010 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +010000110010 +011101100101 +010101000011 +001100100000 +001000010000 +010000110010 +011001100101 +011101110111 +011110001000 +011110001000 +011110001000 +011101110111 +011101110111 +011101110111 +011101100110 +011101110110 +011101110110 +011101110110 +011001010101 +011101110110 +011101110111 +011101110111 +011101100110 +011101110110 +100001110110 +011101010100 +100001100101 +011001000011 +010100100001 +001100010000 +001100010000 +001100100001 +011001010100 +011101110110 +011101110110 +010101010100 +011001010101 +011101110111 +011110001000 +011110001000 +011101111000 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011101111000 +011101111000 +011101110111 +011101110111 +011101110110 +011101110110 +011101111000 +011110001000 +011110001000 +011110001000 +011101111000 +011110001000 +011110001001 +011110001000 +011110001000 +100010001000 +100010001000 +100010001000 +011110001001 +100001110111 +011101110110 +011101100101 +100001110110 +011001010100 +010100110001 +011101100101 +011101110111 +011001010100 +011001010011 +011101110110 +011101110110 +011101100101 +011101110111 +011001100101 +011101110110 +011101110111 +011101100110 +011101110110 +011101110110 +011101110110 +011101110110 +100001110111 +011101110110 +011101100101 +011101100101 +011101100101 +011101010100 +011001010100 +011101010100 +011101010011 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +011101110110 +100001110110 +011101110110 +100001110111 +100010001000 +011101110111 +100010001000 +011101110110 +011101100101 +011101100101 +011101100110 +011101100101 +011101100110 +011101100101 +011001010100 +010000110010 +011001010100 +011101100101 +011001100101 +011101110110 +011101110110 +011101110111 +011101111000 +011110001000 +011101111000 +010001010101 +011001111000 +011110001001 +100010001001 +100010001001 +100010001001 +100010001001 +011110001000 +011110001000 +011101111000 +100001111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011110001000 +011110001000 +011101110111 +011101110111 +100001110111 +011101110110 +011101100101 +011101100110 +011101110110 +011101100110 +011001100101 +011101100101 +011001100101 +011001100101 +010101000100 +010101010100 +010101000011 +011001010100 +011001000011 +010101000011 +010101000100 +011001100101 +011101110110 +011101110111 +010101000100 +010000110010 +010101000011 +010101010100 +011001010100 +011001010100 +011001010100 +010000110010 +010100110010 +010000110010 +010000110010 +010000110001 +010000110001 +010100110001 +010000100001 +010000100001 +011001000011 +100001110110 +011101100101 +010101000011 +010000100001 +010100110010 +010100110010 +010101000011 +010101000011 +010101000011 +010101000011 +001100100001 +010000110010 +011001010100 +010101000100 +001100100001 +010000100010 +010000110010 +010100110010 +010100110010 +010101000011 +010001000011 +010101010101 +010001000011 +001100100010 +010101000011 +010101010100 +011001100110 +011001100110 +010101000011 +011001100110 +011101110111 +011001100110 +010000110010 +010100110010 +011001100101 +011101110111 +011001100111 +011001100110 +010101010101 +010001000100 +001000100010 +001000100010 +001000100010 +001100110011 +001100110010 +001100100010 +010000110011 +010001000100 +010001000101 +001100110100 +000100010001 +000000000000 +000000000000 +000000000000 +001000100010 +001000100010 +001100110100 +000100010001 +000100010000 +001100100010 +001100110010 +010000100001 +010000110010 +010100110010 +010001000011 +010101010100 +010001000010 +010101000010 +010000110010 +010000110010 +010001000011 +001100110010 +001000010001 +001000010000 +000100010001 +001000100001 +001000010001 +001000000000 +001000010000 +000100010001 +001000100010 +001000100010 +000100010001 +001000100010 +000100010001 +000100000001 +000000000000 +000100010001 +000100010010 +001000100010 +000100100010 +000100100010 +000100010010 +001000010001 +001000010001 +001000010001 +001000010001 +001000100010 +001000100010 +000100100010 +000100010001 +000100010000 +000100000000 +000100010000 +000100010001 +001000010001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +001000010001 +001000100001 +001000100010 +001100110011 +001101000100 +010001000100 +001101000100 +001000110011 +001100110011 +010001000100 +001100100010 +001000010001 +000000000000 +000100000000 +001000100001 +001000100001 +001000100001 +001100110010 +001000100010 +001000100001 +001000100001 +001000100001 +001100100010 +010000110010 +010101000011 +010101000010 +010101000011 +010101000010 +011001000010 +011101010011 +011101010011 +011001000010 +010101000010 +010101000010 +010000110001 +010000110001 +010000110001 +011001000010 +011001000010 +011001010011 +011001000010 +011001000010 +011001000010 +011101010010 +011101010010 +011101010010 +011001010011 +010101000010 +001100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000100010001 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100001 +000100010001 +000100010010 +000100010001 +000000010001 +000000010001 +000000010001 +000000010000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001100110011 +011101110110 +011101100101 +011001000010 +010000100000 +001100100000 +010101000010 +010101000011 +010001000011 +011001100110 +011101110111 +011101100110 +011101100101 +011101110110 +011101100101 +011101100101 +011101100110 +011101110110 +011001100101 +011101110110 +011101110111 +011110001000 +011101111000 +011101110111 +011101110111 +011101100101 +011101100101 +011101100100 +011101010100 +010100110010 +001000000000 +000100000000 +010000110010 +011001010100 +011001010011 +011001000011 +010100110010 +010000110010 +011101100110 +011101110111 +011101110111 +011001100101 +011101100101 +011101110110 +011101100110 +011101100101 +011101100101 +011101110111 +011101111000 +011101110111 +011101110111 +011101110110 +011101110110 +011101100101 +011101100100 +011101100101 +011101100101 +011101110111 +011101110111 +011101111000 +011101110111 +011101100110 +011101110111 +011110001001 +011101111000 +011101111000 +011110001000 +011101111000 +011110001000 +011110001001 +011101110111 +011101100101 +011101100110 +011101110111 +011101110110 +011001010100 +011101010100 +011101100110 +011101100110 +011101100101 +011101110111 +011101110110 +011101100101 +011101110110 +011001010100 +010101010011 +011101100101 +011101100101 +011101100101 +011101110110 +011101110110 +011101100110 +100001110110 +011101110111 +011101110110 +011101100110 +011101100101 +011101100100 +011001010100 +011101010100 +011001010011 +011101100100 +011101100101 +011101100101 +011101100101 +011101100110 +011101100110 +011101100101 +011101100101 +011101110110 +100010000111 +011101110111 +011101110111 +011101100110 +011101100101 +011101100101 +011101100110 +011101100110 +011101110110 +011101100101 +011101100101 +011001000011 +011001100101 +011101100110 +011101110110 +011101100110 +011001010101 +011101110110 +011101110111 +011001110111 +011001110111 +010101010101 +011101111000 +011110001001 +011110001001 +011110001000 +100010001001 +011110001001 +011110001000 +011110001000 +011110001000 +011101110111 +011101110110 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101100110 +011101100110 +011101110110 +011101100110 +011101110110 +011101100110 +011101100110 +011101100110 +011001100101 +010101000011 +010101010100 +011001010100 +010101010100 +011001010101 +010101000011 +010101000011 +011001100101 +011001100110 +011101110111 +011001100110 +010101000011 +010101000100 +010101010100 +011001010101 +011001010100 +010000110011 +010101000100 +011001010100 +011001010100 +010101000011 +011001010100 +010101000011 +010000110001 +010000100001 +010100110010 +010101000011 +011001010100 +011001010100 +011001000011 +010000100001 +010000100000 +010000100001 +010000110001 +011001010100 +010101000011 +001100100001 +001100100001 +001100010001 +010000110011 +010101000100 +001100100001 +010000110010 +010100110010 +010100110010 +010101000011 +010101010100 +010101000011 +010000110010 +010001000011 +010000110010 +010100110010 +010101010100 +011001100110 +011001100110 +010101000011 +010000110010 +010101000100 +010101000011 +010000110010 +010000110010 +010001000011 +011001100111 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +010101000101 +010001000100 +010000110011 +001000100010 +001000010001 +001000100001 +001100110010 +001100110011 +001100110100 +000100010001 +000000000000 +000000000000 +000000000000 +001000100011 +001000110011 +001100110100 +001100110011 +001100110011 +010001000100 +010001010100 +010001000011 +010001000011 +010001000011 +010000110010 +010000110010 +010001000010 +010101000100 +010001000011 +010001000011 +010001000100 +010101000100 +010000110010 +010000100010 +010000100010 +001100100001 +010000110010 +001000010000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100010 +000100100010 +001000100010 +001000100001 +001000010001 +000100010001 +001000100001 +001000100010 +001000100010 +000100100010 +001000100010 +000100010001 +000100010000 +000100010001 +001000010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000000000000 +000100010001 +001000100010 +000100010001 +000100010001 +000100010001 +001000100010 +001100110011 +001100110011 +001000110011 +001101000100 +010001000100 +001100110011 +001100100010 +000100000000 +000000000000 +000100010000 +001000010001 +001000100001 +001000100010 +001100110011 +001100110010 +001000100010 +001000100001 +000100010001 +001100100010 +001000100001 +001000010001 +001100110011 +010000110011 +001100100001 +010000110001 +010100110001 +010100110001 +010100110001 +011101010011 +010101000010 +010000110010 +010100110010 +010101000010 +011001000010 +011001000010 +010100110010 +010100110010 +010101000010 +011001000010 +011001000010 +011001000010 +011001000010 +011001000010 +011101010011 +011101010011 +011101010011 +010001000010 +000100010000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110011 +010101000011 +010101000010 +010100110001 +010100110001 +011001000010 +011001010100 +010101010100 +001100110010 +001100100010 +010001000100 +010101010100 +011001010101 +011001100101 +011001100100 +011001010100 +011101100100 +011101110101 +011101110110 +011001100101 +011001100101 +011101110111 +011101110111 +011101110110 +011101110110 +011101100110 +011101110110 +011101100101 +011101100101 +011001010100 +001000010000 +001000000000 +011001000100 +100001110110 +011101010011 +011001010100 +011101100101 +010100110011 +011001010100 +011101100110 +011101100101 +011101100100 +010101000010 +011001100101 +011101100101 +011101010100 +010100110001 +011001100101 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101100110 +011101100101 +011101100100 +011101100101 +011101110111 +011101110111 +011101110111 +011101110110 +011101100110 +011101100110 +011101110111 +011101110111 +011101111000 +011101111000 +011101111000 +011110001000 +011110001000 +011110001000 +011101110111 +011101110110 +011101110111 +011101110110 +011101100101 +011101010100 +011001010100 +011101110111 +011101110111 +011101110111 +011101110110 +011101100101 +010101000011 +010101010100 +010000110010 +011001010011 +011101010100 +011001000011 +011001010100 +011101100110 +011001010101 +011101110110 +011101100110 +011101110110 +011101100110 +011101110110 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011001010100 +011001010100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101100110 +100001110111 +011101110111 +011101100110 +011101100101 +011101100110 +011101100101 +011101100110 +011101100110 +011101100101 +011001100101 +011101100110 +011001100101 +011101100110 +011101100110 +011001100110 +011101100110 +011101100110 +011101100101 +011001100101 +011101110111 +010101010101 +010001000100 +011101111000 +011110001000 +011110001000 +011101110111 +011110001000 +011110001000 +011110001000 +011110001000 +011101111000 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110111 +011101110110 +011001100110 +011001100111 +011101110111 +011101100110 +011101100110 +011101100101 +011101100101 +011101100101 +011101100101 +011001100101 +011101110110 +011101100110 +011001100110 +011001010101 +010101000100 +010101000100 +011001100110 +011101100110 +011101100110 +011001100101 +011001100101 +011001100110 +011001100110 +011101110111 +011001100101 +011001010100 +011001010100 +011001010101 +011001100101 +011001100101 +010101000011 +010101000011 +011101100101 +011001010100 +010000110010 +011001010100 +010101000011 +010000100001 +001100010001 +010000110010 +010101000010 +010100110010 +010100110010 +010100110010 +010101000010 +010101000010 +010000110010 +010000110001 +011001100101 +010101010100 +010000110010 +010000100001 +010000100001 +001100100001 +010000110010 +010101000011 +010000110010 +010000100001 +010101000011 +010101000011 +010100110010 +010100110010 +010000110010 +010000110010 +001100100001 +001100100001 +010101000100 +011101110111 +011101100110 +011001010100 +010101000011 +010101000011 +010100110010 +010100110010 +010101000011 +011001010101 +011001100110 +010001000100 +011001010101 +011001100111 +010101010110 +010101010110 +010101010110 +011001100110 +010101010101 +011001010101 +010101010101 +010001000100 +010001000100 +001100110011 +001100110011 +000100010001 +000000010001 +000000000000 +000000000000 +001100110011 +010001000101 +010001010101 +010001000101 +010001000100 +010101010110 +010101100110 +010001000100 +010001010101 +010001000100 +001100110010 +010000110010 +010101000011 +010000110010 +010000110010 +010101000011 +010101000011 +001100110010 +010000110010 +010101000011 +010101000011 +010001000011 +011001010101 +010000110011 +001000010000 +001100100000 +001100110001 +001100100001 +001000010000 +000100000000 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000100000000 +001000100010 +000100100010 +000100100010 +001000100001 +001000010001 +001000100001 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010001 +000100010001 +000100000000 +000100010000 +001000010001 +001000100001 +001000100001 +001100110011 +010001000101 +001101000100 +001100110011 +001000100010 +001000100010 +000100010001 +000100010000 +001000100001 +001000100010 +001100110100 +001101000100 +001000100010 +001100100011 +001000100001 +000100010001 +001100110011 +001100110011 +001000100010 +001101000100 +001000110011 +001000100010 +001100100010 +001100110010 +001100110010 +010000110010 +010101000010 +010101000010 +010100110010 +010101000010 +011001010011 +011001000010 +011001000010 +011001000010 +010100110001 +010000110001 +010100110010 +010101000010 +010100110010 +010101000010 +010101000010 +011001000010 +011001000010 +100001100100 +100001100100 +100001100100 +010101000011 +001100100001 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100110011 +010100110010 +010100110001 +010100110001 +010100110001 +010100110010 +011101100101 +011001100110 +010101000100 +010001000011 +010101000100 +010101010101 +010101010110 +010101010101 +010001000010 +010001000010 +010101000010 +011001100100 +011101110110 +011101110110 +011101100110 +011101110111 +011101100110 +011001010100 +011001010100 +011001010011 +011001010100 +011101100101 +011101100110 +011101100101 +010000110010 +001100010000 +010100110010 +011101100101 +011001010100 +011101100101 +011101110110 +010100110011 +010000100010 +011101100101 +011001010100 +010101000010 +010100110010 +010100110010 +011001010100 +011001010100 +010000010001 +010101000011 +011101100110 +011101100110 +011001111000 +011001110111 +011001111000 +011001110111 +011001100101 +011101100110 +011101100110 +011001110111 +011001110111 +011001110111 +011101110111 +011001100110 +011101100110 +011001110111 +011001110110 +011101110111 +011101111000 +011101110111 +011101111000 +011101111000 +011101111000 +011101111000 +011101110111 +011101110110 +011101100110 +011101100101 +011101100101 +011101100101 +011101110111 +011101110111 +011001100110 +011001010100 +011101100110 +011001100101 +011001100110 +011001010101 +011001010100 +010100110010 +010100110001 +011001000010 +011101100101 +011001100101 +011101100110 +011101110111 +011101110110 +011101100110 +011101100110 +011101100101 +011101100101 +011001010100 +011101100101 +011101100110 +011101100100 +011101010100 +011101100100 +011101100101 +011101100101 +011101100101 +011101100101 +011101100101 +011101110110 +011101110110 +011101100101 +011101100101 +011101100110 +011101100101 +011001100101 +011101100101 +011101100101 +011001010100 +011101100110 +011001010101 +011001010101 +011101100101 +010101000011 +011001100110 +011101110110 +011001100101 +011001010101 +011001010101 +011001010101 +010101100101 +011001111000 +011001110111 +011101110111 +011101100111 +011101111000 +011110001000 +011101111000 +011110001000 +011101111000 +011101110111 +011101111000 +011101110111 +011101110111 +011001100111 +011101110111 +011101110111 +011101110111 +011001110111 +011101110111 +011001100110 +011101100110 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011101100101 +011001010101 +010101000100 +011001100101 +010101010100 +010001000100 +010101010101 +011101100110 +011001100110 +011001010101 +011001100110 +011001110111 +011110001000 +011101111000 +011001100110 +010101000011 +011001010101 +011101110110 +011101110110 +011101100101 +011001100101 +011001100101 +011001100101 +011001010100 +010101000011 +010001000011 +010001000011 +001100100010 +010000110010 +011001010100 +010101000011 +010101000011 +010101010100 +010101000011 +011001100101 +011001100101 +010101000100 +010101000011 +011001100101 +011001010100 +010101000011 +010101000010 +010101000010 +010000110010 +010101000011 +010101000011 +010000100010 +001100100001 +010000110001 +010000100001 +010000100001 +001100100001 +001100100001 +010101010100 +011001010101 +010101000100 +011001010101 +011001110111 +011001010101 +010101000100 +010000110010 +010000110010 +010000110010 +010000110001 +010000110001 +010000110011 +011001100110 +010101000011 +010000110010 +010000110011 +010001000100 +010101010101 +010101010101 +010101100110 +010101010100 +010101000011 +010101010101 +010101100110 +010101100110 +010101010110 +010001000101 +000000000000 +000000000000 +001100110011 +001000110011 +001000100010 +001100110010 +001000100010 +001101000100 +010001000100 +010001010101 +010101010110 +010101010101 +010001000101 +010101010101 +010101010100 +010101000100 +010101000100 +010101010100 +010101000011 +010101000011 +010101010100 +010101000100 +010000110010 +010101000011 +010101000010 +010101000100 +011001100110 +010101010101 +010001000011 +001100110010 +010000110001 +010000110001 +001100100001 +010000100001 +001100100001 +001100100001 +001100100001 +001000010000 +000100010000 +000100010000 +000100100010 +001000100010 +001000100010 +001000100010 +001000100001 +001000010001 +001000100001 +000100010001 +000100010001 +000100100010 +000100100010 +000100010001 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000100000000 +000000000000 +001000100001 +001000100010 +001000010001 +001000100010 +001100110011 +001101000100 +001101000100 +001100100010 +001000100010 +001000010001 +000100010001 +001000100010 +001000100010 +001101000100 +001100110011 +001000100010 +001000100010 +001000010001 +001000100001 +001100110011 +001000100010 +001000100010 +001000100010 +001100110011 +001100110011 +001000100010 +001000100001 +001000100010 +001100100010 +001100100001 +010000110010 +010000110010 +010100110010 +010000110001 +010000110001 +010101000010 +010000110001 +010000110001 +010000110001 +010000100001 +010000110001 +010100110001 +010100110010 +010000110001 +010100110010 +011001000010 +011001010011 +011101010100 +100001100100 +100001100100 +011101100011 +011001000011 +010000110010 +001000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000000010001 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001100100010 +011001000011 +011001000010 +011000110010 +011001000010 +011001000010 +011101100101 +011001100101 +010101010100 +010101010100 +010101010101 +010101010101 +010101010110 +010101010101 +001100110010 +001000110000 +001000110001 +001100110001 +010001000010 +011001010100 +011001110110 +011101110111 +011101100110 +011101100101 +011001010011 +011001000010 +011001010011 +011001100100 +011101100110 +011101100110 +011001010100 +010101000011 +011001010100 +011001100101 +011001100101 +011101100101 +011101100101 +010101000011 +001100100010 +011101100100 +010000100001 +010000100001 +010100100001 +001100100001 +010000110010 +010100110010 +001100010000 +010101000011 +011101100101 +011001100100 +011101100110 +011001110111 +011001110111 +011001110111 +011001100101 +011101100110 +011001100110 +011001110111 +011001110111 +011101110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110111 +011001110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101110110 +011101110110 +011101110111 +011101110111 +011101100110 +011001100101 +011001100101 +011001100101 +011101100101 +011001010101 +011101100110 +011001100101 +011001100101 +011101110110 +011101110110 +011001010100 +010000100001 +010100110010 +011101100110 +011101100110 +011001100110 +011101110110 +011001100101 +011101100101 +011001100101 +011101100101 +011001100100 +011001010100 +011101010100 +011101010101 +011101100101 +011101100100 +011101010100 +011101100101 +011101100101 +011101100100 +011001010100 +011101100101 +011101100110 +011101100110 +011101100110 +011101100110 +011101100101 +011101100101 +011001100101 +011001010100 +011001010100 +011001100101 +011101100110 +011101110110 +011001100110 +011101100101 +010101010100 +011001100101 +011001110110 +011101100110 +011001100101 +011001100101 +011001100101 +010101100101 +011001110111 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001110111 +011101110111 +011001110111 +011001110111 +011001100110 +011001100110 +011101110111 +011001110111 +011001110111 +011001110111 +011001110111 +011001110111 +011101110111 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011001010100 +011001010100 +010101010100 +011001010101 +011001010101 +011001010101 +011001100101 +010001000011 +010101010100 +011001100110 +011001100110 +010101000100 +010101010101 +011101110111 +011101111000 +011101111000 +011001110111 +011001010101 +010101010100 +011001100110 +011001100110 +011001100101 +011001100110 +011001100110 +011101100110 +011001010101 +011001010100 +010101000011 +010101010100 +010001000011 +010001000011 +011001010100 +010101000011 +010101000011 +011001100101 +010101000011 +011001010100 +010101000011 +010101010100 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +011001010100 +010101000011 +011101100101 +011101100101 +010101000100 +010101000011 +010100110010 +010000100001 +010000110010 +010000110010 +010000110010 +010001000011 +010101010101 +011001010100 +010001000011 +011001100110 +010101010101 +010000110011 +010101000011 +010101000011 +010000110010 +010000110001 +010000100001 +010101000011 +011001100110 +011001100101 +010000110010 +010000100001 +001100100001 +010000110011 +010101010101 +010101010101 +010001000011 +010000100001 +010001000011 +010101010101 +010101010101 +010001000101 +010001000100 +000000000000 +000000010000 +010001010101 +010001010110 +010101010110 +010001000101 +001100110011 +001100110011 +001000100010 +001100110011 +001100110100 +001100110011 +001100110100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010101000011 +010101000011 +010101000100 +010101010100 +010101000011 +010000110011 +010000100001 +010101000011 +010101000011 +010101010101 +010001000100 +010000110010 +010000110010 +010000110001 +010000100001 +010100110010 +010000110001 +010000100001 +010000100001 +010000100001 +001100100001 +001000010001 +000100100010 +000100100010 +001000100010 +001000100010 +001000100001 +000100010001 +001000100010 +001000100010 +001000100011 +000100100010 +000100010010 +000100010001 +001000100010 +001100100010 +001100100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100000000 +000100000000 +000000000000 +000000000000 +000100010000 +000100000000 +000100010000 +001000100001 +001000010001 +000100010000 +000100010001 +001000100010 +001100110011 +001000100010 +001000100010 +001100110011 +010001000011 +001000100010 +001000100010 +001100110011 +001000100010 +001100110011 +001100110011 +000100010000 +001000100001 +001000100010 +001000100010 +001101000100 +010001000100 +001100100010 +001000100001 +001000100001 +001000100001 +001000100001 +001100110011 +001000110010 +000100010001 +001100110011 +010000110010 +010000110010 +011001010100 +100001110110 +011001010100 +010001000011 +010000110010 +001100100001 +001100100000 +010100110010 +011101100100 +011001010100 +010100110010 +011001000010 +010101000010 +010101000010 +011001010011 +011001010011 +011001010011 +011001000010 +011001010011 +011001010100 +011001010100 +010000110010 +001000100001 +000100010000 +000000000000 +000100010001 +000100100001 +000000000000 +000000000000 +000000010000 +000000000000 +000100010001 +001000100010 +000100010001 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100010001 +000100010001 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100001 +011101010011 +011001010011 +011001010100 +011001010011 +011001000010 +011001000011 +011001010101 +010101010101 +010101010110 +010101010101 +010001000100 +010101010110 +010101010110 +001101000010 +001100110001 +001101000001 +001100110001 +001000110001 +001000100001 +001000110001 +001101000011 +010101010101 +011001100101 +011001010100 +011001010011 +011001010011 +011001100100 +011001100101 +011001100101 +011001100101 +011001010100 +011001010100 +011001010100 +011101100100 +011001100100 +011001100101 +011001100101 +011001010100 +011001010100 +010000100001 +010100110010 +010100110010 +000100000000 +000100000000 +000100000000 +001000010001 +010101000011 +010101000011 +010101000011 +011001010100 +011001100101 +011001100110 +011001100101 +011001100100 +011001100101 +011001100101 +011001100110 +011001100111 +011001110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011101110110 +011101100110 +011001100110 +011101110110 +011001100110 +011001100101 +011101100101 +011101100110 +011101110111 +011001100110 +011101100110 +011101110111 +011001100110 +011001100101 +011101110110 +011101110111 +011001100101 +011001010101 +011001100101 +011101100110 +011001100101 +011001010100 +011001100101 +011101100110 +011001100110 +011001100110 +011001110110 +011101100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +011001100110 +011001110111 +011001100110 +011001100110 +011001110111 +011001110111 +011001111000 +011001110111 +011001100110 +011001100110 +011001100110 +011001100101 +011001100110 +011101110111 +011101110111 +011001100110 +011001100110 +011001100110 +011001110111 +011001110111 +011001110111 +011001110111 +011001100110 +011101110110 +011001100110 +011001010101 +011001010101 +011001100110 +011001010101 +010101010100 +010101000011 +010101000100 +010101000100 +010101010100 +011001010101 +010101010101 +010101010101 +010101010101 +011001100110 +011001110111 +011001100110 +011001010101 +010101010101 +011001100110 +011001100110 +011001100110 +011101100110 +011001100110 +011001100110 +011001010101 +010101000011 +010101000011 +010101000100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000100 +011001010100 +011001010100 +010101010011 +011001010100 +010101000011 +010101000011 +010101000011 +011001010101 +011101100101 +011001100101 +011001010101 +011001100101 +011001100101 +011001010101 +011101100110 +011101100110 +001100100010 +010000100010 +011001100101 +011001100101 +010101000100 +010101000100 +010000110010 +001100100001 +010101000011 +010101010101 +010101000011 +010101000010 +010101000011 +010101000011 +010101000010 +010101000011 +010101000011 +010000110010 +010101000100 +010101000100 +010000110011 +010000110010 +010000110010 +010000110010 +010101010101 +010101010110 +010101000100 +010000110010 +010000110011 +010101010101 +010001000100 +001100110011 +010001000100 +000000000000 +000000000000 +001101000100 +010001010101 +010001010101 +010101010110 +010101100110 +010101010101 +010101010101 +010101010101 +010101010101 +001100110011 +001100110010 +001000100010 +001000110010 +001100110011 +001101000011 +010001000100 +010101010100 +010101010101 +010101010100 +010101000100 +010101010100 +010000110011 +010000110010 +010000110011 +010001000011 +010001000100 +010001000100 +010000110010 +001100100001 +010000110001 +001100100001 +001100100001 +010000110010 +010000110010 +010000110010 +010000110010 +010000100001 +001100100001 +000100100010 +000100010010 +010001000100 +100110011001 +000100010001 +000100010001 +000100100010 +100010001000 +010101010110 +000100010010 +000100010010 +000100010001 +101010101010 +101010011001 +100110011001 +010101010100 +001000100001 +000100010001 +010000110011 +100010001000 +000000000000 +000100000000 +000100010001 +100110011001 +001000010001 +000100000000 +000000000000 +010001000011 +100010000111 +000100010000 +000000000000 +011001100110 +010101010101 +000100000000 +011101110110 +101110111011 +101010101010 +100010001000 +001100110011 +001000100010 +011001100110 +101110111011 +101010011001 +011101100110 +001000010001 +001000100010 +011110001000 +101110111011 +101010101010 +101110101010 +010001000011 +001000110010 +001000100010 +000100010001 +001100110100 +010001000101 +100110011001 +101010101010 +101010101010 +011001010101 +000100010001 +100110011001 +101110111011 +101110111010 +100110011000 +011101100100 +011001000100 +101110111010 +110011001010 +110011001011 +101010011000 +010101000010 +010100110010 +010000100001 +100001110110 +100001110110 +010000110010 +011001000010 +010101000011 +101110111010 +101110111010 +110010111010 +011101100101 +010101000010 +011001010100 +110011001011 +101010011001 +100010000111 +000000000000 +000000000000 +001100110011 +101010101010 +100110011001 +101010101010 +010001010100 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100001 +011001000011 +011001010100 +011101100101 +011001010101 +011001010100 +011001010100 +011001010100 +010101000100 +010101010101 +010101010100 +010101010101 +010101010110 +010101100110 +001101000010 +001100110001 +001100110001 +001000110001 +001000110001 +001000110001 +001000100001 +000100100000 +000100010001 +000100100010 +001100110011 +010001000011 +011001010100 +011001010101 +011001010101 +011001010101 +011001100101 +011001010100 +010100110010 +010000100001 +011001000010 +011001000010 +010100110001 +011101010011 +011001010100 +011101100101 +011001010100 +011001010011 +010101000010 +001100010000 +000100000000 +000100000000 +010000100010 +010000100010 +001000010001 +010000110011 +011001010100 +011001010100 +010101000011 +010000110001 +010100110010 +010100110001 +011001010100 +011001100101 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011101100110 +011001010101 +011001010101 +011001010100 +011001100110 +011001100101 +010101010100 +011101100110 +011101110111 +011101100110 +011001100101 +011001100110 +011001100101 +011001100101 +011001100110 +011101100110 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100101 +011101100101 +011101100101 +011001100101 +011101100110 +011001010100 +011001100101 +011001100101 +011101100101 +011101100101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001100101 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +010101010101 +011001100110 +011001100110 +011001100110 +010101010100 +010101010101 +011001100110 +011001010101 +011001100101 +011001100110 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +010101010101 +011001010101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001100110 +011001100110 +011001100101 +010000110011 +010001000011 +010101000100 +010101000100 +010101010100 +010101010100 +010001000100 +010001000100 +011001100110 +011001100110 +010101010101 +011001010101 +010101010100 +010101010101 +010101010100 +010101000100 +011001100110 +011101100110 +011001100110 +011001100110 +011001010101 +011001010100 +010101010100 +010101010101 +010101000100 +010101000011 +010101000100 +011001010100 +011001010100 +011001010101 +011001010100 +010101000100 +010001000011 +010101010100 +010101000100 +011001100101 +011001100101 +011001100101 +011001010100 +011101100110 +011001100101 +010101010100 +011001100110 +011001100101 +011001010100 +010101010100 +010101010100 +011001100110 +011001010101 +010001000011 +010101000011 +010000110010 +001100100001 +010000110010 +010000110010 +010000110001 +010100110010 +010000110010 +010000110010 +010001000011 +010101000011 +010000110010 +010000110010 +010101000100 +010101000011 +010001000011 +001100110010 +010101000011 +010101010101 +010101010101 +010001000100 +010101000011 +010101010100 +010001000011 +010000110010 +010001000011 +010001000100 +000100010001 +000000000000 +000000000000 +000100100010 +010001000100 +010001010110 +010101010110 +010101010101 +010101010101 +010001000011 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001000100 +010001000100 +001100110100 +001100110011 +001100110011 +010001000100 +010101000100 +010001000011 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010001000011 +010000110010 +010000110010 +001100100010 +001000100001 +010000110010 +010101000100 +010000110010 +010000100001 +001100100001 +001100100001 +000100100010 +000100010001 +100010011001 +110011001100 +001100110011 +000100010001 +000100010001 +100110011001 +011001100110 +000100010010 +000100010010 +000100100010 +101010101010 +001000100010 +000100010000 +001000100001 +001000100001 +000100010001 +010001000011 +100110011001 +000000000000 +000000000000 +010101010100 +110111011100 +010101010101 +000000000000 +000000000000 +010001000100 +111011101110 +011101110110 +000000000000 +011101110111 +011001100101 +000100000000 +100010000111 +011001100110 +001000100001 +100010001000 +100110011001 +001000100010 +011101110111 +011101110110 +001000010001 +101110111011 +001000100010 +010101010110 +101111001100 +010001010101 +001000100001 +010101010100 +101010101010 +001000100010 +001000110011 +001100110100 +001101000100 +100110011001 +100010000111 +001000010001 +010001000100 +001100110011 +000000000000 +100010001000 +010001000100 +001000100010 +010000110011 +011001010101 +101110111010 +101110101001 +011001010100 +011001010100 +100001110101 +010101000011 +010101000010 +010101000010 +110010111010 +101110111010 +010000110010 +010101000010 +010101000010 +110010111010 +010101000011 +100010000111 +101010011000 +010000110001 +100001100101 +101110101010 +010101000011 +110011001011 +010000110011 +000100010000 +101010101010 +001100110011 +000000000000 +001000100001 +101110111010 +001000100001 +000000000000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +001000100010 +011001010100 +011001010101 +011001100110 +011001100101 +011001010101 +011001010100 +011001010100 +010001000011 +010101000011 +010101010101 +010101010101 +010101010110 +010101010110 +001100110010 +001000110001 +001000110001 +001000110001 +001000100001 +001000100001 +001000100001 +001000100001 +000100100001 +000100010001 +000000010010 +000100010010 +000100010010 +001000100010 +010001000011 +010101010100 +011001010101 +011001010100 +010101000010 +010100100000 +011001000010 +011001000010 +010100110001 +011001000010 +011001010011 +011001010100 +011001010100 +011001010011 +011101010011 +010100110010 +001100010001 +010100110010 +010101000010 +001000010000 +001000000000 +010000110010 +010000110010 +011101100101 +010101000011 +000100000000 +001000010000 +001000010000 +010000100010 +011001010101 +011001100110 +011001100111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100101 +011001100110 +011001100110 +011001100101 +011001100101 +011001100101 +011001010101 +011001100101 +011001010101 +011001100101 +011001010100 +011001100101 +011001010101 +010101010100 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001010101 +011001100110 +011001110111 +011001110111 +011001100101 +011001100101 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001010101 +011001010101 +011001010101 +011001010101 +011001100101 +011001100101 +011001100110 +011001100101 +010101000011 +011001000011 +011001000011 +011001100110 +011001100110 +011001100101 +011001010100 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001100101 +011001100110 +011001010101 +011001010101 +011001010100 +011001100101 +010101000011 +010000110010 +011001010101 +011001100110 +011001100110 +010101000100 +010101000100 +010000110010 +010101010100 +011001100101 +011001010101 +011001010101 +010101010101 +011001010101 +010101100101 +011001100110 +010101010101 +011001010101 +010101010100 +011001010101 +010101010101 +011001100110 +010101010101 +010101010101 +011001010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001010101 +011001010101 +011001100101 +011001100101 +011001010101 +011001010101 +011001100110 +011001100110 +011001100101 +010101010100 +010001000100 +010101010100 +011001010101 +011001010101 +010101000100 +010001000011 +010001000100 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +011001010101 +011001100110 +011001100110 +010101010100 +010101000100 +010101010100 +011001010101 +010101010101 +010101010100 +010101010100 +010101010100 +010001000011 +010101010101 +011001010101 +011001010101 +011001010101 +011001010101 +010101010101 +011001010101 +011001100110 +011001010100 +010101000011 +011001010101 +011001010100 +011001100101 +011001100110 +011001100101 +011001010100 +010101010100 +011001010100 +011001010101 +011001010101 +010101000011 +010101000011 +010101000011 +010000110010 +010000110010 +010000110010 +010000100001 +010000100001 +010000110001 +010000100001 +010101010100 +010101100101 +010101010101 +010000110010 +010000110010 +010101000100 +010101010100 +010101000011 +010000110010 +010000110011 +010101000100 +010001000011 +010101000100 +010101010101 +010101010100 +001100100010 +001100100010 +010101000100 +010001000011 +000100010001 +000000000000 +000100010001 +001100110011 +010001010101 +010001010110 +010001010101 +010001000100 +010001000100 +010001010100 +010001000100 +010001000100 +010001010101 +010001010101 +010101010101 +010101010101 +010101000100 +010001000100 +001100110010 +001100100010 +001000100010 +001100110010 +001100110010 +001100110010 +001100110011 +010001010100 +010101010100 +010101010101 +010001000100 +010001000100 +010001000100 +010101000100 +010001000011 +010000110011 +010001000011 +010000110010 +001000010001 +001000100001 +001100110010 +000100010010 +001100110100 +100110011001 +010101010101 +100010001000 +000000010001 +000000010001 +100010001001 +011001100110 +000100010010 +000100010010 +000100010001 +101010101011 +101010101010 +100110011001 +010001000100 +001000100010 +000100010001 +010001000011 +100110011001 +000000000000 +000100010000 +101010011001 +010001000011 +101010101001 +000100010000 +000000000000 +010101000100 +100010001000 +100110001000 +011001100110 +011101110110 +010101010101 +000000000000 +011101110111 +011001100101 +000100010000 +001100110011 +101010101010 +000100100010 +011101110111 +101110111011 +101010011001 +100010000111 +000100100010 +011110001000 +100010011001 +001000100010 +001000100001 +001000100001 +101010101010 +010001000100 +001100110011 +001000110011 +001000100010 +101010101010 +001100110010 +000100010001 +001100110011 +000100100010 +000000010001 +100110011001 +101110111010 +100110011001 +010001000100 +000100010001 +101110111011 +010101010101 +011001100101 +101110101010 +101010101010 +011001100101 +010101000010 +100001110111 +100010001000 +100110000111 +011101100110 +010000110010 +011001010011 +110011001011 +101010101001 +101110111010 +011101100101 +010000110001 +011001010100 +110111001100 +101110101001 +101110101010 +011001010011 +011101100101 +101010101010 +000000000000 +000000000000 +000000000000 +100010000111 +010101010101 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000010000 +000000000000 +000000000000 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100000 +001000100001 +001000100001 +001000100001 +000100100001 +000100100001 +000100100001 +000100010000 +001100110010 +011001010101 +011001100101 +011001100110 +011001100101 +011001010100 +011001010101 +010000110011 +010000110010 +010101000100 +010101010101 +010101010110 +010101010110 +010101010110 +001000110010 +001000100000 +001000100000 +001000100000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010010 +000100010010 +000000010001 +000000000001 +000000000000 +000000010001 +001000100001 +001100110010 +010101000011 +011001000011 +011001010011 +011001010011 +011001010011 +010101000010 +010101000010 +010100110001 +011001000010 +011001000010 +011001010011 +011001000011 +010101000010 +011001010011 +011001010011 +010100110010 +001000010000 +010101000010 +010100110010 +010100110010 +001000000000 +000000000000 +000100000000 +000100000000 +000100000000 +001100100010 +010101000100 +010101010101 +010101100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100101 +011001010101 +011001100101 +011001100101 +011001100110 +011001100110 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100111 +011001110111 +011001100111 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010101010101 +011001010100 +011001100101 +011001010101 +011001010101 +011001010101 +011001010100 +011001010101 +010101000100 +011001010100 +010101000011 +010100110010 +010100110010 +010101000011 +011001100110 +011001100110 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001100101 +011001100101 +011001100101 +011001010101 +011001010100 +011001010101 +010000110011 +001100010000 +010000110010 +011001010101 +010101000100 +010000110010 +010000110001 +010000100001 +001100100001 +010101000011 +011001100110 +010101010101 +011001100110 +010101010110 +011001100110 +011001100110 +010101100110 +011001100110 +011001010101 +011001010101 +010101010101 +010101100101 +010101100101 +010101010101 +011001010101 +011001010101 +011001100110 +011001100110 +011001100101 +010101010100 +010101010100 +011001010101 +011001010101 +011001010101 +010101010100 +010101010101 +011001010101 +010101010101 +010001000100 +010101010100 +010101010101 +011001010101 +010101010100 +010101000100 +010101010101 +010101010101 +010101000100 +010001000100 +010001000100 +010101010100 +010101010100 +010101010100 +010001000011 +010000110011 +011001010100 +010101010100 +011001100101 +011001100101 +010101000100 +010001000011 +010001000011 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101010101 +010101010101 +011001010101 +011001010101 +011001100110 +010101010101 +011001010101 +011001100110 +011001100101 +011001010101 +011001100101 +010101010100 +011001100101 +011001010101 +011001100101 +010101010100 +011001010101 +011001010100 +011001010101 +010101010100 +010101010100 +011001010100 +010101000011 +010101000011 +010101000011 +010000110011 +001100100001 +001100100001 +010000110001 +010000100001 +010101010101 +011001100111 +011001100110 +010001000011 +001100100001 +010001000011 +010000110011 +001100100001 +010000100001 +001100100001 +010000110010 +010000110010 +010101000011 +010101010100 +010001000011 +010000110010 +010000110010 +010001000011 +010101010101 +010101010101 +010001000011 +010000110011 +010000110011 +010001010101 +010101010110 +010001000100 +001100110011 +010000110011 +010001000100 +010001000100 +001100110011 +010001000100 +010001010101 +010001000101 +010001010101 +010101010101 +010000110011 +010000110011 +010000110011 +001100110010 +001100100010 +001100100001 +001100110010 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110010 +010001000011 +010101000100 +010101000100 +010101000100 +010000110011 +001100100010 +001100100010 +001100100010 +001100100010 +000100010001 +100010001001 +101110111011 +100110011001 +110011001100 +001000100010 +000000000001 +100110011001 +011001100110 +000100010001 +000100010010 +000100010001 +101010101010 +001100110011 +001000100010 +001000100010 +001100110011 +000100010001 +010001000100 +101010011001 +000000000000 +010001000100 +110011001100 +100010001000 +110011001100 +010101010100 +000000000000 +010101010100 +100010001000 +000100000000 +100110011000 +110011001100 +010101010101 +000000000000 +011101110111 +010101010101 +000000000000 +010101010100 +100110011001 +000000000000 +011001100110 +100110011000 +101110111010 +010101010101 +001100110100 +011001110111 +101010101010 +001000010001 +001000100010 +010001000100 +101110111011 +001100110011 +001000100010 +001000010001 +001000010001 +100110011001 +011001010101 +000100010001 +001100110011 +001000100011 +000100010010 +100110011001 +010101010100 +001000100001 +001000010001 +000100010001 +101010101001 +011001100111 +001101000100 +010101010110 +110011001100 +011001100101 +010000110010 +110011001011 +101010101010 +101110101001 +101110111010 +001100100001 +010000110010 +101110111010 +100110011000 +101110111010 +010000110010 +010000110001 +011001010101 +101110111010 +101110101010 +100110000111 +010100110010 +011101010100 +110011001011 +010101000011 +010000110001 +001000010001 +101010101010 +001100110011 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100100000 +001000100001 +001000100001 +001000100001 +001000100000 +001000100000 +001000100001 +000100100001 +000100100000 +001100110010 +011001010100 +011001010101 +011001010101 +011001010101 +011001010100 +011001010101 +010101000011 +001100100010 +010101000100 +010101010101 +010101010110 +010101010110 +010101010110 +001000100010 +000100100000 +000100100001 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +001000100010 +010000110011 +010101010100 +011001010101 +011001010100 +011001000011 +010100110001 +010100110010 +011001010011 +011001010011 +011001010011 +011001010011 +011001000011 +011001010011 +010101000010 +010000100001 +011001000011 +011001000010 +010100110010 +001000010000 +000100000000 +001000010000 +000100000000 +000100000000 +000000000000 +000100000000 +010101000100 +011001100110 +010101010101 +010101000011 +010101000100 +010101010101 +010101010101 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +011001100101 +011001100110 +011001100110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100111 +011001110111 +011001110111 +011001100111 +011001110111 +011001110111 +011001100110 +011001100110 +011001100110 +011001100110 +011001100110 +010101010101 +010101010100 +010101000010 +010101010100 +011001010101 +011001010101 +011001010101 +010101010100 +011001010100 +010101000011 +010000110010 +010100110010 +010000110001 +010100110010 +010101000010 +010101000011 +010101010101 +011001010101 +011001010101 +011001010100 +011001010100 +011001100101 +011001010101 +011001010100 +011001010100 +011001010100 +011001010100 +011001010101 +011001010100 +011001010101 +011001100101 +011001010101 +011001100101 +011001100101 +010000110010 +010000100001 +010000110010 +010000100001 +010000110001 +010000100001 +001100100001 +010000100001 +010000100001 +010101010101 +010101010101 +010101010110 +010101100110 +011001100110 +011001100110 +010101100110 +010101010101 +011001100110 +011001100110 +011001100110 +010101100110 +010101100110 +010101010110 +010101000100 +010001000100 +010101100110 +010101010110 +010101010101 +010101010100 +011001010101 +010101010100 +010101010101 +011001010101 +011001010101 +010101010101 +010101010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010001000100 +010001000100 +010101010101 +010101010101 +010101010101 +010001000100 +010001000100 +010001000011 +010001000100 +010101000100 +010001000011 +010101000011 +010101010100 +011001010101 +011001010101 +010101010100 +010001000011 +010101000011 +010101000100 +010101010100 +010101010100 +010101000100 +010101000100 +010101010100 +011001010101 +010101010101 +010101010100 +010001000101 +010101100111 +010101100110 +010101010101 +011001100110 +011001100110 +011001100101 +011001100101 +010101010101 +010101010100 +010101010100 +011001010100 +010101010101 +010101010101 +010101010100 +010101000011 +010101000011 +010101010100 +010101010100 +010101000011 +010101010100 +010001000100 +010101000100 +010000110010 +010000110010 +010000100001 +001100100001 +010101000100 +010101100110 +010101100110 +010001000011 +010000110010 +010101000100 +010001000011 +001100100001 +010000100001 +001100100001 +001100100001 +001100100001 +010001000011 +010101000011 +010000110010 +010000110010 +010000110010 +001100110011 +010101010101 +010101010101 +010101010101 +010001000011 +010000110011 +010001010101 +010001010101 +010000110011 +010000110010 +010000110011 +010001000011 +010101010100 +010001000100 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +001100110011 +010000110011 +010101010100 +010000110011 +001000010001 +001000010001 +010001000011 +010101100110 +010101100111 +011001100111 +010101100110 +010001010101 +010001000100 +001100110010 +001000100010 +001100100010 +001100100010 +001100110010 +010001000011 +010000110011 +010000110010 +001100110010 +010001000100 +101010101011 +001100110011 +001100110011 +011101110111 +100010001000 +000000010001 +100110011001 +100110011010 +011001100110 +001100110011 +000000010001 +101010101010 +011001100110 +010101010101 +010001000100 +001100110011 +011001100110 +100110011001 +011101110111 +000000000000 +101010101001 +010001000100 +000100010001 +010000110011 +101010101010 +000100000000 +010101010100 +100010001000 +000000000000 +000100010000 +101110111010 +010101010101 +000000000000 +011101110111 +100110001000 +011101100110 +101010101010 +001100110010 +000100000000 +011101110110 +011001100110 +001100100010 +101110111011 +010001000101 +001100110100 +101010101010 +100110011001 +011101110111 +101110111011 +011101110111 +001000100010 +001000100001 +000100010001 +000100010001 +010001000100 +101110111011 +100010001000 +100010001000 +011001110111 +000000010001 +100110011001 +100010000111 +010101010101 +010001000100 +000100010001 +010001000100 +101110111011 +100010001000 +100010001000 +101110111011 +001100110100 +011101110111 +101010101010 +010101000100 +010101000011 +101010101001 +011101100101 +010101000010 +101110111010 +011001010011 +100110000111 +100110011000 +001100100001 +011001010100 +110010111010 +011001000011 +101110111010 +011101100101 +001100100001 +100001110111 +101110111010 +100110000111 +101110111010 +101010101001 +001100110010 +001000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100001 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +001100110010 +010101010100 +010101000011 +011001010100 +011001010100 +011001000100 +011001010101 +010101000011 +001100100010 +010101000100 +010101010101 +010001010110 +010101010110 +010101010110 +001000100010 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000100100010 +001000110100 +001100110100 +010001000100 +010101000011 +010101000011 +011001010100 +010101010100 +011001010100 +010101010100 +011001010011 +010101000010 +011001010011 +010101000010 +010100110010 +010101000010 +010101000010 +010101000011 +001000010000 +001000000000 +010000110010 +010000100001 +001100010001 +001000010000 +000100000000 +010000110010 +011001100111 +011001100110 +010101000011 +010000110011 +011001010101 +010101010100 +010101000100 +010101010100 +010101010101 +010101010100 +010101010100 +011001100101 +011001100110 +011001100110 +011001100110 +011001100111 +011001100111 +010101100110 +011001100111 +011001100111 +011001100110 +010101010110 +011001100111 +011001100111 +011001100111 +011001100111 +011001100110 +011001100111 +011001100110 +010101000100 +011001010101 +011001100110 +010101010101 +010101000100 +010101010100 +010101010100 +010101000100 +010101010100 +010101010100 +010101010101 +010101010100 +010101010100 +010101000011 +010000100001 +010000110010 +010101000011 +010101000011 +010101010101 +010101010100 +011001010101 +011001010100 +011001010100 +011001010101 +011001010101 +011001010101 +011001010100 +010101010100 +010101010100 +010101000100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +011001010101 +010000110010 +001100100001 +010000100001 +010000110010 +010000110010 +010000100001 +001100100000 +010000100001 +010000110011 +010101100110 +011001100110 +010101010100 +010101010101 +010101010101 +010000110010 +010000110010 +010101010100 +010101010101 +010101010110 +010001010100 +011001100110 +011001100110 +010101100110 +010101010101 +010101010101 +010101100110 +010101100110 +010101010100 +010101010100 +010101010100 +010101010101 +011001010101 +011001010101 +010101010100 +010101010100 +011001010101 +010101010100 +010101000100 +010001000011 +010001000011 +010001000011 +010101000011 +001100110010 +010001000011 +010101000100 +010001000011 +010101010101 +010101010101 +010001000100 +010101000100 +010101010100 +010101000100 +010101010100 +010101010101 +010101000100 +010101010101 +010101010101 +010101000100 +010101000011 +010101000011 +010001000100 +010101000100 +010001000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010001000100 +010101010101 +010101010101 +010101000100 +010101010101 +011001010101 +010101010101 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010101 +010101000100 +010001000011 +010101010100 +010101010100 +010101000011 +010101010100 +010001000011 +010101000100 +010101000011 +010101000011 +010000110010 +010101010100 +010101010101 +010101010100 +010101010100 +010101000011 +001100100001 +010101010100 +010101000100 +010000110010 +010000110010 +010000110010 +010101000100 +010001000100 +010101000100 +010101000011 +010000110010 +010000110010 +001100100001 +001100100010 +010001000011 +010001010101 +010001010101 +010001000100 +001100110010 +010001000100 +010001000100 +010001000011 +001100100010 +010000110010 +010001000100 +010001000100 +010001000101 +010001000101 +010001000100 +010101010101 +010101010101 +010001010101 +010101010101 +010001000100 +010001000011 +010001000100 +010000110010 +010000110010 +001100110010 +010101010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101010110 +010101000100 +001000100010 +001000010001 +001000010001 +000100010001 +001000100010 +001100110010 +001100110010 +001100110011 +001100110100 +001101000100 +000100100010 +001000100010 +001000100010 +001101000100 +000100010010 +010001000100 +011001100110 +010101100110 +001100110011 +000000010001 +010001000100 +011001100110 +011001100110 +001100110011 +001000100010 +011001100110 +011001100110 +000100010001 +000100010001 +010001000011 +000100010000 +000100010000 +000100010000 +010001000011 +000100010001 +001000100001 +001100110010 +000100010000 +000100010000 +001000010001 +001000100001 +000100010000 +001100110010 +010101010101 +010101010101 +001000100001 +000100010000 +000100010000 +001100100010 +001100110010 +000100010000 +010000110011 +010000110011 +001000100010 +001000100010 +011001100110 +011110000111 +010101010110 +001000110011 +001000100011 +001000100010 +001000100001 +001000100011 +001100110011 +001100110011 +011110001000 +100010001000 +001100110011 +000100010001 +001100110011 +011001010101 +011001100101 +010001000100 +000100010001 +000100010001 +001100110100 +011001110111 +011001100110 +001100110010 +001000100010 +010101010101 +010001010101 +001100100010 +001100100010 +010000110011 +010101000011 +010000110010 +011001010100 +010000110010 +001100110010 +011001010100 +001100100001 +010000110010 +011001010100 +001100100001 +010000110010 +010101000011 +010000110010 +010000110010 +011001010101 +100010000111 +011101100101 +010000110011 +010101000011 +010101000011 +010101000011 +001100100010 +000100100001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100100000 +000100010000 +000100010000 +001100110010 +011001010101 +010101000011 +010101000100 +010101000100 +010101000100 +010101010100 +010101000011 +010000110011 +010101000101 +010001010101 +010001010110 +010001010110 +010101010101 +001000100010 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010010 +000100100011 +000100110100 +001000100100 +000100100011 +000100010010 +000100010001 +001100100010 +010000110010 +010101000011 +010101010100 +010101010100 +010101000011 +011001010011 +010101000010 +010100110010 +010100110001 +010101000010 +010101000011 +001100100001 +001100010000 +010101000011 +010101000011 +010000110001 +001100010000 +001000010000 +010000110010 +010101010100 +011001010101 +011001010100 +011001100101 +011001100110 +011001010100 +010000110010 +010000110010 +010000110010 +010101000011 +010101010100 +010101010100 +010101010100 +010101010101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +011001100111 +010101100110 +010101010101 +010101010110 +010101100110 +011001100111 +011001100111 +011001100111 +010101100111 +011001100110 +010101100110 +010101010101 +010101010100 +010100110011 +010000110011 +010101000100 +010101000011 +010101000011 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101000011 +010101000010 +010101000010 +010101000011 +010101010101 +010101010101 +011001010101 +010101010101 +010101010101 +011001010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101010100 +011001010100 +011001010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010000110010 +010001000011 +010101000011 +010000110010 +010000110010 +010000110010 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010000110010 +010000110010 +010000100001 +001100100000 +001100100001 +010000110010 +010101010101 +010001000011 +001100100010 +010001000011 +010000110011 +010101010101 +010101100110 +010101100110 +010101100110 +010101010101 +010101010101 +010101000100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010101010100 +010101010100 +010001000011 +010000110011 +010001000011 +010101000011 +010001000011 +001100110010 +010001000011 +010001000011 +010001000011 +010000110011 +010001000011 +010101010100 +010101010100 +010001000100 +010101010101 +010101010101 +010101010101 +010101010101 +010001000100 +010001000100 +010001000100 +010101000100 +010101000100 +010001000100 +010001000011 +010101000100 +010101010100 +010101010101 +010101010101 +010101010100 +010101000100 +010101010101 +010101010101 +010101000100 +010001000100 +010101010101 +010101010101 +010101000100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101100110 +010101000100 +010001000011 +010101010101 +010101010101 +010001000011 +010101000011 +010001000100 +010101000011 +010101000011 +010101000011 +010101010100 +010101010100 +010101010101 +010101010101 +010101000100 +010101000011 +010101000011 +010101000100 +001100110010 +010000110011 +001100110010 +001100110010 +010001000100 +010001010101 +010001010101 +010101000100 +010001000011 +010001000011 +010000110011 +010000110010 +010000110011 +010001000100 +010001000100 +001100110011 +001100110010 +010001000100 +010001000100 +010001000100 +010000110010 +010000110010 +001100110011 +010001010101 +010001010101 +010101010101 +010001000100 +010101010100 +010101010101 +010001000100 +010001010101 +010101000100 +010001000011 +010001000011 +010000110010 +001000010001 +001000010001 +001100100010 +010001000100 +010001010101 +010101010110 +010001010101 +010001010101 +010001000100 +010101000100 +001100100010 +010001000011 +010101010101 +010001000011 +010001000100 +001101000011 +001100110011 +000100100010 +000100100010 +000100100010 +000100010010 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +000100010001 +000100010001 +000100010010 +001000100010 +000100100010 +000100010001 +001000100010 +001000100010 +000100010001 +000100010000 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010001 +001000010001 +000100010000 +000100000000 +000100000000 +001000100010 +001000110011 +001000100010 +001000010001 +000100010001 +000100100010 +001000110011 +001000100010 +001100110011 +001000100011 +000100010001 +000100010001 +000100010001 +000000000000 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +000100000000 +000100000000 +000100010001 +001000100010 +001000100010 +001000100010 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +010000110010 +010000110010 +001100100001 +001000100001 +001100100001 +001100100001 +001000010000 +000100010000 +010000110010 +011001010100 +011001010100 +010000110001 +010000110001 +010101000011 +010101010100 +010101000100 +010101000011 +010000110010 +010100110010 +010101000011 +010000110010 +010101000011 +010101010100 +010000110011 +001100100010 +000100010001 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100100000 +000100100001 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +001000110010 +010101000100 +010101000011 +010101000100 +010101000100 +010101010100 +010101010100 +010001000011 +010000110011 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +000100100001 +000000010000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000010010 +000100010010 +000100100011 +000100100011 +000100100010 +000100010010 +000000010001 +000000000001 +000000000001 +000000000001 +000000000000 +000000010000 +001000100001 +001100110011 +010101000100 +010101010100 +010101000011 +010100110001 +010000100000 +010101000010 +010101000011 +001100100001 +010000110010 +010101000011 +010101010100 +010101000011 +010000100001 +001100010001 +010000110010 +010101010100 +010101010110 +010101010101 +010101010110 +010101100110 +010101010101 +010001000011 +001100100010 +001000010000 +010101000011 +010000110010 +001100100001 +010000100001 +010001000011 +010101000100 +010101000100 +010101010101 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101010110 +010101010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101000011 +010101000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010001000011 +010000110010 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101010100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101100110 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010000110010 +010000110011 +010101010101 +010101010110 +010101100110 +010101010101 +001100110010 +010101010100 +010001000011 +010100110010 +010000110010 +001100100001 +010000110001 +010000110010 +010101010101 +010101000011 +001100100001 +001000010000 +001000010000 +001100110010 +010001000100 +010101100110 +010101010101 +010101010101 +010101010100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010001010101 +010101010101 +010101010101 +010101010100 +010101000100 +010001000011 +010001000100 +010101000100 +010001000100 +010000110011 +010001000011 +010001000100 +010000110011 +010001000100 +010001000100 +010101000100 +010101000100 +010001000100 +010001000100 +010101010100 +010001000100 +010101010101 +010101010101 +010001010101 +010001000100 +010001000100 +010101000100 +010101000100 +010001000011 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010000110011 +010000110011 +010001000011 +010101000100 +010001000100 +010101010100 +010101010100 +010101000011 +010101000100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001000011 +010001000100 +010101010101 +010101010101 +010101000100 +010101000100 +010101010100 +010101000100 +010101000011 +010101000011 +010101000011 +010101000100 +010101010101 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000011 +010000110011 +010000110010 +010000110010 +001100110011 +010001000100 +010001000100 +010001000011 +010000110010 +010001000100 +010101000100 +001100100010 +010000110011 +010001000011 +010000110011 +010000110011 +001100110011 +010000110011 +010001000100 +010001000100 +010000110011 +001100100001 +001100100001 +010001000100 +010001010101 +010101010110 +010101010101 +010101010101 +010001000101 +010101010100 +010101000100 +010000110010 +010001000011 +010001000100 +010001000011 +001100100001 +001100110010 +001100110010 +001100110010 +010001000100 +010001000100 +010001000100 +010001010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +000100100010 +000100100010 +000100010010 +000100010001 +000100010010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100100010 +001000100010 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000010001 +000100010001 +000100100010 +001000110011 +001000100011 +001000110011 +001000100010 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000010001 +000100000000 +000100000000 +001000100001 +001000100001 +001000010001 +001000010001 +001000010001 +001100100001 +001100100001 +001100100001 +010101010100 +011101100101 +011101100101 +010101000010 +010000110010 +010101000011 +011001010011 +010101000011 +010101000011 +010000110010 +010000110001 +010000110001 +001100100001 +010000110010 +010000110010 +011001010100 +010101010011 +010101000011 +010000110010 +001000100001 +000100010000 +000000000000 +000000010000 +000100010000 +000100100000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +001000100010 +010101000100 +010101000011 +010101000100 +010101000100 +010101010100 +010101010101 +010101000011 +001100110010 +010001000100 +010001000101 +010001010101 +010001010101 +010001000101 +000100010001 +000000010000 +000000010000 +000000010000 +000100010000 +000100100001 +001000100001 +001000100001 +001000100001 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010010 +000100100011 +000100100011 +000100100011 +000100100011 +000100010010 +000000010001 +000000000000 +000000000000 +000000000001 +000000010001 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001100110010 +010000110010 +010000110010 +010101000011 +010101000011 +010000110001 +010101000010 +010101000011 +010101010100 +010101000011 +010100110010 +010000110010 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010000110010 +001100100001 +010101000100 +010000110010 +001000010000 +000100000000 +010000110010 +010001000011 +010000110010 +010001000011 +010101010100 +010001010100 +010001010101 +010101010110 +010101010101 +010101010101 +010101010110 +010101100110 +010101100111 +010101100110 +010101100110 +010101100110 +010101100110 +010101100110 +010101010101 +010101010101 +010101010101 +010101010100 +010101000100 +010101000011 +010101000011 +010101000100 +010101000100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010100 +010001000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010001000100 +010000110010 +010000110010 +010101000100 +010101010101 +010101010101 +010101010101 +010101000011 +001100100001 +010000110010 +010000110001 +001100100001 +001100100001 +010000100001 +010000110010 +010000110010 +001100100001 +010000100001 +001100100001 +001100100001 +010000110010 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101010100 +010101000100 +010001000011 +010001000011 +010001000100 +010101010101 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010101000100 +010101000100 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010101000100 +010101000100 +010101000011 +010101010100 +010101010101 +010101010101 +010001000100 +010001000100 +010101000100 +010101000100 +010101000100 +010101010100 +010101010100 +010101010101 +010101010100 +010101000100 +010101000100 +010101000011 +010101000011 +010101000100 +010101000100 +010101010101 +010001000100 +010101000011 +010001000011 +010101000100 +010101000100 +010101000100 +010101000011 +010000110011 +010000110011 +001100110010 +010001000011 +010001000011 +010001000100 +010001000011 +010001000100 +010001000011 +001100100010 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010001000011 +010000110011 +001100100010 +001100110010 +001100110011 +010001000100 +010001000100 +010001010101 +010001010101 +010101010101 +010000110011 +010000110010 +010000110011 +010001000100 +010001000011 +001100110010 +010101010100 +010001010100 +010000110011 +001100110010 +001100100010 +010000110011 +010000110011 +001100110010 +001100110011 +010001000011 +010000110011 +010001000100 +010001000100 +010001000101 +010001010101 +010001000100 +000100100010 +000100100010 +000100010010 +000100010001 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +000100100010 +000100010010 +000100010010 +000100010001 +000100010001 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100000000 +000100000000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000100010 +001000110011 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010001 +000100000000 +000100010001 +000100010001 +001000010001 +001000010001 +000100010001 +000100010001 +001000010001 +000100010000 +000100000000 +000100000000 +000100010001 +000100010001 +000100010000 +000000000000 +000100010001 +001100110011 +010000110010 +001100100010 +010000110010 +010000110010 +010000110010 +010101000011 +010000110010 +010000110010 +010000110010 +010101000010 +010000110010 +001100110001 +010000110010 +001100100001 +001100100001 +001100100001 +001100110010 +010000110010 +010000110010 +010000110010 +010101000011 +010101000011 +010001000011 +010000110010 +001000100001 +000100010000 +000100010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +001000100010 +010101010101 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101000100 +010000110011 +010001000011 +010001000100 +010001000101 +010001000101 +010001000101 +000100010001 +000000010000 +000000010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100100000 +001000100001 +001000100001 +000100100000 +000100010000 +000000000000 +000000000000 +000000010001 +000100100011 +001000110100 +000100100011 +000100100010 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000010001 +000000010001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010001 +001100110010 +010001000011 +010000110010 +010101000011 +010101000011 +010101000100 +010101000011 +010101000010 +010100110010 +010101000100 +010101010100 +010101010101 +010101010100 +010101010101 +010101010101 +010101010110 +010101010101 +010000110010 +010000110010 +010101000100 +010101010100 +010000110100 +001100010001 +010000110011 +010101000011 +001100100001 +010101000011 +010101010101 +010101010101 +010001000100 +010001000100 +010101000100 +010101000100 +010101010101 +010101010110 +010101010110 +010101010110 +010101100110 +010101010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101010100 +010101010100 +010101000100 +010101010100 +010101000100 +010101000100 +010101010100 +010101000100 +010101000100 +010101000100 +010101000100 +010001000100 +010101000100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010100 +010101010101 +010101010100 +010101010101 +010101010101 +010101010100 +010101010100 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010101000100 +010001000011 +010000110010 +010000110011 +010001000100 +010000110011 +010000110011 +010101000100 +010101010101 +010101010100 +010101010101 +010001000011 +010000110010 +010000110010 +010000110010 +001100010001 +001100100001 +010000110010 +010000110010 +001100100001 +001100100001 +001100100001 +010000110011 +010101010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101010100 +010101000100 +010101010100 +010001000100 +001100100010 +001100100001 +001100100001 +010000110010 +001100110010 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010101010101 +010101010100 +010000110011 +010000110010 +010101000100 +010101010100 +010101000100 +010101000011 +010000110011 +010001000100 +010101010101 +010101010101 +010000110011 +010000110010 +001100100001 +010000110011 +010000110010 +010001000011 +010001000100 +010001000011 +010101000100 +010001000100 +010101000100 +010101000100 +010101000100 +010101010101 +010101010100 +010101010100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000011 +010101000100 +010101000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +001100100010 +010000110011 +010000110011 +010000110011 +001100110011 +010001000100 +010001010101 +010101010101 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110010 +010001000100 +010001010101 +010001000100 +010001000011 +001100110010 +001100110010 +001100100010 +001000100001 +010000110011 +010001000100 +010000110011 +001100100010 +010001000100 +001100110011 +001100110011 +010001000100 +000100010010 +000100010010 +000100010001 +000100010001 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +000100100010 +000100100010 +000100010001 +000100010010 +001000100010 +001000100010 +000100100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000000000000 +000100010001 +001000100001 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +001000100010 +001000100010 +001000010001 +000100010001 +000100010001 +000100010001 +000000000000 +000100000000 +000100010001 +000100010000 +000100010000 +001000100001 +000100010001 +000100010001 +000100010001 +000100010001 +001100110011 +001000100010 +001000010001 +000100010001 +000100010001 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +001000100001 +001100100010 +001100100010 +001100110010 +010000110010 +001000100001 +001100110010 +010000110010 +010000110010 +010000110001 +001100110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +010000110010 +001100100010 +010000110010 +010000110010 +010000110010 +010000110010 +001100110001 +010001000010 +001100110010 +001000100000 +000100010000 +000100010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000000000 +001000100010 +010101010101 +010001000011 +010101000100 +010101010101 +010101010101 +010101010101 +010000110011 +001100110010 +010000110011 +010001000011 +010001000101 +010001000101 +010001000101 +000100010001 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000000010000 +000100010000 +000100100000 +000100100000 +000100100001 +000100010000 +000000010001 +000100100010 +000100100011 +001000110100 +000100100011 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000000010001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001000100001 +010000110010 +010101000011 +010101000100 +010001000011 +010000110010 +010101000011 +010101000100 +010101010101 +010001010100 +010001010101 +010101010101 +010101010101 +010101010101 +010101000011 +010101000011 +010101000100 +010101010101 +010101000100 +010000100001 +010000110010 +010000110010 +001100100001 +010101000011 +010101010110 +010101100110 +010101100110 +010101010100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010101010101 +010101010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101010101 +010101000100 +010101000100 +010101010100 +010101010100 +010101000100 +010101000100 +010101000011 +010101010100 +010101010100 +010101010100 +010001000100 +010101000100 +010101000100 +010001000100 +010001000100 +010101010100 +010101000100 +010101010100 +010101000100 +010101010101 +010101010101 +010101010101 +010101010100 +010101010100 +010101000100 +010101010100 +010001010101 +010101010101 +010101010101 +010001000100 +010001000100 +010001000011 +001100100001 +001100100001 +010001000100 +010001000011 +010001000100 +010001000011 +010001000100 +010101000100 +010001000011 +010001000011 +010000110010 +010001000011 +010000110010 +010000100001 +001100100001 +001100100001 +001100100001 +010000110010 +010101000100 +010001000100 +010101010101 +010101010101 +010001010101 +010101010101 +010001010101 +010101010101 +010101010101 +010001000100 +010001000100 +010000110011 +001100100001 +001100100001 +001000010000 +001000010000 +001100100001 +001100100001 +010000110011 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001010100 +010101010100 +010001000011 +010001000100 +010101010101 +010001000100 +010001000100 +010101000100 +010001000100 +010001010101 +010001000100 +001100100010 +001000010000 +010000110010 +010000110011 +010000110011 +010001000011 +010000110010 +010000110011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010101010100 +010001000100 +010101010100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000011 +010001000011 +010000110010 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010000110011 +001100110011 +001100110011 +010001000100 +010001000100 +010001000011 +001100100001 +001100100010 +010000110011 +001100100010 +010000110011 +010001000100 +010001000100 +010001000100 +010001010101 +010001010101 +010001000101 +010001000100 +010001000011 +001100110011 +001100110010 +010001000011 +010001010101 +010001000100 +010000110011 +010000110011 +001100110010 +001100100001 +001100100001 +001100110010 +001100100010 +010000110011 +001100110011 +010001000100 +001100110010 +001100100010 +010000110011 +000100100010 +000100010001 +000100010001 +000100010010 +000100010001 +000100010001 +001000100010 +001000110011 +001000110011 +001000100010 +001000100010 +000100010010 +000100100010 +001000100011 +001000100010 +001000100010 +000100010010 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100000000 +000000000000 +000100000000 +001000100010 +000100010001 +000100010001 +001000010001 +000100010001 +000100010001 +000100010001 +001000100010 +001100110011 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000000000000 +000100010001 +000100100001 +000100000000 +001000010001 +001100100010 +001100100001 +001000100001 +010000110010 +010000110010 +010000110010 +001100100010 +001100110010 +010000110010 +001100110010 +010000110010 +001100100001 +010000110010 +001100110001 +001100100001 +001100100001 +001100100001 +010000110010 +001100100001 +001000010000 +001100100001 +010000110010 +010000110010 +010000110010 +001100110010 +001000100001 +000100010000 +000000000000 +000000000000 +000000010000 +000000000000 +001000100010 +010001010101 +010000110011 +010101000011 +010101010101 +010101010101 +010101010101 +010000110011 +001100100010 +010000110011 +010001000011 +010001000101 +010001000101 +010001000101 +000100010001 +000000000000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100100010 +000100100010 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010001 +000000010010 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +010000110001 +010000110001 +010100110010 +010000110010 +010001000100 +010001010101 +010001000101 +010001000100 +010001000100 +010001000101 +010001010101 +010101010101 +010101000100 +010101000100 +010001000100 +010101000100 +010101000100 +010000110010 +010101000011 +010000110010 +010001000011 +010101000100 +010001010101 +010101010110 +010101010110 +001100110011 +000100010001 +010001000101 +010101010101 +010101010101 +010101010101 +010001000100 +010001000100 +010001000100 +010001010101 +010101010101 +010101010101 +010101010101 +010101010101 +010101000100 +010001000100 +010101010101 +010101010100 +010001000100 +010101000100 +010101000100 +010101010101 +010001010101 +010101000100 +010001000100 +010001000100 +010001000011 +010101000100 +010101000100 +010001000100 +010101000100 +010101010100 +010101010100 +010101010100 +010101010101 +010101000100 +010101010100 +010101010101 +010001010100 +010101000100 +010001000100 +010001010101 +010001000100 +010001000100 +010101000100 +010101000100 +010101000011 +010001000011 +010001000100 +010001000011 +010000110011 +010001000100 +010000110011 +001100110010 +001100100010 +001100100010 +010000110010 +010001000011 +010000110010 +010000110010 +010000100001 +010000100010 +001100100010 +010001000100 +010101010101 +010001010101 +010001010101 +010101010100 +010001010101 +010101010101 +010001000100 +001100110010 +010001000011 +010001000011 +010001000011 +010001000100 +001100110010 +001000010001 +001000010000 +001000010000 +001100100001 +001100100001 +001100100010 +010001000100 +010001000011 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +001100110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +010001010101 +010001000100 +010000110010 +001000010000 +001100100010 +001100100010 +001100100010 +010000110010 +010000110011 +010001000011 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010001000011 +010001000100 +010001000011 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010000110011 +001100110011 +010000110011 +001100110011 +010001000011 +001100110011 +001100100010 +010000110011 +010001000011 +001100110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001010101 +010001010101 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010000110011 +010001000100 +010001000011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +001100110010 +001000100001 +010000110011 +010001000011 +001100110010 +001100100010 +001100100010 +001100110010 +000100010001 +000100010001 +000100010010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +000100100010 +001000100010 +001000100011 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100000000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +001000100010 +001000100010 +001000100001 +001000010001 +000100010001 +000100010001 +001000100010 +001100110011 +001100110011 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010001 +001000100010 +001000100010 +000100010000 +000100010001 +001000100010 +000100010001 +000100010001 +001000010001 +001000100001 +000100010000 +001000010001 +001100100001 +001100100010 +001000100010 +001000100001 +001100100010 +001100110010 +010000110010 +001100100010 +010000110010 +001100100001 +001100100001 +001000100001 +001000010000 +001100100001 +001100100001 +001100100001 +001000010001 +001000100001 +001100100001 +010000110010 +010000110010 +010000110010 +010000110010 +001100100001 +001000010001 +000100010000 +000000000000 +001000100010 +010001000101 +010000110011 +010000110010 +010001000011 +010101010100 +010101000100 +010001000100 +001100110011 +001100110011 +001100110011 +010001000101 +010001000101 +010001000100 +000100010001 +000000010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000000010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010001 +000000010010 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100000000 +001100100001 +010000100000 +001100100001 +001100100000 +010000110001 +010000110011 +010001000100 +010001000100 +010001000101 +010001000101 +010001010101 +010001010101 +010001000100 +010001000100 +010001000101 +010001000100 +010101000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001010101 +010001000101 +010001010101 +010001010101 +010001000100 +010001000100 +010001010101 +010001010110 +010101010110 +010101010110 +010101100110 +010101010110 +010101010101 +010001000011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001010101 +010001000101 +010001000100 +010001000100 +010001000100 +010101000100 +010101000100 +010001010101 +010001010101 +010101000100 +010001000100 +010001000011 +010001000100 +010001010100 +010001010100 +010001000100 +010001000100 +010001000011 +010101000100 +010101000100 +010101000100 +010001000100 +010001000100 +010001010101 +010101000100 +010001000100 +010001010101 +010101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010000110010 +010000110010 +010000110010 +001100100001 +010000100001 +001100100001 +010000110010 +001100100001 +010000100010 +001100110010 +010101000100 +010001000100 +010101010100 +010001000100 +010001000100 +010001010101 +010001010101 +010101010101 +001100100010 +001100100001 +001100100010 +010001000100 +010001010100 +010001000100 +001000010001 +001000010000 +001000010000 +001100100001 +001100100001 +001100010000 +010000110010 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +010000110011 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +010001000100 +010001000011 +001100110011 +001100110011 +001100110010 +010000110011 +001100110011 +010001000011 +001100110011 +010001000100 +010001000100 +010001000101 +010001000100 +010000110011 +010001000100 +010001010101 +010001010101 +010001010101 +010001000101 +010001000100 +010001010101 +010001010101 +010001000011 +001100100001 +001100110010 +010000110011 +010000110011 +001100110010 +010000110010 +010000110010 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001010101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010000110011 +010000110011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +001100110010 +001100100010 +001100110010 +001100110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +001100110011 +010001000011 +001100110010 +001100110010 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +001100110011 +001100110010 +001100110011 +001100110010 +001100100010 +001100100010 +001100100010 +000100010001 +000100010001 +000100100010 +000100100010 +000100100010 +001000100010 +001000100010 +001000100010 +001000100011 +001000100011 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010000 +000100010000 +000100000000 +000100010001 +000100010001 +000100010000 +000100010001 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +001000100010 +001000100010 +001000010001 +000100010001 +001000100001 +001000100010 +001000100010 +001000100010 +000100010000 +000100010001 +000100100010 +001000100010 +001000100010 +000100010000 +000100010000 +000100010001 +001000100010 +000100010001 +000100010000 +000100010001 +001000100010 +001000100010 +000100100010 +000100010001 +000100010001 +000100010000 +000000000000 +000100010000 +000100010000 +001000100001 +001000100001 +001100100001 +010000110010 +001100100001 +001100100010 +001100100001 +010000110010 +010000110010 +001100110010 +001000010001 +001000010000 +001100100001 +010000110010 +001100110010 +010000110010 +010000110010 +010000110010 +010001000011 +010000110010 +010000110010 +010101000011 +010101000011 +010001000011 +001000100001 +001000100010 +010001000101 +010000110010 +010000110010 +010000110010 +010101000100 +010001010101 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +010001000101 +010001000100 +000100100001 +000000010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000100010010 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100100001 +001100100001 +000000000000 +010000100000 +010000100000 +001100100001 +001100100001 +010000110010 +010001000100 +010001000100 +010001000101 +010001000100 +010001000101 +010001000101 +010001000101 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010001000101 +010001010101 +010001010110 +010101010110 +010101010110 +010101010110 +010101010101 +010101010101 +010101010100 +010001000011 +010000110010 +010000110010 +010001000011 +010001000100 +010001000011 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001010101 +010001000100 +010001010100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010000110010 +001100100010 +001100100001 +001100100001 +001100100001 +001100100001 +001100100010 +001100110010 +010000110011 +010000110011 +010001000100 +010001000101 +010001000100 +010001000101 +010000110011 +001000010001 +001100110010 +010001000100 +010001000100 +010001000011 +001000010000 +001000010000 +001100010000 +001000010001 +001000010000 +001100010000 +001000010001 +001100110010 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +001100110011 +001100110011 +010000110011 +010001000100 +001100110011 +001100110011 +010001000100 +010001000100 +010001000011 +010001000011 +010000110011 +010001000100 +010001010101 +010001010101 +010001000101 +010001000011 +010001000100 +010001000100 +010001000100 +010000110011 +010000110011 +010000110011 +010001000011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010000110011 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +001101000011 +001100110100 +010001000100 +001100110011 +001100110010 +001100100010 +001100100010 +001100100010 +001100110010 +010001000011 +001100110011 +010001000011 +010001000101 +010001000100 +010001000011 +010001000011 +010000110011 +001100110010 +010000110010 +010000110011 +001100110010 +010000110011 +001100110010 +010000110010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110011 +001100110010 +001100100001 +001100110010 +001100110010 +001100110011 +001100110011 +001100100001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +000100100010 +001000100010 +001000100010 +001000100011 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100000000 +000100010000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +001000100010 +000100010000 +000100000000 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000100000000 +000100000000 +000000000000 +000100000000 +000100000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010000 +000100010001 +000100010001 +000100010001 +001000100001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010000 +001000010010 +001000100011 +001000100011 +001000100010 +000100010001 +000100010001 +000100010010 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +001100110011 +000100010001 +000100010001 +000100010001 +000000000000 +000000000000 +000000000000 +000100010001 +010000110011 +010000110010 +010001000011 +010000110011 +001100100001 +001100100001 +001100110010 +001100100001 +001100100001 +001100100001 +001100100010 +010000110011 +010101000011 +010101000100 +010101000011 +010000110011 +001100110010 +010000110010 +010001000011 +010000110010 +010101000011 +010001000011 +010000110010 +010101000011 +010001000100 +010001000100 +001100110010 +010000110010 +010000110011 +010001000100 +010001000100 +010000110011 +001100110011 +001100110011 +001101000100 +001101000100 +010001000100 +010001000100 +000100010001 +000000010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000000010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100100001 +000100010000 +001000010000 +010100110000 +010000100000 +010000100000 +010000100000 +010100110001 +010000110001 +001100100001 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001010101 +010001010101 +010001000101 +010001000101 +010001000101 +010001000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001010101 +010001010101 +010001010101 +010101010101 +010101010101 +010001010100 +010101000100 +010001000100 +010001000100 +010001000011 +001100110010 +001100110010 +010000110010 +010000110010 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110010 +010000110010 +010000110010 +001100100001 +001100010001 +010000110010 +010001000011 +001100110010 +001100100001 +001100100010 +010001000100 +010001000100 +010001000100 +010001000100 +001100100010 +010001000011 +010001000100 +010001000100 +010001000011 +001100110010 +001100100001 +001000010000 +001000010000 +001000010001 +001100010001 +001000010000 +000100010000 +001100110010 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +001100110011 +010001000100 +010001000011 +001101000011 +001100110010 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +010001000100 +001100110011 +001100110011 +010001000100 +010000110011 +010001000011 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001010101 +010001000100 +010000110011 +010000110011 +010000110011 +001100110010 +001100110010 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +001100110011 +001100110100 +010001000100 +010001000011 +001100110011 +010001000100 +010001000100 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001000100 +010001000100 +010000110011 +010001000100 +001101000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +010001000100 +010000110011 +010000110011 +010001000100 +010001000101 +010001000100 +010001000100 +010001000100 +001100110011 +010000110011 +010000110011 +010000110011 +001100110011 +001100110010 +010000110011 +001100100010 +001100100010 +001100100010 +001100110010 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001101000100 +001101000100 +001100110011 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100010010 +000100010010 +001000100010 +000100100010 +000100010010 +000100010001 +000100010001 +000000010001 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010001 +000100000000 +000100000000 +000100010000 +000100010000 +000100000000 +000100010001 +000100010001 +000100000000 +000100000000 +000100000000 +000000000000 +000000000000 +000000000000 +000100000000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010000 +000100010001 +001000100011 +001000100010 +000100010001 +000100010001 +000100010010 +001000100011 +000100010010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +001000010001 +001100100010 +001100100010 +001000100001 +001000010001 +001000100001 +001100100010 +001100100010 +001100100010 +010000110010 +010001000011 +010101000011 +010101000011 +010001000011 +001100110010 +001000100001 +001100100010 +010000110010 +010101000011 +010001000011 +010001000011 +010000110011 +010000110011 +001100110011 +010000110010 +010000110010 +010000110011 +010001000011 +010001000100 +001100110010 +001100100010 +001100110011 +001101000100 +001101000100 +010001000100 +010001000100 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010000 +000100010000 +000100100000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000010001 +000000000001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +001100100001 +000100010000 +010000110001 +010000110000 +010000100001 +010000100000 +010100110001 +010100110001 +001100010000 +010000100001 +010100110001 +010000110001 +010000110010 +001100110011 +001101000100 +010001000100 +010001000100 +010001000100 +010001000101 +001101000101 +010001000101 +001101000011 +010001000100 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000011 +010000110010 +001100100001 +001100100001 +001100110010 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010001000011 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +010000110011 +010000110010 +001100100010 +001100100010 +010001000011 +010001000100 +010001000011 +010000110011 +010000110011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010000110011 +001100100001 +001100010001 +001100010000 +001000010000 +001000010000 +001000010001 +001100100010 +001100110011 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110011 +001101000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110100 +010001000100 +010001000100 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000101 +010001000100 +010001000100 +010001000011 +010000110011 +010001000011 +010000110011 +001100110010 +010000110011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001101000100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001101000100 +001100110011 +001100110011 +001101000100 +010001000101 +010001000100 +001101000100 +010001000100 +010001000100 +001100110011 +010000110011 +010000110011 +001100110011 +001100110011 +010000110011 +001100110011 +001100100010 +001100110011 +001100110011 +001100110010 +001100110010 +001100110010 +001100100010 +001100110010 +001101000100 +001101000100 +001101000100 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010010 +001000100010 +001000100010 +001000100010 +001000100010 +001000100010 +000100100010 +000100100010 +001000100010 +001000100010 +000100010010 +000100010010 +000100010001 +000000000000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010000 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000100010000 +000100000000 +000100010000 +000100010001 +000100010001 +000100000000 +000100010001 +000100010001 +000100010000 +000100010000 +000100010000 +000100010000 +000100000000 +000100000000 +000100000000 +000100000000 +000100010000 +000100010000 +000000000000 +000100000000 +000100010001 +000100010001 +000100010000 +000100010001 +000100010001 +000100010000 +000100000000 +000100000000 +000100010001 +001000100001 +001000100010 +000100010001 +000100010000 +001000010001 +001000100010 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100010001 +000100000000 +000100010001 +001000100010 +000100010001 +000100010001 +000100010001 +000100010001 +000100010000 +000000000000 +000000000000 +000100000000 +000000000000 +000100010000 +000100010001 +001000100001 +001000100001 +001100100010 +001000100001 +001100110010 +010101000011 +010000110010 +010000110010 +010000110011 +010000110010 +010000110010 +001100100010 +001000100001 +001100110010 +010000110010 +010000110011 +010001000011 +001100110010 +001100110011 +010000110011 +010000110010 +010000110010 +010000110010 +010000110011 +010000110011 +001100110010 +001100110010 +001100110010 +001100110011 +001101000100 +001101000100 +010000110100 +001000100001 +000100010000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +000100010000 +000000010000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000001 +000100010001 +000000010001 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000000000000 +000100010000 +000100010000 +001000010000 +010000110001 +010000100000 +010000100000 +010100110001 +010101000001 +001100100000 +001000010000 +001100100000 +010100110000 +010000110001 +000100010000 +000000010001 +001000100010 +001100110011 +001101000100 +001101000100 +001101000100 +010001000100 +001101000011 +001101000011 +010001000100 +010001000101 +001101000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001000101 +010001010101 +010001010101 +010001000101 +010001000101 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +001000010001 +000100000000 +001100100001 +010000110010 +001100110001 +001100110010 +010000110011 +010001000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110011 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000100 +010001000100 +010001000011 +010001000011 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010000110011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010000110011 +010001000100 +010001000011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000011 +010001000011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +010000110010 +001100100010 +001100100001 +001100010001 +001000010000 +001100100001 +001100100010 +010000110011 +010001000011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010000110011 +010001000100 +010001000100 +001100110011 +010001000100 +010001000100 +001100110100 +001100110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110100 +010001000100 +010001000100 +001100110011 +001100100010 +010000110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001101000100 +001101000100 +010001000011 +010001000100 +010001000100 +010001000100 +010001000101 +010001000101 +010001000100 +010001000100 +001100110011 +001100110010 +001100110011 +010001000011 +010000110011 +010000110011 +001100110011 +010000110011 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000011 +001100110011 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010000110011 +001100110011 +010000110100 +001100110100 +010000110100 +010001000100 +010000110011 +010000110011 +010001000100 +010001000100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +010001000100 +001101000100 +001101000100 +010001000100 +001101000100 +010001000100 +001101000100 +010001000100 +001100110011 +001100110011 +001100110100 +001101000100 +001100110011 +001100110011 +001100110100 +001101000100 +010001000100 +010001000100 +010001000100 +010001000100 +001100110011 +010001000011 +010000110011 +001100110011 +001100110011 +001100110011 +001100110011 +001100110010 +001100110011 +001100110011 +001100100010 +001100110010 +001100100010 +001100110011 +001100110011 +001101000100 +001101000100 +001101000100 diff --git a/tools/birds.jpg b/tools/birds.jpg new file mode 100644 index 0000000000000000000000000000000000000000..bc293b3452761fbc0c85b72d6cf623fb4ec401a4 GIT binary patch literal 275514 zcmbTccT^K=7d}cyno<&lP^1ZjCMA)s2m}ZaN*WMQ>5@=1AfQptp$nl%XhJAbl8}nD zAgCxP9U}+{A|gi-Q9$J=q6a}UUH;Xkj$ zlzlwmo?_zSVq)TZo7jI|h`EdHlaY~?k=`dOD=R0rPhJtAq^O{vsG+KM0H6&ztfLLm z($Y1wG}hHOH_*~Du>+f1SwkR@!^RFS_BPIzwh){DK15tjPEJulQC&$%-9}GK&*uO0 z_|Kr2%06kNth%JQzLe|$nKMqSJ*S)D~m~p zOG-*eNlHsgN$pu*-McR)r6R4WXMIveEhth}p9rwYqBQR_aO)fbBA@@`g*c1XiW@qo<=a7ZXFIwm&mY&@QjdLb=6wOB`zlUzhLd{{}-_T2QHO8ToO`Jl2Wq&#U(D0u{R}E zq@?w%WmHcF$wm^@^lh^C0o*9fokMa4w#dJMQ7KR54;n&VANl-WX#W%0|2tsW|G$v^ zU%>uvT#I6glHz-dC#fQKLhK9P=1Y z>C*>}><24X?RpR03cBGPswQ({wx8$z=tb-jhg^4q?SKc8SLGR)oD0wwCtF#r>gZdq zGdIgBPY-4E51SYlqvrJ;fHTYS2PDb?pF^W;ayjx$+Ng?ms9WEJ_J%^0@e$HjjzN4| zqLKiYEwdWg7o(vo!fVw3nl(#b5no69NxGO2y`i!8MMu@4c(T!UE}TCx}oak3^4 z?*MJA-A5b(qv_6Mtf@)2^a~^T9bS=AXv+!f$?xrH{z^D^3Y1`Xf3c3|ek%X+ zlM41&nrg`-=&jQyU;jGQlIFDel$%z=D3*BG(}r=;P`!pueCk({O}*KDp)q-0w3dG5pLIRE|jb@u0x@9$P2D?FVww75aDyf6Fa}GT;f%u-I1( z0b+VS*{D9H{qS!y)h`pTqvt!{e!|rb{OW42G3wa4+zE-1obSeAJ%^xx@|YN^MbxLr zQ(pWDcDuis+wKh@++aD?+g%?y+D;4A5gIy?i}E9Ym{X+-MGYzjvDBnqI5jQH~3ijd4o}cQGfUjx7+NiOjU*s zyjgfvs)=x9aEJBB(`nqKBFysJ4udiI){`}$uw1s`N~DgZ8xOcXzDm$qg-Qwj`gkOH z$2o)SnHoN0m;sH`C{P#J3$J>tw_kHRC$kjCTv}GtA#~Ke-NeL|MTjOz^44&QfLJ}q zye+i!+;9~=78KrIqx%!O8VQf%=#_=8TS&ckfW@HtuiVw3NCE~bAIHFzczP?D(A3-F zn3RY0;HJ3|D$7ypx)~F_lB01~#eXM5^&5F{N zKd{XiIf>DY^Msrp`>PR_wWoXmKP<8-i zK|WWPQ!g?d56{oXr=nrw+VAb$=Wi{xT++xmPurH|#SJ|F7^K;~iTW70tHE14fO8%S zS=+fr3b+l`c`j>k?DlossW`0~bt7k5(@YuhV)HeE&hoIM8CMbnQ-3?(M(2+?)?;JJ}+X&Y%qe`e-Y>!`@ z!NB2ogMOwj8a9F%iF$sI`1JZa_%FKt&HIEp`w?uqz}W0S<#Pls@Q+{N2&~`LjWtS1 zNa#LoLPgBN%ZlLhj>5x>?f$;h;cC>9DAhrhcRWF%^8JIJ!Y!XPn}N-S(1|VNF6}!9 z*+;c|!1w-bTC$VkG_UDRoaey7J8edC+G6wmTLakF^A8r=A(PKHk%k|fgkYuNkN*+t z^*p}gZq)r-loIu}b3VsOXD7pG?4)ITfIFHde0g^sUe@?^W|XC83%^zW9V)r(;6mvA z1g41=VAox)liWUB7Meb!Em>pBj}*k{?y#cn$4}$3*gdr$J<2FgUu?pN)tm<}E}iC& zT#L8})n7X1IFE(QyieY-)ja`?44)pj4pn>Ny@`prvCJ}4>udkLBRR39ec-qQxlzf>`bt~N`W+@rjGyoyu_Wf1fzz@3o5rn_5WkrJ5nHA| zwsfC~c@}(_#DTZ}fS!L7nEu=JOiy``wQ*?J_ynmh`uy*n>7G6pe7HHu`MBQUWlq8^)?w1UiKF2f9NLu`mxYCU(_)bTs!6@Ip3Rj)JH|&tFf6L{1B!! z-;@^4U4ySvQ+AK7y+}huMbD8#gAz&-G?pW{h zpF$4T`nT!n8HWG_0wecRL~weWyt8(m(C)okl7QQ|J_=`Jq*h20{s%~9_AZ;0Yr%sW z&_A?KX_klx!ADw~$6LA$gerGMd3R9D%({c`nNqL5vw%ZeezH$tfPE${WU>D zRR+N%-GX2MN!8+6(o@$Ffd=EL>j8l!zP1%2_Tect8E@;#wZz-Pjz|KicsdOtmQTgw zO~e^BFmF5~Qym5&R{-)e4MvQ>|Fi=3T?e4XTQVI+XVreTv?7kUpH0GF9XOx?X!&GA zB0n5i$h**E_C3J>^>h&F_AEe2c(#xIBe%2XEFF%W*`DtD*soB!BU)H5G?X+8dFF)O zJipW4>ecUAauO0!#j#1VkOLjuO?d2ll3oX|t-5$C?Vd^0$Ju*-jikT-+&|c2I_IYrx8@RCMJrT@|GpyY9DefN&nDpXKwhsItIgU_`I*s_9H1US6J) zNA8oL{OyST(9)E)RyM2NO69AsG@q=P<>?MG6MGEg3!j*#nBMCtvpHek+*U-+WV}I| zYhlR_$EPiVP5};4l3)MIuHS=#1VN8!$q`DvfH*RA#FX$NXW2 z4qk48eA@gMF+^Im+f4Q?B7Cz+wXBeDFJ;4*T{8sf%1egqeb869 z)3=XslvY2VV${8nKGpPK)4%?$wmKc~&BZ=bewpf?gt^ z%{R?#-`)$b$|i`oloNEKV>KhPe{+-|s=h;RwKQ2Xt7@p+)OnKK?{XS?q=0qvl;@fI zW(p{gs4`RdLEe1m0a5FkOn2j}M(IPS-7Yn1o@auL;F8a_!T9b+EN^9%NsXyys&3J0eXGC^DRZIlvU{S&4YX_uyi<7P_X5Es4?grkaYuw@|u6 z9DSAf`shH@50AMXDF*-%6=b4)+puIW;Y$8|wy8C%5>#d)(fo3of&!%V z@#!n=N8Lc6S!sw|7Q4%OhdG?zFc!7#Z5`Ns9S4)n2QR~?eX1wD<2Eqo_ooIN{6M%c z_q`Mjs9IRmvuzx0z69SOtX3a6ltax8x%(59p#YSdXac*4b0!$xPNKe^Z|dW$w}~W; zPS-JB7+I|zbicQbM7~%EJJ|6d*jvd*SEnjg8C4@@8ZvA8al{h*_!3>BE3Qyp+7dj7 zDxl@g3?b!JY>`}#Z){zd1}g}R+4m7CPL_*e3)#|8{EJT5V3`)`Hd=Fcr4ke@)sDDx z<0=eh^a;a-->+BlkoIYzXB+y|fC}U*(Iur%Oaz_+fo?Rs!=p)96xfak4YWq+6@ABV z>M|F;(QtmTnY)lzqJ1m%pt6t-9!ihRBn-!~alwL0DDzy%d;rc=wbD z0`5igkOxY?GQ#)sxkg}*E4Q{V8oTuJaG~N?hj3NnP|r)y$T-P?xM~PTkHHS{H!2!u zaJ+?syIY7j##{h;d&T|-=XaM1zAr+4WkTQael9tHG*VUD|utL)nCemgW3ToN1P$xa+ zqHW+L%v0bA3yUxD`1})_bdW|KEpL3-LZ}1S-v{3(?l5ZyHV%@?j*gue2rG?9Q1?Pr{<d`j`nHQ+Blw4%$u!Xy)~Qf^q;<@d4I*H zaBb&1{U=7ytHRp5^D2FYJFSA_{E9+6Rc*Q4aUL@=rM2x}?pMn9j1y=zpSLr#!*xr& zEm-TSX%MAM9T_neTkD()@r)U{aO@kR+n)PTReL1$6rbO<>s~4}QK)&Fe0%T!?y+O{ z@INn4S$2z|qogl=VvgD+23@wcW%quTQ=h_C9@m@x{H)qyn-dKsDSWqIoo9QV<%DfE z7wmEfb3vg*!6j|p&uj;%2=7=pxjKiGoYgP0VsStZ-#eBjglg(@{~HR20YNaa|1*yO z7*u}~brhVr94L$9SghP4xmz9t$jEg0xAcz^F5Uv(osr1{%0K>Z&}B%zr%54aB!Xa4 zWHEP`MoXqVz7473ssyQIl+8#)mCeriio1$4DiCLe>A;ukv#B=7VwTcanS z$u^sV&}4EHDt^oOvqa73JN-(j$nC0pLS;F;l)>_2_%m*FchX{)CS%Qq=j2szzDbmz zdr~^0{dEwGNlTeC?AnFjcUED zaV~$RE^6{3ceCKwXR?2tE8Dp9CNR{E0Q7^C`$2Ktd_l4&;T}KPV+UZqmA(@sY#i8#}gc!AJ2RoUYaUukd^yZJoQmOOPg=PW_nL61#|Jq{iR zQ?do*nfwI`DsFPk7kOtDH9ii#5IPrg+q9=22cnWR5^q9Jlc5-(e^gL^+sFm6AxMZ| z@ogbmoDw+ZtnLo|uf@Zr36KE&3(@`>u@lV2e8Gjo-}*`Cpqh`jgw*6YL`3{uC7B(lzN)DK zMWArho;K=##)Xx~_Bi#3ZvXHqIF$UJI|~AK`YUH@7^?HCYR7Y;zJB3dqX-tH~WH(E$v9vdopO+yMEAg&565KrC zX~{v37aa);M=u`GZy#=Ihn;OCp(*1VR!WCDvHi)g(>oyMv_uPDy%Ygk>-q|{B$ar~ zxr()Y3JQ%{U;krvjkBni0y?TYhHF1#%nRNwLT1CcZ_O%O!aUpV5;2&9w(6CtDOb{* zkQkIhttEi8$CxaMIBE+`7BFk%R}KM228svjv8vcT2E^C+HgftWdj_={lK*K$lVt+P zseU6=L*}het4v1B!qdIuapzBi@vee{QRz`pjbOL^U+=8+6UgdB6f?x_Ipi%=&Uvmj!b$1d-;G&Lepx!Y-LE!$r%K`nz1gD+UIZ|GiMWFTd{nz=Mb2g?6MAf;xr#X#V_MWj>c;-n(uyZvv}r`pgwsu zUX8MxXPr{_AVGaIaNyZMOkKrhQ0>BZ#+nwRqi(vjq4*6C;Ut zij-_qv3G+}Ij8^RSj7?M?ujz8?SdUHr`~H!IMzOT)@pa-ZO1y=Hx;XULx#Pmc3HD` za}Ibr;;lLsNNZZ@)je;8Pjq?m%=g})BvgbG44k~>(AmHEh`QPH^Jz~i4py*^UPX!k zcf-?I$A$O#O+{$Txt?3R!|`WuV%@OdLkgR|?{4wLqeyOp7;Jx#P=rsDI{mfX>Cj@J zDeXHUhZnQ7@xFhTBl8pY>NP9eJZYIQS-Z=*dGDEwKdAr3$B0gQ!tl+&I2Sx&B$fO7 z#gX5?@VEqrF~RA?qna7GncfGHr3oO%(m%ZPNBa+T)u8xauF{_EUi%?V3uRuNtzvR9|lU@f{`Zt?#VxbjO3WUZmos zqr6mPg72>l?&8evokI%RVW&*C&}$DcTj7tX&kmEiE%}WPpk`Tf(`@FnAT-$V_8DqF zo*y;*ugD+aL7A`U(^g!(b%Mk`&i->C{KM_s?`*+^tAu1H&AE--|A+yBkKri+BohU# zWxTlI4ugu`#7P$hrsBR8ivi1QOoeJm_7G33tU`l1$^`f4qI5KUNWu5pbsqjnKsSVT zM=Xt*J2VZfpNpAmsr>Xx*#EM+IVM3*oq0qy1K=@CzO49-^FHzj3pP`piZSYanL}Ps z$xeYCt<3#m>X1BzOgC9{^R#iqKKA_*)kKEMW?|KYQP zeGmC(vO1)r)oFrQvzEWg?^og0bOE1H8lB6WX-ioAY-jz^)W!#Hn&c;s;`ryhjaZpx zRXqPTVp3~da@F~fFDtEi*;=CfDxY73@{az{o2E9meX2#Fb?R;2?ob3ilYl$PK5Bju z7dK61Y|DVNvLeZ)rx53wb~1sCfj%wKcn7wsRSLTyKW0|fUjp&KTzq4csD}G8M44>~ zJ9pEbJJ85@LOO7}fQY4v2jrWJW98%cfu33)#`|;5=`(?k{(#<2(}6{gADj4R9*QZY zA_k^{yvj_f9m`d%Z2fDpP0hLZj8q$sDQq51>BbfCHI!||##UHi5k zT??Hlx2x^Fwv(^WmJ8a1OTYa@2d@Z|n$HE5$55ldOG-Y6pt_|+@*_nVV=}zmNi+L@ zTX`O{hjF-SD)h?h#Y%6b7;Z@;KMs5#6<%3;rJfuOBJ{%aG@zQ*U$yqpWomCzN$ub- zl*cY!P)9FHP!oq@@&cnCj%+CSwo*y1J3EC?`8;3O7HN9J0JFw5S)p=9{94IHz{g@i zjd%nkh2ZfV6338fDIvHj1skZA{aT<|P>bu)mj&)v%kSo>YEGdol0|c>0Jr4?$)C4p z`w#O|BJe|2- z<7^fue8Qk;wE)@WYetek=dzgFb6tuqz4h ztj-1D@sXT$bD0{|v2Mt8o~BmyGc|J%s?Xz30OpJ?3+2Dk=2Db*WnNRcq!ose&%|=$ z$^th9Oz$#{lLRV<_ktIaD4!hYPYC0;#~B0tr$ynV&x~tw)M@tgh+rmjK?reLl4DrY z1y)q$(~mTHMtHcu4u~(X_0iORFOhvOa3Pb5kM!~fmw3BX1a$|Jgoy~n%aX_Nbpkm5ifB{uwx&jiBmCGfxMJ8H`QF}tv~m!7 zfKtLC0UiRxdE>KO*D9dSl$B-!Kqfd9(L{BNKv+@b7!`hQt4|Sa;(lUUsNovMM+OBp z91>dKLJ->N?9`4!-j=3F*9c%oC)R< zN7b_yia!JdTx1oAffp$1D=n(8c4(9h3E{P9Pp1}H+~w0+Y21!~=r)IOmXOfu)!QWQ zhcKR9I-W=sD<;FDct|TIF3Z+uelyvDS8(*=X80XzS>Bo3m7fJp3eoJ|fg!E=j|@)7 z0cu|j-C*EU>FaAGy0R1fnbq-~qu;)rAot~5Sne6U^Cv2J67l5kgf~BhJI}6te}LQm ztJmHI;c)KEd?{9Itfl?li2me6g(bE5xk9eqQ}gpz*gyhgaGo9$&?KjLmn) z_jR`>1zrCPD%h~tNYIEe97Fk@7Y9lHZ-Z<90n#Q`5!LELng$?<4fbe+oyBB%5@8>Qrto6$~D8*s7 zBsw(y9ISjqPYhkWO3pv>#5CRCtl{*S7m|C8`XFk7ZA0!REQ~mwQGx!U_J^@%d^#}5 zP~Uk@Cq?GszneWPosro;kf!Y<%wayN`khgCg=c3`XUnlHzY29`H4;`x@K!#g(xOGPJabz25{S~e* zz~Y#iQ0fX&y~7dRFF9b)q0jnYh;>F~tx*4^!nhh;!8-o6iHwaxBA*jekW!5`!E@_P&s!yM_k>l&B0X;rNbB zwQ-X$a$P~#(edwW4z(!FWgioE;fN&l;-+`2e!VoxW2sh#ki-Y1MS2oi0ltZGlh)*$ zNnW}mV3=y1!3F^aR3F`-cymM9?$3C*JR3sS)z`rvpV9IAmTH|2uDCg(UYI)0%|xJW zwXmO#c?N?YKZl;Cm6eOMV-u*OSS=HQ9Ynq^WcJNaTTb9Rz~8AzsSW(ApJ+3r1i0Yh z52T%sD_*@gC{p?MYzv{PlblzB?#l0uKr8-3r*tS`;?}BPO=xs4wh$zdYA~)|?KkEN zp0m*MQd4#IjWh^IN!RVu5bJ4HIL78uTk9*42k5Y|OwBbVYE@?1ID5DXdhTR0!F7@( zyano5=_4 zf)S88Z=LLw;2kG&y8FUtU!SZGZ~77`2^dWK4qT~kYQV+`Zlr~ zcrYqgQSJ>DzgArVY;jY^TT?FqqL``%i(vT`nm!fZ)bY@sxo{bsUlR{3_>4$4btjkP z$%#D_zWl0!Fd#?8r~iodzDhWz&V1p(_9*WeZLvAa(}Xi@KoQ=DJKDf9oG;)>;l8Rt zZMNEX*4yr&vs9UQ+_;P_j%E4=dM){RgxP7Rgf-4>wet&H!#N8Rvk(Bd;SfYYQ=>QG z1D@FtvhJ8Lo}-uXfCs{LA3_h3JA0NYF@(@>bf7O1vLA`Dfbj}Qao5}z-q@So@hS=y zR^)neBtZO-j}3kV-`6B9L&Gu$+p^HP{^>9!MPF~QO&-gqW1$!R`rOT~!HQ94MPl|0K ztd8b*hmb^PoJqoSdx5{Gk`lWLJWwk@T`ncfVUtNui6GrI>J*g>wncqfsVUHKWrk;= zeEwl0;qXrRX~coRL#$M}wbg$8j2rBq?_XlgmTCq}2-ng~ z2!56!N+V#XGU1fSsk$MHNgHoz$V)Yul@x&2{kHsCu4J2rWh{vHwTsv~U`o}jhjgfa)J-IVnIBnq#py>UsK0|;m{>t&W$PRD^ z^E`W%T>s9Q;24(@?ses{&IK7RY6DE$pnq72t%p*=W7%JkXC-(x=HbYF>>Ih#(4#fd z*#5+|Yf-KJg(v>v9NWq?<&W=nfg_Ci!@3>cbkeq}Hbb|+m<|~yVQy>sRWN(T#ll zy}EdQl|U^WsH?C@J6A=7ujMRL#tnEj*wy_D5E*smyOn0e1jvq~)&-*!2S)4u9gPXd zW2<$KnpV{x_5$B~N!-<_0}iZ=GBCrpv~?|#93z#?o}H-h&no>f8Gs7;7@fYqD&7(n zczf?RFFHDK0ro!CH$~&kG!X5+eSy;yEv3#27HPvzO)e(sashDt!I@1wQL^GT)+izw}A) z3ZvV3mhtN~<{t#wZ;V{(Ew{pb_$bNyML^`KXZC(M$uJjP2hN8>vt`oUx0>A{6Eqw9 zaiHa_v`ac!s~E#m0rdv;0lgwDg4_2K%3j|Iw(X|O@d|U@!DGr5W$Bk=xObw^}>&7-`mI6UeYISnPNtwgtFPi`=cwoqsz} zI5gOF-$Ww2pI0|Cl5FY{UUJ`u(OEAV&p!lkH5@TSo!q@FylZ>%x&rRg*{eQnJd<^g zdeHR@;FN`iNc);C`f@2A&cFr>pMLP^QdzDya=J68>LSw3xo_5N&`|X5^6aHmkUP*b z+JTv2<~CTwaag5$9EzFfH>BoFVSt>;R(pVhm->5ca%1>&v5?& zoBI#sJBj<;s3<(cot8*uKce2m>sL%p}`Mo4#_Y?)HjE@z5SU&3v;LE=l zGi4~-HXxen9w^$Q*K1|eJKLU}o_B4qmCvT)HThRctS(Iy8E(wJ= z0U3q5cRXC0JqwwKyj*CKRST-{-{aadinfSoUj$^rzy+`5z_vway4fNYOns!SmC)LG z;Oho-Z)@KXG4#c&rmDF*RhRPI9`!e2&8napQkY&bp3f%035QYgbA98?HWdVah;i6zSfIJH^tDi$EfId%c1=vQUasOHU-gfU^8cz*axVT!nqZz)Mw&(K$ zYn9CMiqw1?cf!&U*7eln{}vnIwPT9%M*UVKcvp+%Vm=dYR0}6Tq zcdD&G7ZP=2nOm4*W@*O(AHj?k(o!yq?2Msj9heQa?n026YP?MSCi!|ZtD{g_rVNC` ziud%l0_M=-cPgQt@iLj_GW3^$`EOS2u=2dA_w{LVNx+m(n^LHYpn7!RzLQ8mengK8^9`;J7Y{S?$cwMETA`rN zX8FuYF4i1Yf-eLOOc6hm`&yws#^ao)Ry%B;wKO|m>#o`H6{D9F+;gckreQJ2-%cww z8l=18jys?H2C`4gfn(jIy&=3{TQUFUOgagDNJIZt(s!mMKzh^5#F&-mxFc{}h`B(& z^Sb2N^A5*n=C_>;IxkP{5@=IHoaQflTyh4o;SNx<40pZmGjHnL-Pw+&MEF1RJ8h5o zJnd4_L&Vt0P&@yjf}!@T=J9p(*>0sqv$$f*2s!`PL#+8YZ2|7GavR+ih zV0QIy+u*2(^$HBE_kd3~2pEnnm|{)@8?|`jTp1?11?RQWvuv!g#SX zn_Iu1Fqr;^n*Z|RCbrsi-ppO&Uk@7}&r57ZbYmZ9T87ZyJ53P$Rr55gvQcBdqp0a! za%!Oae(6w^D}B6jtHk_ZCtK=dU*i0|j(@8vQtA>MUuXuAijw<-us&D#(zjl6u4yLJ zTI{dhG4Z*FYD#KpFkyI21FdG=Z$6CovVLAam-k48N;XO1oAeI0&lNErrQi>~)5e1q z$Y-Am&K{c|?SNOqh71OdHij_>fV|FtkQxx-qneo!bm2CxJ$Q|C1Ys9%Opl#n@xK}b z;ztN+uotu0O&3`8iPz**TBw!a7HgDJPgA?YUF8q-#mmB*mUy31QL+rdLpMTHFwP7~ zMOs7(pEW0iT!8#GRleA^`UCq?kyK%6I%(o8@_`m=jtXO;_oq6sG+t^>9XSUx~Xu-{>Y?O$Py7}xbkv-%7m*=v9AM`S8BTfw?f-$Awr($1h#QD;&mE zk3y@PFMMN#7QQFgylZZG9o20_LMy6+?ku>N9`oB_BaNP!Sksh{0-dK6%wLqv}WIAS{p+YH?}2s+!bJrXyy(70;>9NT?wUAZl=GB(Y7O zits*9Fz`FMSEzdjsAcFOj@^LNHc5@>LHZd~n(j* z&o_M@$<*M>oK@Vx204h+eB&TjJRpV+#i|611&4NIDs-M7;;Nb*$b#*;+2A3;!m&Irmm=I`^ zpQ+)2j7pK1yxbTmc_ZPNw3t%{B$@s83x4uBKwOW25NSa63WgF9JNkUA)DI!~>UxNR z*sO}5(w6^Tc;`xnpv|qZ*3@Vg&4@faqhzLtMCzu;Lo#Q{5msbZ)9|3)qD=BI(mB79 z#e5z>$CYtCr~L#D)irh5GeE!J z2}Ss{0Y)iFAlfT3lSghaluE&eM|rn!x>k7BXK*|{ZC2)xzf7x9JtHfmOmWtV4*1pI zlx}9VR!8ob2&!yCC<0!^FXCOB%pFhcuzvsj`vPjkE!O`;!Jm$1)tv7ox;?fEs8pC9 zR4DcS58TC{BE_?BSzgP)`rF4!M}A)5a5P4VfjBWDb>3UZJGbwpy0D?vwVAdr;baRA zUzj>#=T{?qhBSHd6pIX$cAb>DNFQ9Zr)$dwEp@&t-f3D=OvQ9u+9k*;-Olo;^B4-h zV|gRp7Tekf%-fce1*RDN=|fCvhKEu|&pU}Oy^|&w8^^CSRo*;GLBsvu=9~p8YP3yE z<9vyW{l@*zm5Nvme68A{z0kB^3P#JLG*#0eBMdD{W4}aW0#n7 zMOnph)Gxf)p1$O-&)ZDz#og|I64DqWwH;VgIUN-8-OgfSg6+8@{E`6ne>`t}$1$$K zdj7i0o@_Vvt%xMzTxOJgiAVX>g*>b6aug-j&sBAqCtuyu(+H#X%w&pF0~Y2M=eDEjGyQvOcP;)%2r6pS&GmB!t=TQNP)frTikm zH3nhwu@`CffV*iC%CGNumCAV#ySCH;Dp%9^)xj(~KffpW0I7p(X1eU2B?u3G!;3Gj zjfy&kwRpull-lvd%;dE}&_W{1f# z9uP-UUPV9rCEt*5RxfNGi2p)dyfMj1S`Zu|*5%mAa6S9kn>wRU2tp6}$sg1U=7yKr z0Dz~ufH_?#|Em>#sY1O?QK+}RsSBwh{}I!ix=d*iOJnQ~qLjuBfWaz?t$1dZG0$OD zDM(U~8sK@sE6NA|Q`o-+U+%h3A1Jb71o-qCyrE+YG%5(0GH#|M;4^KLHC61Lj6>8h{$ zENGi~X-n0tI*b014lIu4_y7mFTztx)J?@tCFlzORe5-lgbzG0TM~b&EN>Xd??*k;f z2{k1>R% zi!bLx!h*7V{K2+aRF3(JFknNSNw*&%FLs(#;h;@OiVXLggEvvAc-p9*^PGD9T(_T5 zRjx)Wq#l(@R#&82O^w7((sBF=DU2rbr|6+uJX=%0t{!;-qQhs^Y)C^u=|nfI`mY66 zRgNNvi8y|2h*3kkle@l-(bVq=m%*|0Q(;*)V)!>k73UyLNbe{e$Jw~bIYL$uwd4n? zkh!pyrDO`a(KG_752A`GpyUKHb<}vb3O~cywF=-#;=S3kfPYcyfqHTb6(58hq$ZCR z4Zl;i?K(>JAu^~v5^8>lYgHw7kcsF3NdC=IaDJxRp2Pq8Um_7Nu+^_-x_84p&x7qy z;twfVj8F8x8cigOP(hW@h%9e3Mt<1z8dNt>+DV-@4UjH4MUaugbTtVrD@UR_X#%r$ zQ)epWz)R1K;=RriU}091FpQOfQvPDXNN$sa7oZSEm6RZ=a`;7uHgtl&(H%$ym zaXU&ts2pUbzb%)3-tYifA+82yRSt$o*2%O)lmEn(j39DnUVeQ`%FUrVvC7W-Es}v8A@fz> z(7~3IYsNnx?LI~1|L>D<%#C{&+~%!qN37-~=ekGImL^vz=#{2|ZPagIeMw~JAM<|L zVVi9JwR4XoaY3DuymS6o&oqOpzKozvKfD((ypxgaz)7(!PP33z8bN?o)7gb1p`}4S z=4|pv*1^m2_?*SE$I?2a(jEU%Vqd;ctzN!LS8}%Hr?>X{dP>`DUIgVt`2o63h*vcU zRsNcYD33KEqT!Sa&2aWiIf!@4DshG7;Z0g)^*;}Y#(|Ifl*T>5Q!2=NS=w8AiK^*4 zRcr}2vV$1f_A8zj<{2o)Y1xw~!hf0=(7h~}dy0=)`%`zDHxBJ}S_~yY{$=bHYKDth zORW%dg@Z+sEiGyeKd=AFQGWixVI)b~ech{?Zz?C5*xb<~{38z3{`kRBV1s^x=n%d^ z>9pa)J&~I>MqFcw5oa518>^BN3m!gxuqNRE`SNITb&tp{0)eQO@EBZBX$ z4DFMohlh+>2hwsD+d^fTJe4}oX9-4Ea_bA~3mb_xdhE;B-2xcw6BW6{Nt)-YX_^_S z<@2Pj@KQz=HVJPmWhV*yWT(m1*l}j$iF?d|WmDgm(2sA%IcEEqN%dm3cz zx`nrnqR6v%3QI{FvuD=cfZD=u$UIdHTxt8#>F*V^SRW&kTkckoR27SBCsMo3_KSk* z);2?W`%9iZ^lmM!1b(A=s&58tqJlX4<=U9S(}X30i)r60;rrzsNG(RTQm4}9x{rb| z#rJ&z9vW@ZmIC$$7_2o_O+fxh4ZptP=y=H05c$!*ZB&+2r%)X16YS-b9&1AbrNq(G z045T9vev|Vx5=dy&c_PkN>4TqGJ1DUFVshM*5yw_I4GYSzK8uvzY+Hd^MgI=@@HS_ zIno&Gs)Dr|*42T@8#KJYY4$X`qu^d1R!K9|sXFucQ7TTgi5+kdaTdxVlH|Lxi2)YW z!swy(fKk)aBNn4oYjwT#VKQY_v~r71iTc^9HVLpokYORB*g%F}yd79kf$}hGNKTmP zrLEAGhzQs?>sYWGPJKt(fg@MOR~^F!*|!1wYGia#x7GYrVo>uSkd$w7zkW|}9(!ht z+%cvQ6zy>`)kwz7h33Q#>vwP#X;l;Sjc}?U&}osnOzZhnjNx#hM`k^`7KpRTK>xc^ z9(6g3khS4PkR=E{#rKMzgsKw)37#PZu^cx`X=K)A(XauqzBaxt19;kFDsHdYc@@nH zNm6c6CZPwU^&l}(6;;D!4~4liA`PRm5rN*0K%;3+yuaxu$~MQBN-3IlV6L8;LdMnL zo8n?7_;=6_oM-S+*x3p}GT+lL%^n3aVdz36bA0gm`T01n@evyslhQ#L+^uFCopWne zhs+Z2C}21h)Je=xu0R-N;~81xOAH;8Ctx{&p0!3QoP70&g!?|I28-H~Tm_fZ@WgY8 zh?i)6gm9D~H_m)P_l?jaL=y0jhK*dyjX>d~-_yvYN@^rwFCa1$v5cpCuDsk5&!A3w zYeHt^@%gm9zJXj1p)5Tzh$65uwAeHC$6H+m7n~2J~qns0voF<4zbdC0H4Hh^%Qve@25473Tf(RxZvUrb&K)boP;h*-IC zT$mTBzEXRqidDlnr~_)a(<0Dhc7#-m4Sf*P&N6N|bj4C-wdwu3yxucCbB#Pe89vmGN|)M#@s;NKNaGs_m1Syn%n zzE*pu9>jjw*T<+5I61t5wB+Y|L^B|1X92}Brsl)Q*dcmQ4$@wO7UX(B+tdzd3Fd-n zzIs}yM@SVvV?c;U?L?b2P&wN7enV!BE=z)32%_y3E7euO`v7rS{zEB;q%i6B&Z65I z>{$yvl}~h|TJm`$p)A)ZQwW6AtksOIm2Jaxo#(^{k+YIM2r-H3EZ@bwMtKN>iqC8k zW^I7NS2E2<^tS(Jsf!tXZn^EhktAGn-@rRDI^STx86JehKD2b*CG;xA4K9%Gx9K-i zU1x5;1G3~<8wvU^|CUKW9@I+34U%tnI$SSaYqc&;V`ZJ{6L=0sYzIdJUXCb`17!u? zxoRjWS-w3LJy4+C`&AHJj@2-LwnRu>V8-yLPkK=5K>?@}PwXdT+DfMO=Q9reA&Sxr z9Du3#rIue-DlTsT50?KL)1?VCuhKbDmjC8?Lba2S;ZfmSp<= zZN+^nO)xbzw-7KL7jQ{!+)zYN0YOEZ+!HD%rL3%G+*3kxUmnd|SOmp1wY0{@Ok66; z039uJ%!<;sDK)3r-uL%J^I4T4{~J?&sEM3sIIj-Rbpmjw zNW7h^6#eouCLwivBRch%C;iHI!s|c1?KTqra+T+QJ!_t~>!ec5J!0ll`LHOxyK;Fb z9c-;!196?{CpSWZj)=od0+c|gA0y=*#XdSdZGmh3(yKe%d#{9=d?`z_*Xahcy&tCa z?bVJUSZ>-*B@ z#}0?uUQq(YZnP_dtG8s^5sdSJO&M|CU2)oI>*I4k=Igo1TFMDSx2gSwwLko?Op(_Nd0$F6j7> zKT3lp*DF`W02zm^jjH3C9L+2@6a&FKkg6dX!>LD%L2WV4 zJAaJNc=qqpW(Zt5Xi=m%#K1R2Y*TXKtrk0o_;?6z{iE2UR+ijYBEQ8B z^h301dMN=^2&Cz90Qkq_zLZrOCg@KdMc&zBE?I;ewHZl?zn5%}8#E?TBK#Z!u~L^O zEo5U{pyKoQ%pT;*in0iPDdKi9rOJi*)C+_^vC@pM4#}4w$T}Z!@B{Lt5hJOZ*oz%e z``$(|o)r#~^4fuCx)$VRs{lj4e1LQkyh=oQ@gbS$CaDU!PU4fGX+)Ix$}{?aVL4Gy zMe0M(=*z%%mw}q$D~eu@z?jtci4cgfMmKGBj*?aX?nC_kiKGOZRQ65;biOc@uHqUN z!R6Z+z%#()(2urPBsTmaGZ26@l9;tQM*=D4=`Tax&+djW+{4)n=&W`G6K-4$|yhD{Q+Pu~tI!wHm)bG~D$BSPrh`PCLvwzEe0c;iRcs3zBC;4lV!4XEApGUavce#HNX1P{{=4U zg!w7n`~&Hg7%z(_iMC(X)-1n=zQB*$Wvshfd3XDg2F-KKA|IfeQJ)$B#wGTC+bYve zjQbRu!&6a_3$GwqAnV|M%1aT_xT_FH^mGv0pjG~SwmtK|ephtX|5NF`{O{}kPR3x4 zd@{eAk^Z%#8E}uU4l}yPo(+%=t{RT}dcyndcA!=TjXpo!a)NMER6wdz- zU&W5e`OdxTQy_kn6-Op4cuVccug}Riz21|PUmn%+U*M~Nnev%;w<^7#&tOhlSFg^ai=KNtm3BNM2v3$Ly8eNom z)L9-GrIjntGnv9L0=Cdj!JA3e_gjzJt{Besz002;a5xNH2NZ7$xA6~vO5=)J)Lwd1 z%9_;22kdI)*RD1duEm%iDC_iLkvr~ZV`*L;z8?h#TDG871?D`y*Pt|>G$!`Sd-n9Y zxJ;})qb5EYnR0-4_cD_msDU2QYngMrR6e?PKP;1AyLi?<;cGYU!MlXb)AqkEcULX# z`e_UOF`VXcy7vJ4(XG3WF?(B1r<@Adf6<}kiD%o|Kfz%WE!2faG@;fudEuHs`uvpB`a25x%srir@^UodYik1OuhfL>-1jylN*6JRx6_PmEu?! zJ@%RANb`1i_3V>UPV^!y!qZFet>o6~rg!=fJP`>C@X?LUH{=(NMkoVyjZ z7i}A3!78Uo{k{gx!kF@Kvitw3SY}g~-U<5Q3_(pe(bxR$DDk^?CQM75VC0OdjVz@7 za_`XKS8w|viznDa0}A+gbNeS*bd!mrgi~XD`$fS(YIN9h2)(XZ96V=VsFa4B z?SV;8n%xA>{6%3bNIV*g!4wU=&AZ!hKAaU%{AaX97A=q(yP!(xxw9p=`8U$`7q~8{wigd1nsq*%YhvqfgPGJDQeO{v$NiX z1)7=hYa*Fx9_nX1Kl73b2}0Ef1~&Ks>?_Om02AgyctkAgFeNDrZ?)b8G=_71C z7kzEZLl{ad6m6eLT~d6fZKE!7wMo8Q{#@8*#n=gz{jyLeD&@r?M8c9>SDDvugkJhf zQJh7xLYTgnQQRXm^Y)tl`{n0fz+rpne?#9d?|sC6-Tm1-;B9Jp4SMN`;y3J!eMCH_ zb1(jJ0DHESLnEh+4H!WNZIUX6>PNwcYGvhgH$Qp^`|xjB>JhQ$RXoGq{OKD{WG3vn zBtAZ+@&u83!^?JXwNjNMXQPM<7vDGiXG}bLI3=d2yJE2T?kAeejo9feR(%QWU(Cy; z&ZqH0$+@zy9mLVeeO;TpzpWo-QOcrD^EPVcyJ~FL4SFIReLq`^&)p%ISd#UAk#j(%TMh7+nzqJ|xODchBZJ4IjCW1Xrq#;>vsrUi)3F$HoV-&(W)2yLiq8J(oTh zK8tp?HlQOBN%M{CJ25@g=~*=)1lrFBabmBYu_@@EW179ep<*4|_~UiOjlQeh#ADD+ zaru3pImh}R&I%l3Pb}RJD07YJm_!x!#i1rxJL)9o+y~mz`bkC`vL=lXi`~M^_ZReL zgKoo)#j^mmVpBO-%Q@A%@IEUt`%?Uoq0p~MfGpV$8^cgRqZ>Qk``=i}5&E_v$4MXX zhY!|vR9iHsI{!lTW^t%*yg@dqPW!9R**2Ah4Ld&2`S1?9N@P}@K8UmYWcUFQ$GYMZHK6K%a# z6MvC&LScwwmqT7H!0}{m zxw&C$E@c29L}d~0zskPN&Z2~Vh~h+lPQJblcCbb@zC-tKP}E_w^(6-D9{ab&;b}f0 z%obAKQSx(t=xPuA0YU&>4AY}Ht8_UhX!y=w(TQ(5{oRacKl5CJQsf@9fAI;_&OF1x zmkeYhq=-yosBwV3`SmVW+!OZV#Ecta2HvxiXKO8F=ONg}j;^BXE$QMrZp>PNIK>@T zsR^c7u&s49P_DvTY=+@I&L&slGm3!JnD60`HU);rCXl(B0^e5j;708u-7P^Edv`^6 zve203Z0Zj598K$QDKVCMku4~vsoJ&XI{yn1t(MI6ab+MO);X%dN3)@oikGrxLVbMs zbypxJVeZT`kb$rrq<5H6)Lhg=IA2bgL;M

8?_1J6|~thQy}`A$3JD=X1LLlK<`_gi?0x zxzVD5hmzlaZA)X3Dp@h-`>-w&|7k;Nkp(4CmD~o&-|>ueK=Ez0^H{oQ+*CzioG*cZ zmjuIKnH(U;J#0{Jm@hm{PA(W!xd^C$$Heh5zItdYG7AqniYEEj=&Yzt@Q{OYkl>sL z{XWdlLeb6U6nOIt*o4&D6{gFgKq$qjSwtUO6q;s5^?mI&oK)7ufFD&cI9vRTbUnH) zf4*`BNDSEx^#NVK2kH`im z>@3)&Z3dKwlrkD3UV8cR>ftw7Mv%7Kq}KMujgArK<7ITwNw1}iNJ@mqBOh}tr*OKr zJ{>oTdQZquY{_hSaNBpjZP2P# zp1PAsE`LxY3qf%Hmd6^!2j2bp7V?_l+IB|PY{e1QPJx+#ExX{WT8V1uGnJ-gQX-CP zAJTK8e%bMU?#1*ZW88JVc_8SbyLE-;2bx_>Xt#FZ5aGthDH|do`4Vu;#LV=x@Zm*E z#w9i33?3v*facucB`=Lh2blSCZ;`e=^^ZoXm-OCAoj`8^7kEH$U--)H$76I8_VYKwq>dH$Zki_m8JbrLBUbxT*=JY;blV1YDmiMh0bY5MC%mm^ z<7xf_5dr;U@KAA;l^-K3@)_QwQs0i9|4a+RkVAyiML_BdWHhd{6{Y%+Q>LcmA*=n%xc7r-W}BPl~>S=Ta*trx!6M>pJ{7e#8pBkrBZd^7kfs zZSs!*XZUbWFZ4)zv8P|xE~`E0rva6WNx*7Vt&nE>(_AbNeL(=j)nDpyLvcHLI!mB4 zF_>d@?KruPFplYl(zSa>VAgV?BMF)uauuntF#J{##HFiIafQJd{HV!+P%Xh*XT3DPL`a2(N+Xxf9e*+2m${ z>6j9PssY+61754EQbqI`vIdPGr3mOL?mrAw+dPJ)7nj1Wv|uNa!D&sm73u{(DS?z| zs~6%LIf*n-v9_(PWE9CVxe#+wm=vBx(5jJy5H*BF@_m;dAw7?XgTX9^bhlAj2;&(x z)J%Abt#bJ|T*FK5j?+p8CdXP;&!x^QMSkR4rGJCI}PozEjE1ezwjR49#z zU=-=nRP!iP(Bw*Bzgk%rP%zo}7&|@|fm8*rb9o@RRfEpt8%sRV69j`jBHvkh-d^zc z1kIjvtIUAxYUEe3`^L=6-Wu&-jLC^AGE=dvnCmOAZ`_r`uL*zJn4uaVNbiJMTSQq) z5n$ojs0XzEE)JlHV}Pb(n30Sl7P>_flIt3bP?a=6qY9?{J#%jQxb=^&I(sL+zXHoq z8~W}4XyM#y#bCwp_h%lVdgeiI+1p?GVtC|Rr7E`Xm3Hq=felJ7>hEY1Ug}yY`(FLCH@|n4f&|mwtoaJT~kt)a-b$e3QB#+w@!6 zR2R>?)+nU(lGwn}x zJ^gcQhO2A}L;twH6DqcT)-taiBjKvSd(p2#E6;_o*Kpy)>Oi$J z@Lyu`^QMmDor5KR?p*DL{F}AiT^?TMs!((&{m;TLGc7L0{>DD1AfEhn87BRgH};9- zH_^IT7xn5u?JtK(6g}QnZ-lqK?*>h6rebq+=;HfFH6McJ^G^zfdyoBcXLJAc9V2|v zH?4sKN!fl_yM$}o#jNF{RSE@hzhM3{^WNeW<0VZCqquEwnBIESDbt>&Y>}J1lC|`f z#$R4OooIf=6yS^NVd)2l3Op|Lw`b~L#D=T=;@^Ly#8kik&SL(LoS@oE*TzJTbTE4k zm3ZCdmEZJWMd05?H3SB$TMeb@_(@}?VfL8_&Pg4j?btzO`>;B@(#5vwj~Lz#dr=AO zVJaqA_!uL|GPJ{B8#G7KEQ!vITPoy+soX@U+mU%e9cOkh8>hUHq}m{6eU5{zw(AEXN0b+RsXx1Xl&IfGAOSh|Q$u|fgOEKp z8jd4)(`_kcXSyD1^8HXI@BC+?UNiA!1~>!Pgfo%@jmYslD-AE*bVdMI)n-hTxq^wW zsfydMGg$><;1Sb9dWDk1mrQ%QsQH#h19yeepCayFU+?OUYLk-5%q0Wqp`wf_Q;ByT zX}&Xbtc%|WKIgJw%k|m`t1XLxEtqPJ-`l>sf1q4m&R0Fc`UiAna393~+4VG%7c`tN z>qw3aAV05)2aIs;t*G@ryP@Pz8;Ue8zGm!hci}BX3G*XV7VsguNcxr`J`wPiIcw6m z6aQ#k%!JCJ3F#27x9R?oIj7c3S?jwCC zd*sLL=oR2Gh1{;>b#tDx6JG)~Z?P#7SN!#x*4tgLGn@~TnV?>;<)+mZVt88=6O=JB z^NIL9y&DYWmw)tIb2W(@-xdu-PSFd(FX#5kO!yseNqyjy7z^ld#X5%IEF6r|tEwuS zBDkX9QB!g^nQ~4JNnV5*d7)p(fbk*&Js{^FHisl@tmFxczqQG6BL4?24`^XaLqK^U zZMDV@mg;H`(*YgERZ&JCaH;kRq7=7fk^%96-meq6-3RFpLqio-Y2sA}@ zpXYfo+k9KvT9m5k91BHjDVFydaiIAlR|P85BfLU=S;U`VSfj)^;QK>p9t7ACn5>b+VV z1b|$KY5FDoIy-m|Dg_poHkDiRXeu{Xd$yQ4T?Ffy{+P8ap33oK)&!p3=P~jjbTJF> z9PlapYyLW_w@eX`>B=Gh%W;`R{tBKnxl23gF+nk~{Wor8QO?FDME{(ziJltS<~_Wa zKAo8uv)WcM6LeB&b*!ZjUz3-scy0j4-N0)>E?+;tDDgWo{Vu}&++3XQ`xN@$l=^88 zH)P4H9sJgYpzm`VZpHi(8*XjFjZgFvDL<)+-9Jot(*2$+W93ZkuJS%e$KO96lmGDP zv`^Rhj_u+veGA+(%#o38?%y#&-kHnGR zaCJT7Z-2|TN}TzzC#Il6E{T&4%A`LLk5>N7zG$zze+a3bEII&Tlpj1N_Z^Rza!+w9h!eDd8k4lztVeP|-cxmVtK-XXhaGITa{4C;+mE-bo;2%O$S4 z(FKi_E64>d^aU=7eF9q0I=sbKyOBycpBIV#vH;V21l!C$aAfvdc=JW^UgRgLdTj?j;jMREhGpGQ;Y5 z_&j-7nSK4MhHIXb3WmyB>>qn~UeR)N($}rP!kh0DES=(=oJyzE8c73cXmCGfQzkLR z={|mOWD!#0t>vASg}AxB)^iD$%n^Ur1FPLw8%=Sl zi-=yoRtVceR=q~BAh!;t_XHYM5hUMxalO!EnMiH)=NhuQW(qdsT8(=Dq(s}c(F?v%j`Yqwo-+J7*Z#KGbd$kO9B(2tw9b@=KU{e=B2 z3Ob|`i&G~vCb_Zy3JE92iUS1f6Zk`eGlsgMBs}YB4WN~MTaep3BmDu{syOTYAxzEf zxi(*)tvHu?fSPl|dXsOf0q4JL9nR3<-L(|%$WXClT#kf*8T3GFU(g~+ zGdXa&;RCinl3)}XKxtenH{0YAOVS%0pv^?nw*Ag5f9R4tf0N(%DVb_2pr}RB#jZ

#R#K1;rFDvc>l^i*KR_z>_T*W@F;$#C&ueF$kXdJ9Vamc!E$kLzIsa@f$`Mf{* zw%Mv(O&5f|%Zl3r{-tpY2xy~|?!lT^PO=ELeB0)V%{)#jshY9S_8nT~wSdGS8xsQZ zZw(#$jh9-up*wfei(dBL>*LXjx-D|^G|_PzM|S`5Oj*_4p8X84#w6Kh{S)-MW)3|5{$6e?s~fR&_ue(OUk?y~r=0pO$yjjo(u*kKC~!Q0Favz>eQLKPf?g1O+r1 z{KuWSeTZ9?+vw-DiMlaK_se|W_`Z_M&iXO;!Q7mD%58&zz+50_J7vBofucxMEOl#l zeORuYe6XZ=t404wm5(m9i&^C*u0)rj$f_`bN70v~EN6-}#+2bsCmN>6nqR3ty*_fD z=l6wT#@!U}L{RjP%Avgn$rWqvKb zFmQ(Mc>DudFKG=sbw8~rw^GM3IQ}yJ@W7K3uMurOZF2n*4D;uktReZBWS~hc8GDwx>MB%2vlYa5?l8#gJ*T^Y;r-N?5ayPH1ME1 z5P0ofOpY!i0RQqeYC?Q#(8kL`fyy&)Is;*?h5VF@-C2ktYYDO-#j;wn zsMbzO3q`-jvHJV83wOQW(G>sG;a4_-;6a@;pJI|J@1hgE#R#l+5xq18meST`%%+{! zyIZDZ@ndep?&h1SzdxwAB&MDgFYp*Ac$yUs(-v{q%NDGrVYnT|RIgHv)hUWJ9 zbH}7;bcpeCdC_TMAMymEZ`#93$tAI~ro=AO8sRznu9M_qbs#Y-a+=Szr!}^i^X9J+ zIaF-IkU?Rhh_N^Yq7ti}Cnea4#wGa_J$?C`uum~I2NwDXAKBU3sq6@Z_5bVx_cIKQ!v3clErPtUdQPPcGv*lc>oTAYqlEokK3tYynNSWZY>}JGCJV zCWu`T+hJcJ85za+6G*NiKlUCWV+@v|aHGZtK%W(Yhbe1|s|Hl2>(WBsKe3u;-)YJ>k)Zul@R88*C9(;qd{e3N2Bk0>|1R{D zMkLUd)V7m5f`a=bqhr0DgzJYo*>?vB(~f=e8f`Cu>08HjCgWDFyITG{TPC|`%YNO} z;4u}vr~kzdKZG!MU(yoLulKqz>=U!%)-2!#^m3Bvo_3uJR{~5F^t^E0>U;=qKnh%c2JbOH zw)!zIc}v_MqI(KkX5DwvIp7sVpxjF@=c0=rO6^;WIdfcZ7?=<|b#!b@Y=Uyz4~j-m zzeF2V0fXG*yyTDjYy{>(aRb4wA_rl4;ATlMSUb;diX68^n|=o<>y9E-=%&$UJDQ zk@Z@HC9q(gwFcAe#FDb2p-D-6VIav3D zx`a;3C)(-+eI|wN(?5aY%2rFz9&s1e?`-@AE{b|3rw&BjciP{&HgRF(QpW2(+j|dgb7YRm-G!0rGGk{)&ME$Y+H%_x7Cq86u**T6GM5L& zs8GhhU`hP%Pl1G!Qfw=7#JjB;JkKkTYLo#9#^$|?1-YJYTnHuz4hQ@_`c{g)4Mfe+ z48JVR?pf|gS5{)w_Q9(giO%b$l_mM1(1OZ^_ffQ3GLTxe3Qjx#MkxWD_HtMASkbB# zj0GNa#j9QSRY=8BnF&(^oIuuSBcyMl+fuk`%c z=#O)H80W02JS1+lvajo{32DetC zcJKYw^6iHEawj|=|04U4A`?A}jKni8Ww9dGQ8x3uKvZQOyL^DM3uS^HOOMR0B8Ft) z`E_G07P4xTi-IT2^F%MDR}NV-L0HaMh;hc6YF;UdI*A@LNT|{Xj?yjZu@7Q1q{N#~ zYq7sWE1%jNnnO$r)nj>%`4Ovj-?0O~ag#voXLNjGU17!4$R#Vq+O&5Bbf(nC+Y9E8 zm|4+fg^Z=LhmNQ`P1vB!m?q^RhTk926nyMT9iI*zRgr@&u=ml4@&W&%G(Jq|smo~7 z)ZjTv5a^fwu+~OYOoBX6W~v($p$FQ*^7^hW)81=sC-++)25!9Ai-5IEmJ@x`0}fp& z_-TFkgO?E;*L!~wYs4Nt7D{QC)c~jq_WEjoV|C|tMVHggR^NwYvmIHaH?{@du(k#7 zM@-0wu-v1oB=iQ4x>`w@uhgbB(s)udz%?-XpsW=iyuwblaU?#Y7^2+S{%G9otU>pY5f93<9 zk6SnM6PmaO!NnX*+eNg#98r_yw-%iafaV{4vMLpoR#7a$8`xI19)=!+w3-8t5Gs$5 zC6T!)2XiR~;bzEcfZq8?1OA@em(b`rsv+9x&OW4=HSVSsDMdC?dXU7e@=ceiqW6sG z(E?_F%0?9dl;6DH=GU}t77>m1bki0mmuToCN^yJy*0yux!eLmF{j?}AQSn*Gm6FmT z7!zdcF#&MN+{nEAujt8+pX#gw4{ja0$Rq9jsW*s#>;J!sbxuD)p3j%fLTc8CE2q$- z!XgDuCsgc%F?8VJk!`wB8J$oS0ndNypqJ=N6dV;j-rX6!B3JjN+@=HwC=l^Pv8pI5 zp~l&r_A&Yf(3($uJVVVc#&wn}M zs~8B2`!draOVdxEc^AoO)lv5A}^`WnQk2MGQ0*o zzInS#{d;sVh(E3qIy?f{Lfib9A4Ctmc**7{EAKWx`(Jb~0on`K4M2mvm1~4Fee5jL z{~r1wz_5?1v>o#n?iSNR9_RMeP7*7ST_-8A&fxTtMnFBPk2fsRJ6R=}Kv%~x;bz>8jOPH? zk=-5Cb(d#An*MKdtXK%$2r|#`;%n3_MoCnd8S+ypS8yGAB z)hDV7kSd%wb?vu->4l?)*!(8mJdGDNMgoMXeK^ z^d%*=q6f$Z z{P9!l^b2cXtWEXVT5z2X`uWma0=D2A%ot;nLK;Zs-OfkY^2t7PE?d?$>??VFu@oJc z$zR09wxDL1n*4#{7|Y+Nf?r>&tD_tYOg=3Mw>aWG$)fH5pf9keJv9H(OfR0??*0DA z0TKN8o!6$e%~@H4mK`^L9ndk=qw-`CJ0BeSo?OTIX|N*R{sv=w=#A|DtCz6m{Z7V- zY^4w%wX_U_-Y_&z_=}=pw>S4;|Fh4;-=hZ3$-_aVuI=~@FooE0b2LK0&d{o=2_Gb< z7c6}8*T%XQBbf}Q3b1f~YxJnq>mJ9H4ffmjKfUci?S{M_Ml6cYINv}h2MRdpP1B#E z_)ikLJ`9c?idLbsS6|i#fA467O+!3il6@CcT%3*i@jEuI#Fg^uIA+$Zn+L83OPdB; zLVb+N35nTu*Wy|Ufzmfpl?-4*_6f=mdP}4Tsi$`*`mU>Gf7EUzKdCC}x;c2^1EUp0 zs%>jXhLIVludJ1-GW5@&js2m?jYa4sMRUd?PLqZAg06tMjeJf{*G_ts1dAh_5wOdd zRC0#>2_=sTFRBnkX+X?{?4}dQ5lCe)#O{F-FjvT7Jk{T-BQ) zoULGTSUAkfS}04IV(x@}ui2R!WX`{O8+xBk&~RoH5Bx8?qB5f7D6pULd;QiHw01Gw zfd;Wg21MVyD*7)gI zi~T%I8{&J+2mbqlo>M-FK*2lmm=BpMBHYs4U=6#5%ycI_2Tn5!Cg2@80-)?Ka^LjL z^NZcCI1O^;in8PH5c&L-((L{LB|CT6?;Y$%x7@kmOi!yppMomBwm`SQK}u}Ra9;h& zhiwXsqkNx5(uo=~b6I4z7=nEdxrRy}R_ajCqS+C4Fx)y0I%{DPzqe%CEOtS{YEd{coShhPfU>Y_Ng?`$ zw2xmBf8E+OLgK|S56N`&(PzMnbEAt|qMd+U3Z0a0Qa&Is!eqKjclpQJy%x!KFdtL9 z;^!|JxLL8t$T8ltR^(m8kR*$9ri&Q=WgzV9&m?N1(oq!~_r;(8eu_zB6sDT;>l{Yo zo>j@A&8XRC$2|Wx=t+BOb?s!uC5>rSDNYCax}vsM1NW&-PDHy(+%Gs@&-6{Dh+Gsa z3YJ@@69U}h;V#9%^&9M9YKlCW5zw8lnIZMMCJw|2mFV^47JlM66pf(dSPK zZ)Tcui>6saqKDZ9u2gOy3X{wq>@!y{U?R25xS>nPiCqYV?yHq20IS_X?k}b0<+vex z_*^wMc@VAPak;g2mP@_f(U}H?tNVhDK=3d=CG{!2`17a@ns=6a7v}GogS@{vZU7=K z+Wog0C!2nS$`oU!tDR2xcs6GioYCEjj_%0YC*31#;&Rot$;M%WFVRJH=%NEP(0n0_ zkR&TtlmJAu+Rb#1TvedkW`!J6oRv6d82$r(2Q8HF)y5r3gemlH{u_AZPDrH?{aR4G z+GzM*io`eW`~CsEl6~7x$kXmF`JS0naY>a}-+V)irtY!P%#Tgxm^a~IrqG@fs7gJX zY0<+Gq28_x=vdJ{KUxa|3$f%-KzarJnV>GgcatEfXl6!W6$yi%Y-i@E9*`A7YhQrN z>GA@H^yd2Y`ql_X<01oax^3h)Fz=yS){V{#`^`4zk{`Eunl;lkvR3OFSzQrY?xR=^ z|BFKS?%${TcL%Ag)?;=eEQP_5YvJYxrgxxTjyPR$u7lk0>aBOAQY~UIJbZL7_SAfj zWysOzZPf++%9!K#Cz6fUaK{y&*>b}Igi9CfrYk6~$vj4F;AKC((spPm<}7O{#dgFg zwpyAW`x`#$v`5?1s_B}cQogG+a({%(xMK71z{r2*pRF#6nyBk;Suym*u}7%E%I}l# ztD}>_VVEpeb~iM_hdNaLSq7-2Pvvrc^_5)@YpvT8>cDfcY?+OOnc)98BT%ctr9Fs9 zh#@8Zis((BYJkZXPZacZCFs3TWx~-t=P2Gim#hY(YNNDvnYSEfC$0s2qSxMS?Z!Q4 z=2%b}=VpUT&1U%33?JEicZ#D<&AW(QMg(+n4Wh4F$uj`DxS(>fzfI3fvp^%*sz6zT zbc;Z&cKnVvm03=G4$mk4z*r&cm9e9cFV66uMH44AN9_sjb71SI|1@J|{=$f`C2T&_ZushNaa;A1S0{*BE{d8=fVq5;YP|Fhi0;14#W` z$Zm;46LFGp(R$YH_)F*{Gm#krZ`7vO9z?l;O+G#3zVKf$v;$X%;3^4Eloazu7CEo+ zPR4UduzC4hko|Y~927NU(=}3v39ONjnk<_O*|#HHAzs|)7mwCCJ(=B@?gj&e-;%K2 z45yH~i?a0U2Iv^QAY$mCK}>bNt8iXG&o_wR=h;I)%No4}Y;`Oq=JM(07NM?n)Hy0OanUR4L+L-ZCg~8%NLI~R5%no7M zWePP}?L1;TQ2$!cb`6fY9WJ#SjXAy9ZX55g;U4l=uc=Ha8sD$E+9IaTmBFmN-eHR} zp4~Y&UzBPT+c$IL+P-N>5qso(CF&OeMrTCo%D1Ox?1pHvBGpw%CGE|6LClGSP-gzs zp&g5`9WQTPKgNBaH{G7M$?wd<|4`)N?GHmAbEgJTs{Ughi$MpSA2RktJDwjh^Ifgn zg_Oo7P*1+gr{uFU>Sq+}pEP2YuwH%#lfz7PIoF1q*LAqtNS*vOf-mJ#G(quEKS=7i zTyqNfV|_)cO>tfqKq59)QNzvmQ)ez^a=Ye)lHs&!yNq4QP9^ z15NNzw4!M5>dOaLN(=g>fm527R6e3SR`V1^${Lf2)JkSXGy0)g#Nk>wPH&&5Zayd% zpZKvA8K>IqAH(_Zj@D2W1+(51bQ(qHSGyIU)QT~7o-jS&wBd)BP|(M}^rR4||L7Jt zw+DmJtP~TAVvbnLev}>z-t5BNl|<>DI4kj}JpygyR~k&_>K@&Phswv(yOW^zTjYCe zuMPTA!wlIf*IF5?jUfhJ78I4X7P7LF<-c>9_bI9b{Xvn}Ki^sNQLfI-3OKgKw`r32 z(Sc>fBdiM?Y%3_hejYu)dbL*9pP?9IK*7Sa5(BrHh$c>9;4g^2GXKCZXr7{&h1>nK zC#b5Q(oM~h_*x;`((=YA6M0m(3GyeVKb?Vv|G{kbF=pqwzGalvN1%4|obpMa8(USi zy`M0{wU-APRxrjW?otGLEQ`AamQu__XD}VLBV&@Gva|{U8dYqy6n6VAfWtoFOE#A5 zhPW}M+;a=m5HS%M?(&zce(WaZLyxC(7A*wua}1IBhRVe12kRa`{CG5F_upQ*(L}!5 zKbSi)Pl0HwIVP{{MCgI1v3u_k4;%sQF|Km`ALcrGN>4ue`EPVC+0r+c_IGH7;_?1m zs;Gb8-Nw)etE)VhwWX827av&FLD`TuFlLrrU6aWljlj+0nRO~NJ;DV2Ioe2?Z_FXo zKYSRHpJVhp(gK734HxrCcU2yh_?-FpYyOlIJ=~+B21Pqc~~l`+?dGP`@7$C14Lak8Se9&hR4fXuXzyU-M-+kuKMQ zCRu9#qH*N-w_CJhgAeL;9uZI8$kUdvay|{H@s7qnR~eQj?PK9)%>O0sVQQ!8qrlZf z$7cOqvHBTYtsMs_dGOj^U`*FeEsc+=vQ3IZ;S>(SIe*DqrQ2JmT)i%l;=e}fy^ zBzm?jO-u;lp$7MaI&m;ohb;*S9N({%artBX2`hg zNq5-2xh{B;1gFJ}d@YX-dL{QbSA^fS2h%L!o==D8{6DuesP zx7`&$YsO#N9jS}b7nKEpQ|ALJA56D}I(`v{Em`%3h3NXR6U93(9T~LUHyDxaB?|E) z?>e9H{(oo>?s?@qLE1@m>ivF;N{VZ{ksn%{RsY7C9y(C#j>E<~L@ZwUwTT{j%a0AC zjCRW+0@s-8AM{7To*09k?ekZDn{R8k`uwk2G#-8j^1&_8v(B^)K);PK zN+vXGUt4T>WEl3k6x~txZvW+2dm~g1GmWDtont)Xeaq*KxsxuDjC!L*e#v{JTg*v0 zivpxW@l?ilk>=MnLL@T#1@pO_;68s27sNfYS=~Se4%@Eq< zi-a%WrPErN7pkB@K5%jGXB{u0zA2c?+n(PguOL)Kgrip4T~}(29>Ygg zidQb1!J*rU?nJ!Hga*I$5lRcxunP$40)PYB%t0xM3az9$ift*J=Y7%vaM5B(hEEEh zi+nxuo*ayMoDxF1t*%8J3`65SEBf}pc@uehn( zLZ&ej?1X>`TUW1N;hpq`CB92#+(G9`ekrQlYM79HwnW zq(*mzk*R$R#=u(XC}#GsLw3Z%q=715bt|bwlHgMsZQ;kI+JUJKPptRnB?@Mwr=+px zuNR=Nb2OiERLtv7-gTqB-+G;9mfNQ4#~7SmL>Ykj_M8gR5hQ;zM!rVrdEAgx`-s!h zNQR)2{Mee8IEEOnIS#yNk1;*a)xeD_S6cr#BH6Qns`95T(&485bse92I{fDK9)t55Xkj){EUc7{Y zdME@qh4&wfvZ{_t!MoD56us^OTB4p=8#2XQJFM)HJFT)}T3X~Xr+zsOSHxyg8w4lJ z!(9CXj%?!;u10;F7AAooGNp3I9xqIDdErXKM$8-tD`r<&s=B08MVL<+j%LP|DtbM9 zR@*Ne>zv#6CQmkMTdtkgYB{+P{-rg|Ql=zrofb4-6_9x z*Dd%l^&m;}V|0Ie_G4hvBo?=LWz$MCsQymX@)qlZq}R71Y*KdZ-vF!ly|mW@y+jXr zDro-=dBtfnSFfk|Ip`DJ%tN;mPI1l=cRF3ZH}|F8Rrw(r{UyoEZ?(s`qT?4qxl5bz zIB+6NCy?@_t>Q$Q*mZ1;QTQ}P-R}HmvpOttXH&agZksfBrFA2JTFff--U80X{6rbz z`n*^XbE)Z4t1{pCkjtg8S)(NYC2f^p_gQ+1QE$-4 zbA~Jj*!A6s-^sdfkUehiEw}hixn*!vt~>LjVR(9^`)1;K-!C%Dq^3Ba=A72Yc~LaC zU$QF@7yY{+e2~Oc`li$4=BQRDsLNN06;a2Pq&`e0W-I!vUGJV_*pour=0r#Vb8C7` ze*(J$YKJ`?>iPB5to%sY1qha#{Kt(2^VN&zokc!#V|_e3%XA7f!lA*Z!Th{!zj*31 zO3%?&V5z4M)()A@2`HKK^`mlVSN>#Rzqi~sn9|V#;*bjFui_GA+eqE?xLEE|ta&44 zo54@JiIHWE)rTSa)DWQO5^~8ujq_#(lpYA?;_$8~u2>VfBSx+g@A{%0;iY{hSJJg2 zxFfs8r_n;zDCOMeqkXD8><0Y7-{hXV2cul$1wrWdp{80oVn<|s1LKVOgGMfLQQ}~S zI7HO8#w>6*ah}U&n%;8ySIV#Er{Za_!znp34bbAL5%!;Z_|#t#ZE5Cwqc`2O<4%jx z$qMauYIOsR)6~UAHt#A>`alyfb;E1aoelKAC$vB_QG;4nLG)&nxaumbQ&bg{>qoKF z9FvL580C!gUJJ%`Y+)+O#KDj-1xcW3D=i=;N|1dsc>{2^tt@7+XRxV+Yo2ZIjOk=o zH>MXnK~R!`dMPW**q=hRS*-V8qQg2`=G)z-zL9{ARRAJ?lpa0`|F`O0qk2QA znWyx=<&!j1GJ5h}wB*9a>5IHO?%?8VfqnqqX}dGxD{vdQrA|N zgB}3=F;IIdS(}R~BT{-6+{5)1PR0BYv_`}ZnpUK(ltj!G(+gn%*uszWTeGYiNs*Q|HX7)Fe((J-c6*TaErAguF_Ff_1}y`J?Ho(-X7A&n56}kS(8aR z9hN^unC)<)@2E*gzz50k-+X^E?$#7kZw^cwU|zfoTD6u6VY$!PPvy;*+%p~2AR_p=}EuUfvwKZq{gLQl9jp~^M3?I zw4MWY6lhNWBDSnBv|2^a%iK%_4oPaNTrS-0{yD`(fi)) zEsvahEt$1H!9aY7SpMmYaGY6irC$WD#+zF3wyJR@D|k^l!oA z)@gQ^y&XLqQz+X*CuPIqFT?5u`V`0v=w4|}5EM#H0u4bVi@5Rw&VCO7_zO;RB!YG* zIG%FeQBBAO2i5ic;z~leFC9jt}&mlrea*TX<^#ZB#M9xYeO zY7DnjC$7{&e5Y!^BbffmnBq7#Ti2CO?DVE@>yzr2t2=C8`ZC;#f%woyaic{Rx#PXx z{k~yYWkrbX!iqo+Os_U)TRtcdP{SOYsPBgrPIEa9)NoVj6TZYGq%6(eJV2;2A@5`p z_S2lb=~~25jIy_RQ&N?=DU4QV#{EC*D44O%E zR0e5tnL=GyF@-_fs&LOfq1uEHp}GF0D`&K}!Ze6lp1?68XxxXtNAFVKYU5SHDm5@K zJ{SH0!)e)VLc4sP&LkujwjsHxe+Md^HbBQauLd5fe)tRZVAwft#)G)g%nn|>_bHF< zUyi?ucBkIw-Z20=3$Wb1TI92MG}FP8h)*icYkf!N zYVX0+wak|bVv%{RkKvPe2v!*!h7>rW^l>l%Wl*;f8)x3uB&(JhCt?w%Tt{QeT1)kz z!}evB)6L4n?70(T;raY}xi1(!5Z1UBNsA;6f73lSbDwB%36Bh|q7~K$#RBaxmhhyB z!t(~&ZBA8l&(O9d6&;W(t`M9lzn@VofT=$^lWj^%E!V?cVBU<6plTepLE0bTUaV<_ z`r|CPDG^ZU{q|lG?N%oMw7}0|_S>-@8oENP z4IG97cb7f)_fRy}X&}#KMSQU!0QSjo6!Sl=$ju)~ZVglVw3UdrP2#)3jy`= z7E|A;KQ165O%Xfu94a#(CYzs?{$%R|(AXGSiiX;B&}KI$IL*aar% zxoyK?tKJBXW$GgVC~DP0ZR~$x#ue=Qtp%6SWal>wYDleceyx? z-B#nnxUa7)%X zff@Rg&^%%BoK_ONp7U=mZkIB_^W&&W5%R+8p6w^9=RM+-LE3d-_};XN^3A_hL-I z8c}sCqS$omCeF-Gf&_tFKtn?-iFd3X6E+S8k!Z{O4~8DQ@vwp@{naQD#3LbCyZvN9CR#A=wL{mJ@3t`H~Of|zEv zgMH}XzwYgQ$`&5g7N0OoJ>LEAFp*74fu9A2CTg6yvm0yL_Tvu*xQ6gqv1#0k8wEYc z5j6NiU}6pi-peP=;8%}NYd7a&e{-0_K7JEi5NY_ZEhV<=fdCagkC{9e>{vxh$HU<2 z6wXRZYESLNB>4l8d$1#9bB{HZ1Dx?JCaxN@AhBe zzxsccyFxxoHh|Go_Xv&fO%<%Ygl#gh4gSvZ_MK0bw-X1Ke^9}%v=!8bQ?fhTxwn?} zV0zBZ@sdh^67%5+hTBKtvTX}UdMo=TW$ABIRW{JOLvXsF;a{YL{KtoT4Ck%m^t8yv zh%G1R6ANF!!-$C&;h=5z|B@L$;*@NSY<1>>&eP{5PKb;^uxE(|u65j^?oKzL-PneK z@kp?O6%_}>VfR4&6$H89oQSARL@lVGL@uw!y)trf7*Tt6MSd6QqaN!MIJWdgvD!_N zI%HCh{SU&V>UA0bIeCgZo6byFi-3P5>sA&3`iv8@;=kIUmE(oj^CvPGi#?q`En^F^ zbyL)rzS@U;%V`Evn1x~JkkjwB^!w>4`Y~->k4Kyb0Y#d_U75ho0xjGDAdc%{mKbwV zz3#{rMty-dDKSG`Z`~D|XIv@8m9$v(;80lALau0rJ*<5(Mi)9J(-{n^c0oAX1y-pl z6&StrRWekwYJ!s}T_@>ksDb}|VKj1vKN1Q0I~VIK1f4Oe^H{V^MoQvjr98+~T{L~s zpz8|v&JDvzVyzJ;Wb*^=93xCE&6WNx4}f`qWN`~G@f76UoccnZlm{yAZ#QI`7Yibl z?q0%#;V)uWkcAor7F`?>u#RC&NIEc7h;%(v06Fv-T#=)m4GE#8TR`F8?j;(;KfB%UZ+v_yyEBn1H5k{(q-(5a;Mx<4FVxtz_J*`IQIrEpA^ zX1K?4k!PMycM0eAY%Q&}PkZl&E{7YW1QTQVkGU@nP)qTitlh1Vc5x4jZP@Q-%dYKd z>MYt7X{zvf8>lJ`-Mo}pl(IZv-d7_p8%RE!!t+DNCG%BH_liQv2I1GY6ZhVEm>==@ z*;op=+kyDWM2VtFubZmhd-orIhR?R0vAR(Ov4B@8U_%G!X{fya(s^?MN56_I!W@7EEWywY({@{>y&__z z1Qvp|Rj`)q#Y?8+MqF((tPKY2kG=rzdM_9gq=q1aN3?Z^A)gdacFq-p`+cLH|Do-! zvfQ|5eanb}IAj$7*}w1hPx7n*_ml0wQp->C27fc4TaeSrQ8%d!8SY<h#ObOqySE zOZ^39rgz=a)ZI*Uy{TwDMBq3A>JH6G#S@$!h-lIfg_-=XR)royNUT_=A?%Nf?}-|# zk0vbEKEX9T(y|U*jbvHnwSMB8iMBYp#U?d#Z`mdQon!8*T41Ew|9RM9h2sLvG0s$k zuvwyOX;^CQgI`o#Aywev%>d5zmBPg`4ILfD(3}pAT^vOmI>b%w89C(GR~LiK3}-Gj zZq=@-e&%}za)N$ig&(He>ej#Y@*^&ul-)We(ZI!c(9M0-{o-zgQ|WT{#o7An2rpzk zaT)2d%X2rpPmadCPwJ&r&I^%MNf#ksxp594f1Yi+p#DDyIC;Z+pj;FId)h0*$;QZ# zRZ2>yJ=yu|h`^MgO)MVsU&AZn|Fi{fd;b-dem(^*7o{1OuQ`sjX|K(fzc}$Ew)ef)lde#Thp1q%=yvQcj;yQd%eZgAD>&p3yi2LmCE#1<2($sFB#vbqw+FpcLpFQ0p zBRgQE=?u37Rm+EPkdz4yRI?;{$qo$@c;5<;?Fj9)m8}uB3aW$Q6NW2S&AddmRp}&s z0_VFFKKYGiy28EC3pROzi$wM#lrDIPm08F)7j9yNYQCe4vDn1AR69N;%M=un{SF{6 z^4*-lI%WTrregJ*g>->gy7R~k!fl+P2^Q|{Kb^1YmbkWqFJc>o;KyA^!xP>UdR;u* zUKZ~A8Lr5PpVBNNbI_v6R~Ws;hYQ6_UARf$0f=ZFO6~l25RWEqoAnr$GlZ$Dgh?;>_s2g0(^z&_5mBeyCV{Hk1^LHbzNw z-SQ_ihOE^>H8)u9Yi_zxgwiatgf27h%F6j%)J80ldt1I9!Pa&KFNAYX7`$@haP21T2GdFCJ5?CQ2}rKkJWu?Cx#6gd?9%3ut6%AB1id_kBe(yn-E>v-de~hjy$I#O12Nf>i}5Gu z%W;{u`!gg!k@3w8r>u?;_^|k!61&Bzxxq1ACC@v_JbT?q)ew7(*lJ>?ej3np(XVaG z&D8tJ@fPvnmyG_ssIgPCBig4!u#cC=cLd^D;h%(%!%b^)Paca6Y&B8tL9%ueCtHMqzi* zvTIDTR6%7m$fIGU3>si<5A0zmf?F~C)nK#^+2C=n*;mP{(kkE9YD z1~KcIg#F9cNxqYrxp7s~Fj5jHC^N+pbkN0_9=}Cb97@1#x9zPAdXIR z<*n1&8BxO$)XF*j=1N7tYXpb_tfI!f4~SA}i@S#x`6T@fQ`X!Laie){(KlKm!(XPK zj@$ev+xiG_mcSWa$8EF(OOTD;b8;rMYH_;{OlwLzv!7jeL8zmw(Y+?-yRgGlMk;m- z38Y^}Y2S_7^CY%`;aE^z{`g%G2y;=im*;Wse^R=(EdKJWZs0uiSljnvDE9g>&orlk z-t6GDgJD-Z7>TO1F0`N?v}%%r3{dI7L)B8|4ZF?+nip~)wBarGAy=nT$uWHR#(nqE z9loEXMV*xmG`7CNeURX|X#{@1;_vvnQpbeA4H8GCsYJL^KHl_Ged|H}QQ|KfAS-fy zg+D&pQUl1?6bye*_`R={mr#J)HHz^$Z`+cbrbC=y(ony2>9K?0A1fvpzMthjyp8^h z`+VAc!UboJndhR!^c^X~g{{3GocK>XVT9NUP{JoT`L?WOYZ*~X3yGFLXc75)zxSFybdX?>*SbJQbD%c=CvG10EZFB+~&i&8HUvnWLL zSni(X11>e+1gMp2)ia?k^J_x^?fX*cnRZ8+77TtV9Aob2OVLaO)A6n=g&4S}o;MBH zAkz5WV%5w4=YHgcq#U=KN_|qT8>$tHqEB{4ZfeH%6cGEeL zK2Yl@veq+=xiB%v`N3J;rh(Y%$0b*NA?C6w5cjFqXXW3IPvab6H)bilHa`&&WDUFL zfE%8GcPULLLqON+J7RnIGZK^>(uitGCyXL?#@C29b8bo3a0Qr!Op-(nLCy)XA2I-U z8r-z`t_>BqB*+C-d04pI>wu1S`FhCYAS60c;gct2EHQYAljg{v@pb~YBVKMh;g-8J z++O5-VrmDms|8_*$XNW9qj=NS&&mN+ZIg6W2+-p5MRw%})9Y%lOaH;zO0WeZ3~O&BnQx39QGTm2q!{H6ewB19C=_gdiO)!mO=J2NHjCbL%POm%D z?eVFaj^br>)l&;{;MbNl;;PYzcv)8A|A&)V>ZgUI-gg#hh>*F6T^D{mg!kN!PCL&Q zIVSHfTC)B$B>KdEM>kbE-^HI@ol9iHKcQy{I;L$u!1r^|NB`O70@*glos!-N(kuDC ztE`Hu%Lo}|^8jNB-Qk%fHa)jj|Ztx6I`53VlaIEZxT zUzZcQtYTjcCO=-^MR7GK_z*Udad<7fKVsBYHvCD>iZorLcQ;Szft^J)Ry|kp>84fZ z8nk>Kc#5|Yis?V-QEuvEc0BwRhdMKs@H;s@v+Vah)3+=>+Q}CCWht$0CSB9?u$K=3 z>&44n?S`D)-z+{!OKunZwQ-pm_rjP$4q5u`Tlg}GT|Y4iLt0N75)!z@BWg(hVhcC7 z=rN8%a`pyrSZ!_=i)*7JxB`Nc+`#&Phz;<1Hf-l6(6Dpufg+;9(8kSAtwA1E`fg-t z-f5ImD*;?O8JcVdACcbup?d!~U${w4G7P8gb%X+562z-kJ)GnS0O`Cd@E6n;Pi9X- zDU*qk1o)^gWvkOBcMvt~9(se<_asyh6JI_76}Sp0J%6@X(qWrX5seR4$-^QWGW;rw z!r>=Poc=nX^t9ZSQFH!V^T0db)9+4Ko0vP3P6s#{eLqJ&8uRD5{z=}s9-@Fsp(FLc9_j#Zt0e`b ztS#36%CEVE>y1m*c8xyV9lG*k!tI}HFn2OQ6^K;n)8)iqVqkw;s*AUp7T)*;_gJ8x zm~{5si&5pi)(8$*x)WlWAm|L^K=;(LcL~aXm`RKMEdp19p)={Eplnq{XN!bcW&`*YR`)-NOt%(r0?b&a6;3NdBJnupt5nBdcG{ zNvh_V*8{qXwLif-G@BdIU6&h@L_wgn%2$uC44-aJCk_Qxh8ckQo**rVcLUm*i-#Lr z(Us)`g)!Q5gicAaz1l|BcvJ9n<wrFO{0bs^FszC)KoeyV&Uq%I1hF(7?H;-pHLw97SA7v+Nqep8YT}YZ$fqdC}R_ z;M{Rs|Wf>oUwP08|r>b65Ka$-WYBK5=??V@+mhF?qld%jqFWlRpvhQD3u}a2I~GCLrVrS5>09r zn{p(T6_i0&Jvr?dxW5=4Ty=4H5!%Pl1VxyO{A4?X#bVtGFwgM#NScdWOn_2MP=cCBW%S9KzgPP!@+c&L_JT;0&&7k`Ff4{x*Pk zz{3BST}y#%XqmlU`(&5upL6{e|7ZG?o*i71T&)h@6{bmgq};!9MUWgJ4s7}{)yp>0 zN@N8cBK~20p}Am|k2z4>pm!54@odv~i5SMlnT1=cF_`GS%S&r9@79-<+!tQ@QCl-M z&orYS+P@D_Qs0YqhOE@HjN_svIl9gKQl*)A9}Ga z)@%(oAzY_v4@u9nXt|;-7IsN3bi!)^c}AFcONwmX7^cmit1?eRwtyAiFg%8)=V*T| z1J5jH-2Y-j6m{mu{%SUQ;Wulk{wWl~K)C}8XvPtX6J=aJ( zUk0ImYeX0-a4JpR)V9+$@+8o)(h#-S5=UYe3y#^VZnBuH!@5dmKh9sE;y}y-Y4U7{ zV%HAM2^;k-S%WHZ!Y%fhB&kVT1a@lxo}x#18w@X|^m8JeE!0u*N#GOIzXWmgTqSE? z#z5-Hdl0)oOHB^6w$%(|D{h3JLvk|7ivXmY{*lri-)Mmifh;)MHr$?gCW*CGIL|xM zA7dTu;87a_EY)MRYoUDq6urQ}zeElQvwZUBbAGa(`s<;Mj*u52f&exv)|XyD*Ifj6 zTTm3%q98nsOSNLdgmgh8R(7(3mY`j}Q3D8Y)UE_Qr(lcXbpqL@__@*#k7>-O$wZn} z!pY3wd`P)DXchnk?bB>i%>9g&S`%xTI-MG1#Jw+OL$yM;Sr=CV6$wtX5t1B8ztpk~ zRXQWox&Dzt_zps7+&@lVIcvjBdQ);$kopEkzJ)ngC!jutM}a4&PJH5{erq-Td5=Sd zVvDg3G0c^q1j>Hq)&NND2178{%n>8~c(%=lt*YLs>y(sPLOn(>thnkHEQE^Zo7i|tfcVetHGiHn!%}fC zF5sHZw;p0g9wW9rC`I)q*^hMt)aeGit;|6KS!veF{tMRWI@cSZnWoJEdfbAvj_EYj z&z*_d)3Dg=aaZ-rhV?1gqW!iE_5^n&_9nED%Zf{<{;K#xYkz9Mam=gOoS;R}zWLLh z`R<4x^P;VFA6V-)F;6$EzMr{P_;k?o;E5*>&u5axQ1v6+@&TPeRJ|SMJ^8_#SJPMf z@}~n2rhFj(Z({p=>qEffo1TW=9q-!hitvNv@Q->Y$09vGIci#ICH%}jlitT1OC1RY z7lW1^>kK78TO)ujr_Sf!^8@Ov;HDm2OMdOv zveN!D=l%=Bgb|HxGfPuF#QLsl+cR^VWLoXD>YVG_Yp0hV6zU)fbFA%E2@&pYKgy6- zEcVszO`wiDM)*F_To@M=W_nZ)n9bg#)7TP^!ZM<~7=2gJKtL0C3N&kIy z5I^&soN3PcUgDX0#NDGD$b+9vy5YW?bHSW7pY>_+k<<3z;<}J`2d^y~T?%C|s ze(}%C_9j5@F=@ekSC;R;vR`{yUI#ZCByaiRK^^ef-t+yoo>A=)i|%L^X5>V3RUYfdTnv>gEZEvFj-D9_T~wDu2U~(5$K;Po~eU;+h61ZaQlOZ^{df4+SQ* z|47&LRPMTc;2d}SqDHH=Q!J3yzU9wjsoZDR5B4h{NA%Dyuj{-QICjAq3uZVCDlwBG10W^Q2KKsDiy*^E zjw&I{VI`p-;c}s|>f;vgNt%9fnIJC=HO40s(*X=!!E5>IJO< z){2k{Al7rSDfKq}LALk^vRt>4w2Nj;y*3GT_s7h$kvtg3AFLea7&KrVv3i1XiY5<) zlo(Ydl^5ZZ#EY{{wB&#h6U_ZVS@B61AA>^KnQBkl0iUCo?+JQ_jNiH)_dv(ms>~yI zgqS^%uF;MnHg|)PZ@2Ard~v)FGtXR{mB5_!_|~LhyNh~@@xQ=)nyCf2>mh~sY7h~F z=uflV|1+fr@_w*|>`9`90G5-pj2VM>D(*wh;5 zUV@pWD#k%zey%OPlLyAvf_3gKwS1~3Ty6XVNn`k<6`f(DKy!5 z^N=@x`bsf)kDwz}YO(1+8V17Wd~boPTyapajBEZ3TfZ3DAQq6#z=eGv{TE`JobEKC z*-;1o-Kn%{IW1T69)2z|Ye>oxSC0}q4+uyJM}kcb;k87-;4# z2r+bJRV&G7{ZRRr{|+Uw>nLMEm*?byTBn zORZslXGKs>#EwTDMGiZikYE3fmw*+Dx9XzDcXGUM7#(QjAC--O1zacIeXJgeJCv}c z36p%6c>zSfBXvdX8!K9RZ}k}%IXP}!*H!py-MhpekW0N*#9_<3bR+GVCrY91Qf>5= z6&G%{Q|6XGj$mu<8YBn2!HIy8{;SF6HJWdprMa`d0-nlDm$@WTCF{>aT9yK7^8s(n z@t91SMX$ah(~W!IQwOWZrG+Bl<`V@;@0V_*N2xw!V^7=X;q2*+bGunJK3Oi3n@N9g zJ&z_@uG~1ZiR|GCJxPzyW)Q@q^nhrk&A9S-#Mij<#J(GPgM}_$UdLBHeb?^ZZS87> z&)@c9pd%R8CqJ644v%k+Z(pqXm5a_elWZ4o+)L*Ajyi%)bA)YS6~6k~p3-xtxpLcm z{05B5FeVY9ALK7W?hnETJyFjnkdF4eU}6IHa3rQxi)BVX>`0F=I1YmBG~+l6;Pn+& zH(zU%^jT`E5pKO$>o3wg9pxvJZ$%uA#3G0A4o=Y8F@F&ae<(M&HF#-4uQvZ~+Ig|oxsz&xOv0f`xi#kVwVX0}wnrW+=3LF*!+$@GOWN!I zxnsGXaP;@Un8EMgA5RY?|2~jhGk9!%yWBJNFh$JSS_uRaO3rKFaQ8lCG?yjse;2wx z%_jm`;;Cuy^dK}kK|>z1zszmw^E1_vSn!>i^&d9HzNK?eF7{Hd&P@8B%_032F7v{O z%z_u@BN212PkPkddOVmPgVyT%JJPs$b?cVM^ZA$h*AC7a9QYri716Xg=ZMzg^c$x# zMb>GjpXVz+#K;pO7cr7<3k^Vq%*n#0|IG~9`y~LgVO?{ZaV1 zHKXX8BHKLep3`SJ>iuC#b`wAK{~*NIK7#qF16$rjL8dRwjTPA4gdck28J z8KC=|N#TNW)=A3QalLfPwRrJHK}o-uxSz2sEC!Ec1T`bp2ovg9#)E#^4b6P;QASOEgH?_S-l=a;1FP#A^T-nFVfy z_EyL7#5{MhTPHFN)Q(Slq`0=3o>gTD=|e)z|9slati=u+2t`x4ct$-pX*!{CkzS?t zk~mQ`KhMzvsRQLu4OI&_(-UiwH~}+H3*gY)!m$~i14)%;2W|FNeX4yI34@Zdw0UTi zbbM%~`m&(rg@1l*)Xg3@~o#f z-J%IbR&$icLr#cY^BZ18myDEk1FBee>UC($4R2sy0%*hL>QEy|1+6a2_3)@j{J!f( zwJmS&f|ib(yJqFBHIWsJ=6fr}Or8;FQP_RMDdt%$*i(N)FAx4*_qE%7@l)rfWBgVhaRa62vA5o&OGJ z(r&4KGsk3N9g*jT`Yjm6_{gF{65)%|dQ<5ads?9{aMAiBO<|l#(yw^%=q3H%*0B%N z(Nvry@9+txQ**QhY}8(z(%)_ohHAwl3p47iKM(f8?@WGUniRR@yCVb*A;#q&C|XISSXe z*!Ali-bQU9PR3L9E9%|e?yZJ?I^UW&xNh}D_x6{B;2yl=^}~%es&ZnBu;bW`(tgS4 z$yT1y>WK#bhJAvnxuV{W>zQPLy6lE9LxizR;@*IH=2YGLo?e|<9}cqk(!P%GPhuoZ zGj9&yEDC=*@wPK3vN7t-X0Pq8@;{K-hsd-XlY9%e`Aet2FfT3a$(L7#urke>5>Kwk z#@}=EHZYZm^9L`igLPbY=3-@L9igJTNge<8Ct8}fAOEfiJR6f=}xx~28{VY{H5Ml@Q>S#Z!RpR;UViJDvYX2I`c;=Fipk~9l zeJXq+&zkaS-pO%i*=uZQ z@sxS2R33IQH{z@j;BD)i-OGRHF}jpR&qcOw-Jres4f^5(RHaJPdQW_h1(jx_V^BtGp;8J@_*zYUpV{WNNA z(anN+wjOfHjPZ59eez5)>v4qZpJeRbWmME3&6CY98uXlxR>NPZq@6dd=EKnP>6^x; z^E$6scwx2+R*v?m%cD+T`}yUz^FMkAcl_h#5nA@B{%ha9Us0bF@58ah+JC;?e`>bl ze-`KWWek+{;y`+Uc`o>9#{QEJSGL}*D0?Znx)J~H>>qod=p?~UHE;Z&=Vfd8@b%@p zPtNNcJsKR}br13iCi$@+?A^WMmfUye)XRY4h+$=>ew1l})t56)3G}|rhQettX{CCT z9xIiTsj#^?Tl+6+vG%h;XTBigZ+bW@~6wK>5$zK1%1 zT-wrIRi=3yQTB@^Zfa6Qe4seI=1pzXxM{7rG#N1IX)+DmN*BlAMjZ*;6o`_#-Y48V zw;Acyn$~(BrG4zmb<5twg>;fr_-ptbFjE7xp=N}~TSZ$<;5{@GKqozv2Bvc=iH@e= zN_BOKjuL|Xk&h9k5)+*9VDKZ(2SJ&qu=^FJ55rS|b##bt=3ZuRflatL@i#e+U29rPyqk8`po}xbe>^J{%;?~ zovYzWO>?H=$^mZ6g#*Qb;6N>NgL|81X_h7KtvFJ_fr7bbmYTR%ifFFN+-Ad3+4?>F zpEn#Fynq)R++6qd{d~?7XVjGd_b8(<&tF`HCqe+Ts$?s$MBS8xliU=at@syf){?nW zy4M(&FI-j2^u-{B6GxBv(U1KG2q67o467j6i)$XGLl}0b<;eAxgL(>{mq!Kx>DW_M z1D>FY&3T6!PGfh&B-|~Cl|Akb%8`MgT6(Vj82+@?iepKe_%T(VIH-*A5~Mesn;C4T zft>CL$P8H`H>jc%o8qFscUED<&r0aLz0Ton$?$h~JF)CWnb^2O)Y2tq;UEr^Gi^|%K>i}uLj-Vu;y z$7sgil9j;5kG}ZUVVQbWR$-$1UX&})KGV*ipSE?Vnu^VCs7d{TQ1KeMS zJr8jN_XVGeNu-2D8UNK!JZ)U3nB@RXbS_@8+q0(xv0++Us!jUqFH%w&Tic}vaZ4+S z&ao9|+!FYy$d}6LKIi>yZVC317KBJbElXk8n2ju;C=tyS6;qQ7lPQ7$%*Ajqn1nF& zfq|M8H3)b@tKiu5$cJe^iN%}}m?!8-cWjiOkhmT-;hQa!4I9?#A_-kwEeo2TBLF)4 zT5|aT@dT! z`nw-?o9nbfabn#~wF)%!f~`+#EfW=c&LI=~xavmUhX?}op*M&T*k;9|!7KyqqM%9` z6V56E7Tb(FQmfA}aODXH*_0k)dJ#rDt z=HeCasLOr+GBtI@Yp4N&uXKcx+V$ASjnwEyOnl(wpY@M$Z-ZFuahIiZQcFIxCb>4& zMoSlS_$BYV6nw$XrrM}AIw9O9JjkAs1K0W$VSZMGVaRP43BmV>aLCa{s*`e9v|ZV$ zi22Z0f7Cve9-?w~F3j^nI5lKZycPS@v9Hc&OltGbzC|Cjg`(a#F=Qy1&wn;9o`6&( z^ylxPvX<{!CMd)QUX0>LZ80)o=kq1C8@+7$DL!N8KbB+uzP>ktt2%nT?=KXDX*XmR z%yKmJy|~f6^qk*olh$_my>d9pVA^~ga2+n^D|q0PSr1rRvv&FVm)kzaC@sh`TItRj zbcrnV>~6g}q{|_?8}Fcfuu^FC5zKWth+e_$>?ldxsAz3fT%t&~vz{oftgfnwFga{s zH>{m-^Ud?gtAS*vldo*h`MeCztlqW|LTRq+)*OE>?j+D?QqebKVPJn$s*te?N|6G= z>6bm2+!#?YANA~IrHCEQLy@iaa{WHFx~?nb$Gqs@eWmS(VRBu=h1%7_X!?H7&P*j) zjn}9ehBaV;tN`rb0B&(qG=`_^T!_5PjlA#lgf=_<7$dliWtHK^rV#?6vZU$H0DvLI8)V`8e<`!4v0hG(snR3}$h#2JLZJ zE07WbGrA9X6sw+L_AhC?#*G`KHGDsVg%#dDfJLGb&QRShS$eOLOxmB3o>pS9<*#vm zVqlCR-cOsql?^)FcIaXo0#vh9u(=t9t15jLRpAp)oi2WT$(d($(rIhTZ~f{En&lB@ z==$=tf8PkdO+Y9J#WKa0fAv(yk0*XXtYt|@2uJRZ<7L{vD>fXs>bJh|&j&vbtRK6|0Q#sJ z5HM9^CcXvXTLSxSx~dLT)$b~Yz$v*nOyhE^vV22VqfBJ8)B8}npdC=!(0sV{a9}R6 z)~AY_ZZR%lkogQuqb3r`Qv!mF;r1Ml5EZjbnNa$0aY#?u%E{#5hGrR6>y8cS_=<)M zw)OHW>v+Bj`n_dII;dR}luQRcTM!|R#`a7UaS@DKJbDC&<^vShk~LY&(rnK~p&x}q zt%2PY0S3VEDJ2OI_j$UR6E<}n#X6#ZNZc0@R`Zk2dkNH}ddl{(ff!T*-r$}JyUsFq z)BV+nM0z}A8K^p?>_U&#>_s38+@cl(gbZ+R(2a8bm?>o{W z_O0!d2#DZD=>+AR0U?SAyu`ymSO+%R#6I1wWB+=@Fw&bI8h0?J zTZ6kPNF3|ajoye>o7Np{|AIJYDaS4mBDW?qh!3{sc*f~rXEZ$0Zpq(T%rFwWrK!_* zJrA{>#^4l-;zROxsbaf$l~QgQ2oRgO78D-^){o!E?8S*3g!NlJht`JVS0yPRYprOk z_>{>Y)>EbX>$%J*6!G6QF<4 zGe7OYKWNCwZJT@GwqEkD4*oQ?i%1WSQ}mF4KMp>1uX@2X?eJf`k|JDh+^vqL!qk2g z4GRZvs@=)Gr<@aXZtS_{1@`#un@+p7$~Olr1lWx>S5n%SDHbk zqf-p0fFkyM)G{JSQc}BCiP_$8`;nS0w;qQFE-!q!EFr>w3VOzgi@YG+#8n$;w*ppi`-+V|Mf11b zFGo{%QFFpY;Gz8}a@ue2aL?i|?qB|Vs`m*IzF>pVW(m)T2anj<#Xla{^>6nF>(}=> zDaYE4>Xz0nJzH;!=#93}o(i~fY0sv!{Zc11UlbCOZBuYRf8w2)Y`OVVRI<1ucD%cV zYiOh1j(Hl9!WOFu)upXCh0hg#=lX`tfH!*H&G|hmo9EEnL|?6u{?@fJ;?~A>XBRUa z?hnVyYqm-KL^~&nL}z|+$ocknzsKcxj-=Fmg16iCGgggkc*9i*wx)4iht6Sd=JFFF zB_ZtBA_BNh8#E?o#z5cO*2A$mavU7(aoLq-cJ`gv9m3kS@Lq5-#C=R`8fMigFKIfh zBb;^ixr>=f%etGM=4j|&%ZQi6rMfShsdVr{@3KB$*`18V?pMtt9&o#5P|PsxR!?*V zqhkHiE)=wXd?dc-__(ER&vulNh6P^S3d{SLp`c^uxO0zoZ(3d&ge(wUP)dI(y=T|9 ze*x?>7s&=H*wW9)8CT&8bqu>qB*i>`c-<9oS-7P!Y@u(G6bu^0lf7?EvTKn%8>K7~ zHp;#~*R8AzH@5S#f9ZsK{i@4rS^*Pz&7bw3K)^whsV2PC;f*^`2ro$7c+??3{x&H?!IHf-9O7#F47yvi zAv`uO&MusZp_V-LXK&yaO>;B+N_L$bQ4@Yg&0ul_OWptW*p+Lii)D2|JQWw<%Sw_E zJuqSqT;x(fUCl2$H#SNZjD>skP549q1BBt)TH==zi+);K&L%du<-q(4p<-3Z=uvmPsTY6EiBFb1V7A`$gWk30>$zxIG&JU0qSah zF)||5jt2*{hqs1*U07SVGEmCVQ+sJa`z+tE<~y^ZU0IpjO$GObQ7ZGE?Kr*>$Rz3D z9>&gRpYXjZONbt`MEGo%DU@Sge#8xrn50D8%8OHbyK7)6;il-4u8oqOEMB^A0@Cvk zCLpBz!TQ1%rD>2f)~zAxGV|28iIL!&1g3q#>FtDg9l(^ zK!6WWh`3g*Pa@+t8&>?3a;UjURo+GwYpHNzM(rv8Rdlqi?m6n@F)m!#*WQXP2}*rq zX~12ZWHogIq%>=LS#-H*Rk-!L?@B51u5Hqk(xb8=d+WM>`p)eR)(DLz%n5$5#QBZU zn=3nsbdC3XJvAyQ&i-Hj&_*i9mavXP&K_QL3Vd>;!vsn8&2@}eQI8Di-ovGkRvnE$ z{C2Ol*ar@4dbQThw*?q+WKc0E`8Kn#V;6yNMY|02Vq=b3c9L6$Lcy>?_{8-??oz^o z^O)j*MT-r=XkYin0Eqa0`_Mvhi?Ad3it z^|N=nNb$wB+9;Z65r25(xuVKkoP~3GZ)NopXoAX`O?Id)!3xzASUD39JGT^2w+&WQ z6@8He*W*lkPs5aWAE&qMD}<>Yag27RNwBtihPILgni_8u;MD+Vt_pkTMmN}J|B?{j zLM~V}vq-*Musg%?)3u-gADlq zP4keHy@vy!x|n8f`*UJ88b# zw_mbnDdp>4js3BGmhL2+W;q7t=MfPSiG^ki*1Bn^F7l(?e89Vy(w?tk!z`Yawczvz z%|kEq%1xYyS;BK;QrKjmHS5=GS9#FklCIOE&O?6zbv|>3LLA&)=wI(kn86Vpg$MEp z*67`F>ur|drzCBgIXW0z>q%D8bI2v>Bype0LL06dhsucY?yXio>t|v0W3^UEpSSzu zHzp z0Pr184=Sq#lr+_VbLFNL8Z)iov2fSD{d#K_k+gfG8%2CtwG!G5xJQiIG5knJ{t{uO zK{~1|G{63vhzK&rbREN?AfGKK+1Ym7PXb3CCopz?vUL~k3bIOfR|BRCU?75wr7-_6 z9&b$>jL&MQL#a;{MIqnEtdn1!39E5z?TW<=dc4QoffjN2bA?;wDCH%d11o=kveK*m zpJmR=vrA3KG&o7s)o2M;4TOU$cd8i~7WuvR1oEGRwHm0z)K3Lnz)eq zqxt!0OClVLh^u*TrQo{oP|hOB74Cjw0n;AJW7W4BszedNcf$pn@YqfsPi%ByTPV!* ztQnD?60|kC!3E42;uAqWy>X}(>Ogy>G-+8kHsv)D6wRYhna?0oKL!AorS@TQu3ABm z$c|8MJoa_-6MsjuAf#h1%J7nm6(2`7#K;%&#n$2;aTZIRrtFAcM@{wI1J+GfJYTOW zuuzWIVHRi0Z z4p(?|O3n>tO}XK?CGG9!;|4MnXhbCGvmJMJopY=s%o_j*b<#Mh zEiR>Pk>>yaa5dON2K|^Zdz91mN>;4!L(9Yihs|sbrTi~m2Tqq;L_$%W7rN7ZTp=%8 z`=*pU3tzp6@TAo%6AnhFFz=iSJt>C%gtH8_c7bU5eM%_NZM4e(vz6bsIaMf&+YN|7 zaNK|{Cs`tP+v}6|jdOOx?3Y?3WOH2)2l=wFCvK(-NbV3+1!sss9q|$+FC2@;u-6sQ zqOONp<;`C+8NmgimmH)$>9$lqu(&JM1F%Fy?(?*9(dWq?9l$fC$^(DMVk#Ir z3j;WD$tht|U|Qus4S*Mc2VoToW3B0TT9wz7%$30nWm~#ShGQW?e%>{7_n7KZQ%m`9 zsh4b@LEESKrpL5$;qR+M-LdE>t0UY}7nzv?%UB`}o{dw+iHKuJ|++L)b z%ECc#(9oJ$0MLTKm*yu(11+;}V~shCA*@9dKAN6A zk!)~Lg%~jM<+15tZHw5;iUNOMPDHKe_s8^r;29=4+Uk~LQo z;befTo0Q%iL88OL3})Bib?G6|p+DB~CXk#F(zQmutH zkv~Zq^b#qCbWWFk<5CYD^s6fHsCBs}TW$Fws`iOAlH)bL#VUd?=WV4Zi|n(uBlPfl z{;JpA^b?EP74gKbDvOr^2oKmai%3WX*mj<4`Gm=O=93r9@~i!s{y<;maTJu9qBneoHYg zLVegw7Xi;%tLPTNw9f92k=BK-k%YH?RPF<)6GN`^tAHIc___M9zlL-4lUyOPrLKhJ zLr6F+x1g(W#>z`ho4hx^ZSNqgIGB+~ON{kscSd}#n-nkIXjfCamlnoi(NwpGx&{4M zZ91C?E#w<6#=WO1y68DHxX?-I{>QfY$&-H@Lt)N1z0aZwt|+bu(#lGhdLqiTguJ)+RJx3xqTbwP@c zl1x5~Q!a<8{W}Q;$$K}W9HKmo)a2oc4gTRE9>(Hdj%YjvCbL=^OAA9|+16?yOfHi` zTJ>9=)+2-^w@gKj@{LWFsjv=Ik||z%6ImSWQ~5xg%^F=;KFNTn3lkGYbU$! zB2jc9BcBG_K5)Y4f>y&p(8VKpw|X|dPYQV-+pgwowK26jTOo=n92Ks0u~i$#37(5N zbo_?+@*iLUXLARiywHE#pf1_gUNH17-K(I{As{8c2{Ry0{$Ik2^$f!y#>1qt-D7#_ zDAAS5{ripEwZtNTtYUFYc!g?6}GlsZ(C0FnGXSe0J&+JyQOQXJ9h zZez~|;Gnn8D&6|7f$Voq#0A%VDTy=zd7CHi<@cl*L8Wi=kIs37H`+nrvi~Hc#_UO6}L! z$hU-hBzNLN(0YFpi7$GIU1NOIVkJ?;Kk+oCgIRH$o_%M-?DAi z?|iQ8@m?F6I`-n&tNah(IOW(j_sHOmzuDMw5ye*yG$nD(qt&A_-&hGI`H^e{gU4P{ zn(RI_4A>x}JNmEYOC?~|9{vw*Z0YGUy%v>(eNo3|h&)_F-UU66YMAR(Q_N0uE=<#| zf*INf)$^`C(MRhiN@;v|D<*N0&F&2Q-}mO#(x(gXk-mU<&x8w&&6WNX5tS|f9NIKpub`t)!JCUz z{xS&EZ3tK3UTD1R?^wPcB)pmJf~z5&H^Oag7fxn1lYFJ8JV>TC{7ksKa(OoJH3>Rs z1Is{{Iq@Z?Bf&aYK(#C%4-5K{M_Dgu7{p~~X%|$Rg!A)AoYGTu4$9=kQ-8A^sPlT*v_!-(08osbHppxE%G+M9a%cbg{v`+p%rp)DF=D zYvug1fE%c}v{0zgzLmW8ze98S!(N?GVjOirWZ~fTv2Yb;HW_Edfzm+&;KHtSSSe@@ zjzd>?%-isNfPuKVs;jbQRS2NAJU|<5&-&0R7H~(1c&HVI;V4*Z`ZFi92zsh0pUUd; z5QZ@|G(>)uywZs{c3XNgIKKJ)hYPFxgZAKnT<3??X&=eX#rK*p@?H0$s}j1?Rp|t| z%R&u7oQV5K_qX#NmG*n)e7TNRG9{ZhWlIUCJt6sxVL%Nusa+w_{F^l@PKGYCqs!rV z1NB+um}q5lxfj27*RoJlZUCd_7={?Eq~;#J1#N97h>1Gen%7Z7X#B8qK}$fG;q@s-U7gUv_CWZC;`q9s;|S zlEm>Q3kMNs@28XNSYJ;C714DgPQS39;22?rqC{6!#_HvB6wi?mhX99)Txnqo_DR9R zjRs3)t=j3$S9~+lql5KB$6V=e>;KrQE29HH4W?zI?DEr9v1rcX*7MuzkWG7!l=1ht z#XQA_W&9Pxp>zenXk7R}EhX^x0L8!kITIGr$uL6CF^T_HIUzOldTxJJsCA037g9`m zC7D63aWg;L{zTH^_bg(_Y%o%xMzmP03gdhH4x-_(s)-1 z=5Zova5yX6lx6M@U+@1|?c%~z4gs?Qt&(7GUe3KA%h7Kjb$s3G%KU4)w~CBclMqmM zGtpF$cIQ!ujrd3%8*9y`>S+>BfXBqzs56T=MuvmJ#PX70od};z4%u_>j5b!Z zV_vAKmWIPKxi5yPyG`1eAiOvVM^opjA^bieV-+m!=49QtOTzD~BjEjP2J#Kc7qlwh zGMbunU{(Xf@=ZXaGhaDYF5xx7v7F|aT7>>B7fVroZphzUr?1!EA37D{{XGiHx45ocxCp1$#|w}(4(+# z%Yr3Zjb+ zqJGL3VqaTU>EiNkiJ=;56s`as%JonAT>B3YK%KZpr?r?q9hPq&g8qd_t~Kh+OZVJH zDY6D`B}Cp-#t;OOFAEBiGutW;uw=#Nq%6OtiH_sIG_E;I;3=s!;&Nr(=BxgFInh*8 z{h3}c|L7GR>`X3#e#vjcr9_J3Txp#A&+$f-7IlQ?`}Z7WTRfVmdr`lW4H_}oaFL*= z*-H@(K!r<5aB{7vd_>##s*77o!ETd#?#F7O&YBLcCU?V(ibp#<%?Bf|_?0tw^aa=5NRT)qVrd zxW?4@r=&G{M*lnJUj6M@q8AwZ>~*I6v5tPe)*tH~kB(*V!+*cNZyXsexMzC&2Y3g= zwe-w9k+ft4m^?A*9N7sAvJ!H+&dw~vG*0SZ$$=^UijXO z$TW7r`Mi?%=Lp^3F@N64PCY%6e)L7~k9K{L zzUo|o62_Ztq9^A2pVtXC+c$qL;ANkZ8Xqd-@31Rs;bi1C|AF^@3oRemUnk84O)X7~ zfGur*CwEK{!U5lN*|=iTe?IsMPOl&S`q|=A_7fjLaNayhJqC1Y_Uypy$3mXJqsxB) zH)s8Z?6PxVr^FFXTUk_db_by%rCEN_qV^SIcf@}HCk1@d^czR|$kucl`*c*DmVMk& z-z@&-S{PpPedqyH5UH}GkKP|{-MU`X*^@>E;EaZZ6)#_3p=W=%0{5H>Na0id12Qau zFrlde1AdRI`3Un1vE@JjIXhSB{UtkaPxBbx2e}nm;iMp$YrMrpp4d<7t+*`NQ61XS zd|facJ6=CaE8h}%gY)@tVEW;}wLr(rCjs6415byK_{re7B|w1MY%3vzS8$4+qb!9*oX|f;NK!YUm=$FG-jr-?2H97Rn*kb$K zWxq4bkRo}xLy0e7&wA8Rj#6F!{ylP+lpV*>o@mX?V9;prO+MpcJ=CBfSLY+yXZl}$ znW;a_HQ9jM*Uen2VKYZT7Ct?Xb(p=nrTLQM=hUs%K9O+O^m%ic_dm#29I{vjs`*xc zpQ6NqIf|#e*Y*_&7t z@T^^osO{n?&v$S+xs^=3Q6-i}E8$U^=Mo-z;YoFPl>6ihq89d+R8|}(a!Q$CqgePy ztvmty_=``K+LHrXWucGgDy(6GT5m-^Xd>0D_^wRbr$g2^6hBV8jQltL_$#HX_?kRs z`e487N#EO$QOpR>Tj6!jPHGriN(0bB{F%_lR9sOw6d^pl#cz~_p@(AAB8n)CA}(_{ z8!bH6*uY60CQ}GXE*j>wcGxbu`#%N_FOaTxeyogXlyJ(m?bA;;9ZnF}rk_uT@^Kib z)0?U?If;pw^b`;YU4~BBIKhv>K6x zixM(eZhE)PQ>MHD#+oO*qtghzD9m;5n^C*@xvD`gh^n2r{Jc9=xoybqP7;i`C0M_? zLEqC9m(G2o|Eq(liJ^z)5P-~n3?`M?{xQ?Fb-!b7m2gua%b4afl+n<9oSnI{mMW29 z11}O$>&rKM1Ba%wWNH|b;KaJP`GLwrz1s)=6)n@V{^gIh4c)*Gy#-36>5jFp)(W1Z zZtjp?d;Tefdwt_l$!)v8%*8j;U+lVR7<{JwueA53!)@ADLP>X zvkR$yU(0~LsZ!Nu(1}JWw?SM3FSKxs72HET! zv%7uM(}Go&J!f2A4a;a_o{7c@Ni>XMix+#Zlh^x-(BvnU2s}#3EL0E3ZiZjy$GnNhSD=_!H zQK2XNvoWRjKhapT!rsTxu5W?S5}c?u{wiWY=CbtPkMPgBWe+jzy#-9)$X5bQRWr-G1S?Cl6&LPb0PCpM*145G zIbSO{qTOIg1U8;mAlf2_s8>XC%^S)JR~SA6Gj(#u8r>p!53GeVV%q@`_dR%Nc|BJ* zx(DhK*Gs|IV?8uQfV&ua$;zAClK#SlSPzg8TkM@gmpNkmi&$#&dfE=?vw{Fid93{{ zO8-W+CH0i=M=x9pY>}ETG`yB~G+Y>y*$rx$%4!b4b@KT-m4QnjtZTP;y6khOKD-I%<*de;*>-fpvg(wNLL6d^8glu#>= z&3^)7sW1t;VeEu@_|?B6^aw}kgS!BHWoyY?eq(L(%<9A@D6 zxx;ki@!Q4oQ{$veg?OeRU6=Um@n=Psg=AV@zqr{|plQMPA%FP1|4W*l&EHJoim@X1 z=IArsjE0pPr@@GpW@_NoC`Q;2uVmWAu2HFjxhF=~O>ETn@9t)6OJ9vc4Ae##^S6<5 znldzQW&8(NyV_nGTs08$D=h!FHdQu@bw`0GvqydP*P@-Tr+@f{g@eXh@2Y-@6t*i~ zcM1Z2C9YR#-QPfqC@Sf$x>9ss2Y>gL@yTxC+Yua{wvIzQSXO&|8Rwp7GQtTo;(KOA zqmZJSVq)oofkr5pvNe`L;n)eXo;CP0XLWZ+Zv#&Sl_+Tp(^MXygSR>CxBI@>X}{ zPhL552l;X~SDqm6Ed9pzcXs2JeLC|jxSE?CZoU2DmNm3c(RXM^v1cxC_Kgd!e|n9B zoNT|B?UICqnNnYeWsg2`_wD>$TX(az{qEns-FHto9$fWDv3HnX6&TF^7w&d)VAQi9 z5?r48W(mJBb72N8_@eVc+j69@;W6aX$NvC6BJm0tGJOuq2ZG{wCOs#^yRfD;+^7&uP}KARjN6GOx&YKw?go5M1}so zZ^T>wuIl#t4?yGCk9gP992W+Q_sgY*qAuQ*0-dJf;^n}a@`I#^ zMJ&Og+7mK3z?g;EA8W^uWO2nQ6f~g?6-+n)D6nw6Q;!(x@9bz5SpXrGT{ed!r~D@GQJ^M+)}v}%Qd@1Oad zH~0h3Lri}HaN3ca`CRUiHM);o?Uj`oePvpusgBY<a9~~Ak6V5IJEPI6qAGtxLBqkJmj6Y7Dy+xqT6{r-N52a zt2IxsP~&Y&-VV-OH)(^X2kaId5r)ne^yMFK#uYTSUP8Xx7NMo=nsjQ%^%}p5lAnIY z5GB;`L&b~NX5Qm8SzGD99q^9hNaVc8qD4K1TU^{x6}ToL(?nEgHRnP_ zp^GV38l(&@=SuUla=I6#l7;wDpZ?UelAgb2m~tt1-=lcD`%!v+ zpE@n1=6n2MvgHppc6g+bQ`X$aEn#{B8djO}`Tyq*Cm_J;8_Tv|!d7BX0G()pwho;u zF`!f>&SBm8ino(2*0at}nVuZmmA4IF(?+*#Rj?NjSgTMm6;}BeLpvpNZbnfWmZ2&^ zjSkIhhBbzV4m7Vn+h(xkftXB(TPeHoBvF6?*qRo^K#RPPxdjU%wrvMne`=AE&R7WQ zN5fRE3fg7c9oqnAB|@PBX(IFe6^^`od4ED-k_m$0){J>d=6oDho;q+|YfrmeBL-@o z4;Fw@w}5#jnQrk8F6D>21r>$iaUVT{%kH-?qPg=?p{sBmms{t^Mh~K$X-SEwIKzxy z^rx_yaE`wj`%^334UV>kxN2dquX6Qr0^(M3MCnWg8|)1h^Mes^4)|J=AtiX=qQYEr z02}zt%JVTRW4)L1LXyl^<-eg)7TW>d?OijBr5=M34RP9p>>E%(bsJ(|#~vyM&3CK@ zI2j(%G&(8<-$9q{9+TYs{%yU?5B2cXuN85ncd+tbsd8+zeW#Z>|M+*bZcEsLoBp}^NqOzz)t8<_iE%LLAkt6`nC=Xb3LXWX;c zr0!|y?-lmkft&Le%qVq_NW(+@lmpKaupyyNWA|@9-5^}9zdMbAYA`hdpSSnYFl)K9 zyl};qrVrc-X*uSJ`owaha%y04Pa2~6wN#``YNeC=qw~~nf}$*L$&=;yo2U*&c2VG} zqBje_q#T)bKGW5nyW~2?7-E#fe+k<2EnfuNxK!coV}D@xXTAN-V+gj2)%!1uVM}x$ zDFhbJ#2TAi^(xyN{IFOFIx43Q=8>3ZSD_;hF@NrdXSA~r3_fC)vEqE8h!k&$fWtAc zT&w8*uALco!r;`c2YVd2YCW&z8YhxItVTH&@4zNR|f-}%Mj zbwc@PqfaBX?z#X4M?)HgT-RA(0T&!y-b}v4`|I!@a+>8@hzeh96zKE}`7(^W&lVip z$?x9%_U985VM-N6NDQ6!CGDT+jbt)fAhXu*D{8eV8}HhD&{_KN^sfSw5$*n%+S}Xo z5s7IcOXTcU>3@LeGe;kfbEFUX*-eJb7Y%nLTQHMzzeK)QB`Zt`IGg<{c9~#bTY2mn zf5Ri5h;!?|A@)t9>gWs|saT_Vldb@LHcT2fayy&gJI|jAL|Y(dSQ6-BT})$TF-^e@ z{&{W$sn)ZJH-W3b*>DP44fJ&vYt zb$eO2COboCq%YU?n$(AwpXfg&rL?)-^7e!MbaMIfw90AXLKqMCitTtG}P!&$s_4z>=fE zoxfA~Hsj4NVd-24cXy!s%9Su1p#f&kOenSK)pD@VybTq*~NAY{~CGwb{SJt8I z3Y!qAm6?{y5_I8CM*D;i^wH-I?E_nTGpkPHG~et2rc<)6%Ue6d@RqClX2i`8u9SA# zC(kdZ;L700lz8<4(Fdc?eKI7~&9dR86_jt+pYYmtmN?E!+39dEI_&*1?yWmL6-y80 zoaCv}_l<=#-H!KaxwSI|#zJV>KY`=>2Z?5SZ{!u95HN1Xb_iFhC zp3QFA?x{-pkX8%3Dc5LcEiH!HYa2d;gqekRmw0`%Q{B$%owjN*=V7;;esEL<-N(>6H#wGz^TthQcBbiaYVNKnbG}%_8!m^vVkgU@ zXfxN-wQL_fM@0W6q}OB1h#HHeV2mBzRDT75rN$tD{iKx)b!Y0*T(K)I=pS`;&f&6V zv$!^%iLW0$G`G#k<*qL;SwVd?4+Bx|XmG)+7_3Es_SJz*K(ZM}`f(ezEL~-x#!~w) zLW4HYh%%PT?*8J|clfHP7Q6EqbR?K1k7Az8yPFBX6$dH@X-R)vZYM?j{iLSVSUv-F z!*A8wcB^HNqIS7P6cwW84UxkRJ$?}<))mO1M7|If)I-xCQ)%Il)OM8LcO|rW1;=zx zJkcI5*Jbg^F8>>H*%p{PMXQ5pBgg7o_J8)L+9tv7bhl>Y2Tk`22%+p&1IQhB`D2eAD&}3&+Jgpa8`=0n1GD+Q zq3W_HLxmqFQ)KzaR=HbMo}17NE_JZnU3)wAI()skjx>=ZE>nVoqX{&hM2lst5uK$& zQE3M?(}6loTeaEd?K1LNPy4^qn*^|tcHJmo!pMPLM%#Do8lQyAeWoWz=rsCxr;qUx zL3m?K=sxKjpR_qR{35}pXv~J>ZvS;nwU$EUsuhuThn)Pl%4Wv&B3bsE-j79H^g! zSe}!usJPV5G78ylBg?E0HhnZ(DRp?9BJtSLXU{LvVP}i4@WKARuJO^_y4V^uYuW{V zQ*zHs76_&v|A^w@2c(twHx`9 z2RP1NP;(NlC)FU8`nstrQrxE}j!zR1-3ULo4&>0uf<(O(h0*_n@{n(XJtoiwHBmIk zq$Jw_{~BF~sGhOV{}cPkP@=yfr$zF$rfdZsxU0bS+eI{89@F0?sE-N4Zs|zy|E!BDLZS$D}#D&jTH3}sJf+j?5u5aBCR0NfT3;%m330*bmYg&^=rOR2Cr9& z7zHv)+gbC`C2i8P8YzhRW4nY*lLYmRFpcYhn_TjncX(cKuWXC`Y$3uxBop3J@p&d%(@8rqeXR zBH#8vXh=;HSfuL?OqWUf;AX+Wx5oAUZ3)-MeXa4eQ3|ByGX#f0IzYR!2Od<>RN)a_ zJ*CogN;pPD6WGsZeM3_Jygq>IC1h|LT;ZlAmS{8rg!toqjdvB!D?Ds4ENYFEOwAbn z*(EwW$G`fy(A(q+K{b<(Vi0nn*N&A(uKIYqT#v`jRSZ^t(y1}schq-FcGI& zBnslZINg4oaie`MZKmqwgj}R-eCM?35W3p0?0?cOshTejDR0w2@1*slOtDZ1* zr`Fzrel0#%c0CADFZOm``Z4Ust2NPvf@D@d8z%wsi05`}c_KuI#lHOm13E%#GnX z6D#aRiT)o<;Mt#^D1T1E{Bx1`4C4-sJCgyi5BhC({Y!&$i~v^*H7d1M}>?RJ2e2#>mQq??11P&h;yo?{b&-|GMN7oWC9Dg!brFhhTE*7T}$r<_d1v^j&$Y9^9UiLQe!*V(F#{n^-vDk_KP}UC6LuW1ZxC&ldia&-Fs*xO zuE!G1vrRKsmONJ4O2a3u*_{314&&xDSz_DT!!FJH$k|zb%utKXg#Ku9dWAXjCLaGR zRjkv+XDzf5Ptxtk)m)BWOg2L$ucqD1{y~mcwH|QOAbWt*uUdv4uNql(3`Mg2Ec|xz z%Td4P`e+-n`qNI(6K{@j!gcDrd=&Nk6L5HkycBlW%xI~hZJU4~hOpNH4z& zFjyP>6Q2|K9P1SvHL*!&;ar{%DaGh~dmE2hiO<7!Xa9(t7KB*-D$3KB|zmaOH_CxD1vq?zpaQ*%iCsD=i#!jN57M zn{dxWxqd?$KSMNWd_MBmQqKuF!UN9 z)yCa>RX%+ZkdYtL#m|*SqNfou1}~o5=+X6NRCVg;HPa@W^q5%rv}X*5p$fyzy^;I= z%JC3{SdjyAx-F%&Pg6Rjd3HjloPqSagR9g5AzV@Pb>TtO2}xFWH+^q-x%g-m_PYq{1-lweDY_6B+HJ2sSZjkCGiSxDoh81qAiPNfKh z!41^^0Rln&zTLL9ML=;@LMZ85#+pVhS1_AQRp%92OHdoyx(Ttg=CWrQZYfGBf@Wl- zN5*PDwQb`xUMb{?#JG6_)=gN|YIL^up?lS{s)SsYu1C(7fTYyo*5-URdCx1;Hk^?ex(Y7+R*AAv-cORx6X%n~z$xD(o1l5=s}nXKNPq zBq|8$R_zdEaajiR1B}(UFV#T7s*BW3XmoLvSox?Yx>QrhteG`MSo6BEFSVYbPHRUb zh)907dN#1#TrBP$WNnENPh1+w+;VH4a=SK+Y)hI#X#t?rmtm!`O=qNYQ4`Gp zu^=@iMwq7@ftmzJrlvzxLtxa()kqmL5sHDDp2v!aieeXWG?d^e4lzK+gG)>%oC(DM zHNB~$G~-AHYFLHcif-}Vm4z@0LKl5FH8X7}7!+7!nWQw{ly2sb2nRIaDY>QGDul+r z6?V)HGgKIYYI}J~5sDMkM*M2NUrMuU7~U%~8?k^5YFRjD28lGyV{>-YVQH7AVO$72fyYW<58kT9(L^H;4p)e_F%OPysv4_ecb$t6R; z=DAxb8Zrnq)7W2!0bKJ{&(Kjh?N`I7`E*<`rO++f7TCiCS6?hiD%22Z>K{14^`@4k zv^wBo*!?TZ{8HJJ{cF^(P^<)dSC{yb+G#Eh)z38Nu`5P$w6P4#zgn_vXa40n-pYTp zCQ$XPtZL+}by{Vv_}7ZLd8CIym8&{545~K{)vbAih;S>VC1VE8MCkF4;iXL?HFY~` z{l(g(nBt@RYWq_6Ls9<#W>iiq8&u-}R>R!}kBn9pp!8C2VJXMQn@}TB{a+day^rD;QiYOI~Py%h_tuoQ{2ruw8|-{%wbz1ixYd2zyRx3$QwFUG&nV69HuKuWXQ(U5OYykFkAss>K*Ep zu6I?`S`ia{A1XPgF{ZxwKB_1X&?liaap>q znIKUj8p&vkjmajq?&A(~nx>ZHenG7gGIvE`rYo;X&AZXZB}H}i_Q0+W z6xp=h+=Eo$n3S44@>>az^vzfD=ZNG672MCJ0o2xI#gG8tR&JU!Q!pabt|2%i){VZp zN8UK9*EW54s!421V{Jz(Osl4KmfE_>j%#8Iu)tu~DWm9Dx}DlXX&Vw)0^}Ww^)>1` zc7daKe%)rfo;%y6ZLBUBDn@_YT<7tql~oNGDBn_@ot@RtR)!K#=l6|;U;F6sT5F(c zOjIOsHsA;=D8bLYKKI9#z$!zhd66jKumMTOY>o%vSeKqOyOb4!n0As}AEzxUl({ERk{h$oU`QE2cFgEr@Yzas$&8l!b*M zkw<#55(*g94RC|KAh=WBfMoq?2s9(9?^5t+5eQ7wHz|dygB1nV3ey8PFjnTRHPWh9 zWz?G+HJ5X!>}472Ltu@$rwvrDSyJ9dJaJS$)Xjn^x|H~_GNnDx{iqM-V`ciWE0crPm5!y z0Og6~5ziG+U2Tn#8v(gFrZvEg0d7V`FKCCz%QCl=>=DuZ;gkD0%?J-zEUQst=Vb~;~(JnPrAw`B~`2=|^506ekvK7zYT zON}xzV1g;Ga6p!2OocxA{*~g^Z6ZuQ%IqD7Ja(@^(7a1)rrJer)+1_Xb1bB>=abI| z*A$}L)EY@0oz9nKsoQLp3v1X%{PbU)Kj2+!m%8|KZp>~po7t@82ctGX_Q>ZI*IL}$ z-J)GtxCPfZ2OEd=`c;LLZxSd0vGb>99f@(!mt@qJq<#D$s3teM%##F9Q_C)m4|c88&L73 z-N4yzG&no~2Gs}Lnk9c5*?#%O!zyxiD!BYWuS@CjHcJ76lf^7y1Z6=P92z-%!sn39 zuf?e0Z(#DK9B)kHKTe{xG}+`{8c`yD3C};BYIx(r(Og-{sKF3RsIbqpV|w?`srRlc zM%4bxFiA$}k6&+k^YIikb~@FtG*`ncM%x%p@aU%e6npk-?XxoVgO4+AmjAe@@&E@R&r70YT`VV#aMM6b|jeVyl=py#b$#`Mi+*w{2E z1!)D?m^GVj+Lsk_t3{sGACp$NpyIRUFO;ZaIi(R)_@%`=hbae^X*{wj#wjsH&~lZ^ zkwke0rXwR1Dr2>44N;W05uXB~X?^Ku2enlI=b9Ga$gZyhVC2?QYU6e@QfjJ7oL40% zkV<(qGHo)Vj=n8mDC(2WxEUyHEc-EyK)%T72K>h5nEH8^_e_7gK=2N7MaUj-pI1_H0ZCUZU$=Q&5q|M z6>7sv83e6nqV8zqk|B;en}q<91zt@jG31Pz+qbX^ql&g+RgCdn3LVTGk3ZDxJhePm zF3d!PdK&Mw{0Q3>!Ztj^^{l3nX3%#WI#QZNp}JOPgl|z(-k4|tL?WI~YJeP5!&4-1 z9MsEEElLNqFat^jA)ru@hj1z;YLN0ODFrq(Fq|5Co+=#kQUifV7~_g6GV}+{CL%&} zKu~Hu^yPYK0Vdi)wBXc)iZmUD5ll59IjD|mL?&r=(~)yh>>Fy*xQe9Js3XoQs}5^g z#YP5bQ3UlAN3>@Zr>C*pw2c~+#wu$#u)u*)C1Yi=(A#pATTnkC`c;c=BdWTCe63Q5 zk;>lx0Iedc0h+OQ%%+x@S+-t9Q7qQC916V?lpupt;2c$; zIH+Pq-L3b^0~*ne?Evdsrh+q>{8Cayws`m9t5s_T2+6m<+0<&b+Wqgd9 zh-z*-R-DO-=7-rA&{CzUD}%IE5vm_bU+qt&9LQHXTQaFlznNKan!`<0jyhB~I*wO4 zs^?Y$rRGe>4OPBx?^7k8dlQ;xnayX+XpwzbtYagNIfaf8EOY} z9`NFsZy{cWtZEjrCnf2h>wdiI{jR)|6KI_-f`;^8zaDei9*a*(SA7 zY@TFtyyBWobo2O9RT)hED!TZ3VAy2EK1M!tV0EV=F>!!;*H;IJ0qQFJUL8S$vZ+&S zmoCM9B<+m>u8Bx7j%u_zScqT_l{c2r^sV`HGr1~(QYzxBtMk&P4k~S7lI^B4;L?ZB zdPZaGK*2}NRup`Os)oU-gup2Vs+-iABR5d!YK5r*xvP4O!r=6*5q`1CMtW7$Xo#b& zfrj2HMry#f)j%C;*Y=v8;;}R}?f(GQX16Vm70X&*t4Ib#bXJ!NRC7QhLYhrRXHYuS z4|b!y11vLCWVdx9Z=CZNeX|TcMfO^#(VmQcP0I0;TZyycPvaj`+@IgN}rE4~1A|gpFYgBxTJG#iA#ci?70wtDT-?g${H>#;f^RRc6>&8!hXIZ;T;RPVb*px= zZ(vPYljtQ)buQgpNpY1xd8jS4xf!<-a%*bR1bk!)*|)Qd0n(Q(^<$KhF|G937aW?@ zg3ZCLG>I3msfN){l^OFDQr&{`DNIFsRg)^OdX28oQD982z>dbNO57Zpo?Em&m7hGS zz~IuctYkqe^Y^HZMIB91xC~o^Pys0DO-4^s72fhL_i=46~#Wa?(iMfb<>7=mlQ# zKL;h zux@X*w)U54Cp%PgS#PMX1#&pTk=N^4*B4tCC5Qu&&pEFzR`oQKY}jo{qi#3jr!DJH zKA;G|cXb?P&T;rw43}hN6OU7#w9h?T=PV8|2R&-J8J&&6aPn?YQ``7zXs%<0Np<$l z?2}yc-N;xtT)!9|n51VRgBRz3N4-8kvC#dtHe6+xH$caarAYj&Mjkp{xX% zLlpz3AfDA}XJU9c+sXGHl^nJjM|q%lw(m}4Vtm9&1MDP)ZaF^2x^tk2E?PQ+IZe-OZ$FSI|RoZGGh>z$Q6B%4BvUvGuM~UbMZ?WL3KgW0U<{(VV0G z53jXn*y^_y_cPl=Gd-%#&WoND@-jW~#cV~W$E{n-rC-QIZOmC68>V^h?_Pxo%5hR> zJmna>BbR+lo`#WiG7l|N>mC}p(Pr5sf>`r_B6&%Oqrb&@}I@dLO{<(g&(%y5L!n=rXQ}06= zEMxa;P1QmE&0-EPLHhGnBT?m!DKFE_)22`|c4U4W(w4bij8ru-lB+he@>DT-#p62Ncl1#81j>4mr&y}#6I~Yyix+Hp?Ly< zQq3843ZQN$pr(lpv#=l+Bfo03Wg%&dqi8X&`9E4nWq_|~Zm~qMgMegWGD-gc0ad*mh%SlmcOti)qK^3G1gAAXhTF>l(+#aT0!UjG2^ z3h?D}k_G@Aezogf8r7Rr(P6p(31j`+u6vL1AFW(j5?0jOj^k{m2UZ1}8;9M0L+sT* zm|{7Tk&Uc-=dm?bM~+lk0+2xi1oZy^>r|4+(YKiSWd{!GbHVlcaa2gGM|jD{B!Dm} zBO4exq2y&j0RA-i%?`7U$E;J zcIv>Y$lFNt&3k3rD_gq~M;wvHE5h#_JA?n!y+L&l!gFx1ab(fa>hjJ%Z&0f>+M%9q)J*6k4>ww(q9ySVh{ zk@^1sI>2~|Ju6zY+LKJ^rBO;Lp@LIR64H4`(wECVm5(W}F!3m-2?a&6lWC)rIg8fp z39V=dz^qGrgIbWj1#L+g&6m^yYE%GKM3$ymaa&l%z zdec{>NscR~p2s}*B6Y~kKqCgMF~Buj%)?@?DG?@AyS4KH7_N5bO(Ds}b=);pp4<_T zDM~4dGHAuqG|JK8EX0+xe#a(jbFm7v+>c{I^nv{|=9OklA*EHSP zyfj3jk&hGuO=^QeB59_Tsk8udPFhNGieO5ZsWVXnid@qZL&+3?(+Q*vNr<03R8mkI zG>i$R2!r_QK}oRgr|sjV07S!@a9${3gGvnmHJ800>rP`xIiLwa;)6{bQJO;x(T*tR zJk*IB$lGH^cJxt`Qr(4S#-|kW+*XyhhIPBan|&(n$FGz?{c7wU2-f8%&V?;N?>po4 z88j-9=)u9RhcfsrS1qR5jMrHQ!)v+1k$9|6_aQj_aZ%0i+r*H}%MFuH82hdW1pXPS zS9=p!*3?%)_&gdW3RLk;*l6b?jiZwcD67*b-o}cHm2)|z z=ZbHdY9{GX=bFOBmlg9)-BnTQO~!yGGJDg6p7mTSF~A*bZ%fjab_PM3YAx&y*v$@4 z025Or6Ucs8*!~sWSom%hP*n%zTTu9Y5Pz!ztx;@;CV8|vJ>0*+f$v%ocxrd$iLXVE zMhpBEX*94qRjMd1&peO9++njBWI!mHUtM-Lx{qejl((zY#h zz={;0#dK!PSD@maks$3&LMS#eS4&=@e@dBjv5u9nss}(R!E;xz7&2*t(yO+XKfC%@ zP32^CsNNtuQv;kDXdS9SXcvMjWW%1-43J<`B+F}bBZ{XrsI56bUX@viJYtzF%4>b5 zt4Io*^sR@8usl@>tYnj=4#8$;`OFVmaJejTgH~hGpFHNQCYTqJD>q`bC>c*&8m)79 zQy>Fd-(moL+N*J=2mTro3mjeb^o_sL7oKsGOxcjs?wj}Iu(Og`o z1x;C5?oT*1)twDN`=X^y8GpM?KskXtMnSHg$5eg1)4#D-ISW!Qm{4E}IRluU zO;-c&RS9)-lY>v2PGT!MYX@F}lZ!!d@@lMm)D3*>dejdSspV=|pbj|{hoA|G>eVt3 zM_NN>I~q{~4m;H*CWjuJ8m}aqc+E;;bHJ+8K>g@!sI5co0C%PvfO;CNZQPy-r1B&k zYB`y*ri+&H29vFI`b!}|4RC6*qhwaSj;S;t0xIdvEzIWzwmS>*1ZNe-Y7xlNvm%=4 zAig&s2NilfHaW&h)lSmbm|Zi^B#u=)sip$!+PxB88Z2@FthxHNRecR4kg z{SIqV##xIGkdLijT{#foE-KpE1xx`#Ol_jYt>Hj2MQg#Rj1QW*$AN(KrHN%;jaHj5 zm5#7#Lmg?2sd^g0Uoi2Sm12O( zjntaFEx_$kEFgBJV7WwFmg-GNEk-(3(4##m+jyocnd@#nDSVIzO1Kl|psoi>0Iw8a z8nzSxQJ}%8C;_Z0wyC7}dKi-RZQ`BgniY}D7X@7HC3)kMpTe!=h6D`brEoVI>D2YN z)6ylgQ8vP;K2-|J*~io|{7ppd)VLI*Nh7mMu^X&%lAx23y-wEpXO1eJ!?Ui$QtzB? zUV7J5`L(mNit;ymM3vHH+k=)0;QkD)_*TMyV|aGXOQO5H@>`q`6m%Ku_}4R{oUUtg z&L)cHHu7b)m>1+JLJz<4s>?cW1+uM-W2Q0Ey`se1>UNgb;w&RZBqWY;{3Gz=^{N+M z3)1i6QX*mVWU?*`ani5Yu8fe-a z8RRW0#>OOQ)!3Y1Glc+qo@=#x5j=b0A@lEHv3upHR6qnR%egtVc3vX}aFtSfK*D8A=H;ZtEKDfW}R2-XSB3 z$GEmHgF_k|vVj<@F|v>W83%(^#0O_ew+eI~l`LrDuC3nJQJxG9$mbdE4@#|{G8P0J zslfz(RnvH~!PG;j#18;RCOdz0{{TwnQ*$dYInOw(8n%Y%-%==MbzoQJBaD%eT8nEL zi_4Ng%va?jx4lJSr?#bW_9$^2vS(p_nZ|H`#-P1h%{oJCb!_oWqYNLR9-#LXF6PwS z75R)wiwRqC%K}AfX~`PfOxFdX-iKiWJocvB8%*J$RC0Q-%~+MDkr{lXa2EjdKDiV$ zX16ng5Kef_J-}V06*(Ee?NUKuadjNB#D`>md5-{p_39uA1ovNh5Lj>}e;#Y1@LsNT z-CJaiL=$|DG3(IRGr#lvsnAI($h!~BdU4d#QnM4(_cfJLWu*&))mrg=fp-O*z%pA2LT(W5jq~p1GpoxOlI`OQ+dAtWG}D zD&PffPr&iZJ>ARCGnRP{NhY8KvBqmQ?pjt9DC3eVuh89%q5^*JL*BVX z3e2Oi>s^MI7+XaPk4)DNEv{P~IB$s9M=inp>g+5}55cN%fLG@9=lp8ac# zFk7F%)aubP;!nEA48y9P#-Nbrr_&U|uBc3YnZfim>SYz2D)QTN$IWP|!-HRZ|sziL%YDd~Rs)fO7I)m7Fa68hosU2#B)0EFONBcy1n{!U~ zB_pJ_WnObve%z>?MRI3U3C3$a_g*RTsXWu?NeRbOu%A>_{wkz(Rb#_fAh$Pnglz-^ zTQ(YFoHOLqxwo+FmghkY!IW`RGLpHc>H2iDI>Q7{B#qG#a!qx%UI)FOX}gx*)p3?r zea;8aar)M7_te@iLxp(TG^(Sa#dH@h|C&@acz781j#6E0~a(e)Vk z`ztZ@Mpphtu$#sgpa30X$8-byC>}Grasy0`GyFhgnxE|)N?!^ev!eQzuTpz>&z%gh zk%fW*bES5-Lg6QWM2!qD9X?)-6P8 z#Ee%j(W|lX1C6iEak=EzHBHUou^>EC9MY-clqTa@nh=^y8nhuD#V?cvD}~DI8L5^! zcv_K26kJV^vS3qjR!FFrQE<5fQz`GoMVg>2CMrf8RdkaaRE9!nh)^LR37l0m4*=7NZJoYU0_tvx43xz+AGyLI4w=Ock$m*L-pt-I|yyn*4y<&HCg zf8O-2TKL*j6LWg@Hm5>U^gN>4#^UZ(iryI|b~phPn(efoh8l$GCDi2>adp~0X8!;I zUWIq5>6!#yMww?AWgAPV1NE#q^?S?FvE^-totwC?H|zDQtHM01xzQ>#seDfCmdC+< z9@E0A#xADp%r|H7t z@T%iyQb$YuuX6(u2#6lzl4wQVQgfvo~7} zo9FZmw{li!nr>!J1fuy36v;;0`hMk&i02G;^(q|NgDKwOX&?o_|OceH}iU27o zO(CW+Db(E4sesn$QPhpnn~DU*U%OC9d8-5N)oDKQq%=O*eAS?}Lffrh9VineR>yjn z&f9UCt|`<36R~DARGTtrsB2P_(8^eg^rss#MrwOuxQf<}+!f9$V+)k!V-0OcJt}mA z1Ep(yooPIz9fe=BLgq8lAR9R8T^5flVSpqG%i00pQe>lK41Frnw#2r2hM7DeKrAbw zhGZO1`Zii1%Yxu<6_-6BKPU?oEgu07pu# zEtn&vXyTV`JB5yZZ8#s{sD+A-*shGm4{C+&LG4NEGoEX5t%`)lhOOCb$?a6-vm=sm zQ(@hip*ibOO&D&buO*bz%&^nBb5s49Nc*+lTl_DEBBFh98Hmmzh~qy~_|}Xc4|Ka?>wl}s*X0py{c3sFV?^Y6 zTiJ~8DWcCD;}z=<;H?1w2KMYLe8~Azk@-|h;9Vj}@|we|3<8fB$v)Iyt%IHHdBwA& z3^#F#+_uyZF9aItU*VK;5+7HNCO>z~kEr#m+rNhwx_lB&FQB+lk1xnl+lf67r6pBf z*Bei=3> zp&pbH*^%Tso_|WS6`&a6t_Xms0%DL6C9w3VL?CvlK&N7X*o{znRH``XLa#L@C;~iE zig6TTkPXExE-0n|qb7%1dWs*V09OO%r{bC3ow|Sy_0Q{CEs9>P?W_~Us!ud#8ITrC z=jPl#faloO_U>wyyLQ6f(@aUOVVdDx^KXLNPi%L{1dpXPy)YK_i%$ zZXlD@MP5YK~Q|tC#9Mi?RGZqLDB1Opn z4mjizDy5T{oN|A>G0^@M$wv`p zNRB>GNzZ)NUCpeJOeMD_H&)7##t5ZX-Fgn1=2tr1A54c!zrKRlpDsd-xj%4s&(ozs z_t7tiKG}G(@Z-z3iV|d*)G$+zL7u*~TSD;|lIbmV2$ngdZGu$cSo``KFWXat#McdD zF#+3>WqpcA0;^kR2o@6?NF>`&mQWmZNV9cyY3y{Mg)X}y;H6ty_1b0@_K{D=+McTvKyz>6SZ5sWelpHY1HaKC}*Q3O8 zwFal8+v@ga3I0|b#~>V|e}|~3YUQV6j_~!;jb_R605WYO(3;ot!H+(wlLhG%F2PLgVm-J*)(w4mx1nWn8{%v zx{P#*_;5dwu6@k54du%Ou*fntxFCMD>qPTIDomRtMUj8H>KJ~s-6ZxDYBYH7nB^T( zNj5plrcMd<;-gTiNpRqH!5x1dwd-%AX-;z5b+JC7G5o4O>`fU-bEeyI$;#*X)_%%e z%JzuzHW_TIZu~1>LV^{#`&GjQNRgRI;dcSRCYM`S{=p29ByowQc|cGG25ZsL|Kh9kKBYs_b% z64+hM_2#AvSK$M|@;eE2ep--s}$;9^TdU zIJo<~);v5EwdEiUM(Q^EQWZ7lS)QH8hNa!_QDD;ZMTc_C4bCe1pk=JveD1ACPZhmA zjAk%f0@=k~hA^U|QZrVNirpD8vd6h=K5HK^^sRsa6zz(83M@&F6_`ZnNhlGfD`n$Q zoC?Ri;Biqsi-zSr;+oBxhj9j%HOq6P8=7z7OGPV=!iq8|q%`g;5~WI`<*1USQ^j;a z=wmghQt0C~MI3HC)yvM{R&p=T&oq_W6San2DSYCvngaZE7T8 zEvwBYIjeE_d`Tc;xvk4P5s-0R7N4d)%&Qbpmv-m}^FD&DMKTqegXv!Oc0j*@~cKF$ntl1^LkC#kGE?P>3%4AHAHj3O!ktN9P-n&#%z z4D+aCys_xLPuJV=sjU-H)m5X@V$3nV*PnoZ_XF0s<%p*pDXX#+YgOIZ7pB#=aXW`2 zfTIHyn{})sj&J}x4cr6%HGbp4w-UBnR*gp9K#a2!-`f?Raq#{FZ00NXlJBY|!fjUe zLtlb%Z0mmTIp-YQf`x$h*U$R4Z8Y_)DXC^k0e)5d;%ABd`Lczrv zsF{D-QQStY9D%vO!klO8OnKY}5=!G8vTI(`MXWet+p3%xrc$gA}$)sLvMGcYHww^T!W0OXY=BsgoAz(#qji;ZKjJl1UY zGfbrOiX6d2&epEOmKm;lJk{IuaLih$iszHNxx7`8G76nnimr{#SwmT}i2{sMTN%YJ zc%&zqM2sSVPvVn`00E?7nhB)c%>Xf@6${6Tv`DBWVbYpliumUhAn8o+QYoqc&L|8; z1k()wN*=d7KjG`GcIiSzD7FU=Dxn~IeQF;Kcy{N+T8OrUgh9*5dI4V54b7*7w1l;{ zk|o-^cH{3KTJtg3-(=M~dddC=ZXXZN@5^C4H=t?$98hIO2!|n`f=|D#9w6~tete?V z;Y#e<5i%>Az1Ht;OSPrW@&-=!1N1#>oRaOs6CnmhIW^`|!p@|*>pQcet!Tko2++9H zF7DTC(g2ImKOrCfmC;rPOX+05ULB z&;wOk>kXD9w@Rea!}9&1)DXv4p+t%{90?en2tPlTJ_%%<`|(HJl{@c_W%d zbT7ad^d`3UlC_R!LOYtG>NIRGUtCqBznzc-#y!Pi`NSM5DfH(QY56 zT*jpZZ4KCGY1?eF{Qncu zjX19W>$fA^)Tw^5al0RdXGd_d^@&D34k?B|LD!MZb;fsBMqI0@vR!s=Vmk11PFKm= zz+>3s6@+7&GB(OaYIx>hATI8w^s7=1O*Ce|k_5Zrc@ewh=Cp0~ySrbJ?st1Qtc1Fg zX9PO?8jwzs3_A1Jp4ECXZzOH4?Um+5?SxYX{v}Wtej}R1)pXRhZ#vmz@*A^rxACQi zF}_ubgTeHzC~iQ7qLETXBLr7HY&2>uH#%ryr3qQ=a(4&htP9i0uG;rRY1P`!SlPOW zTjg)VJ!_2AV3r9AO%uk$vkV&YWlm6bX!YSZ&0QI^nWqs=G!`alD58K6(o&j3NN7r0 zN+`Q!RbQ=IKX$4&dIpOYqOOXkYB;Nc zfigi#DWaYz5)@HRQO+o&25qi#D{=zGI@T?a+(mR&(fopndlexBdFw%lRiJ|u6|HPV z%6>@nraU9kw3aNdN@S3XQDNN4*g>fhLcQvDh-RT^$TWv@PS04H*r+73*Gplq$0pyO z2hi6Pv6E75WaW4?fiu%0)kX)*Dr2ds>TAr?Rk?s;B!N<{zW)HkXt`HC23z!D)Ko(8 zN$XrG@e+=vkvv2)aj@P4Nir5>uS{A2T{Ve=gp)IIY? z&^uOCT8f|I6>%?+^)#$ImPZ{0IBq?vHM&FTQVGz~u*U^G%|_z~8L0${dx~V3Cm@PI zHEL2Rh%jngie!w%HHAsVG}A(5cSD@gDt98LG=`Xl27gKgVq8;51HD4iA6l;SpIUbU;gJ`;Lib8L)hQ+?y+v;g#-Vu~t*lKX zvbGf$xuW(Ji*0rry>yy?goKu>d8^AMymAb~Zy3z7dy)JirM0utye6vmVmtj&a&iu0 zk3BK!N3CIN9xA-NndCF9Y{dP~Omxp-?Oe0ObcuFRTAh1cTKH}#qqPuPgO}NW@)OhM zHH|-uCYN}OF$4Zu6OvAAhJ9Dfkw)b>>U-30f4c*E)iCkKl@zVhdyBAo>vF-t}U+RcG?_lBOdhRx>drfWk+9n)nXDbZgx8~)!!IxxMAC{ z^`!pSbtR0z4lsKDRm(>^9mQX-8P61=S(QSgD=&XT>s?W;2DLNinrETu-Z8n6R^DOe z4W(4)Ap08MwAE~so0At%3;APdOr8&+8SmP>qT1$2CRc@rn5QITt#%q!%))DuEz;%@ z32!XPgNEdM=ffH-()n#- z*5&iEcpUA|xb0j6r2s%jG>3|JdO|1x zI`pnzTGblU##W$7lMn z#6tu;kPp|7$BLTaa_acc1MohSw$B%q3v}I)obo;WDYH&ylO+gT1;ITr)K;{tnG@KE zKbJb^j@2yXE*Be!V0QHVb5+G z@7%2)E5-<6&p(HH>l4GNuUlK(eYQ(``E8=afxrQmJ9F!haDJ7|-cHTtJA!^vSQDI_ zb_>zc_H#7KN&r2hb;y_9!=6NwdpKHimPUkz)T zvkOE`S@l|3jc%}8a=zby) zw5-b$hAp=kuSK(zT&!-A0;~ZCHRt{~f>^vl@;Ks97<*Np4C><82qa^*jz^%cI~zgG zU2cy29c2f~`kt2zjbcjy_p7wg%iZY@c%slt^`LKkF<8^DtTmXC5X?lgDu0vC>X&XNDnq$3*bm zoNqZbc0N{-#XePglg#a6x$8pGk}n4Lk|~I#w+~K&xsHM?XX{F% zJZ6;qy+<_Cd(*Im+k$i2lY(dtNx(F%8)qVb8;tNOM2VY~=N!`#CoR&nwAe|w85|xe zXDcg$S7g?9vN!|-U0s|a82w1=RBWxVrz$u-J!@DDN02MauTzjs|X3lt#Y(<>{;9yaoVD^yYsJ}+aq$YR?n|vKqMZuk#!8wYQJlMbpSV} zE29NPRH~xRJZ(oBO`1L@)o*lfE?D4M5;L+u$EJSoKAEaIMyk53N3-Rar*;1TBl%X% z;Z18#Fx&vLNgtB=_5Kt2;<$efEVdf-YaqiTnB)iYBEFikaY;MK)*(XfR*sM${jmm-Y-bVvcKh(HxqGtE8Zz^$RNl!?Q0Q>2*hSl??N zW;0hIlXrT%nVO3}JJZF-y-;QUH>q0T zNhYbPA23#o$^fc-2C7oC6IUle+N5R3#aCHCJk{$MCDd-Cwzf^uIs5?bPoSf5TE+bf zNt;x>5X3gBjnYU*2)?GbbuDhkMhj!6gf0)vQMbDsbz(UEc&N4b8%fY(vA2-L2|1O6 z0A_DrQ|;Roz{zr!nK;C_Kf3iI*ml27TSIJLdP{VbQ`ztHWQbP@@ zkh}?}JrH-rcQQ#9jidyKd3Nwdc3@|KIX_eD?^j~Ciq6bK3}HfmKmZIH$<|e_ET(}5 zJj7%T(~>x+N|L6$&h3?sa&a=~Ypd%zjl`>PJjJz+LmEcCv$vC3I$o|Pl1I{>Wpo>w z+Gl5uP&q4x$y3(^9<`*AFNpj$nsAiGcLI4*L!2Hu5$njRx>l1fh8Am`M(7g55ylX2 zbJi36HiEk1cu#y zX&mr9TlxNV#CW4!lf*iLMFv*N6PVMc^Zn!R=s(7-c$Z!}gPS`i2H6_~u77xc_@8d| zg349`teBHSJ$~NZ92aeGPTG)I(i{9a0HeTVaK0owCq2 zF^~67I6s|v=qjt~dh&bL&xUp8(=OE{1?`-9az_6E#HY1eN<^m8Ity(*P!1~0v8-vp zt5;~2@-D~S6R3Ef`^tKLJ&)3;O)x9go3)J9?qpuE`LSI5`@uEPU16$&+lC!0Hc~K> zNduhKnAT~V12uG64fw@t*;oOCib^*r%Em>ulMs(h+&IQ^Ya}JoeC-6N2U1km1TfKmyz0)1m0!TNM*NrFS;B$1)^xWLyvODo z_pJG@Un7co%HerX2d-;)(@5uXY`q$@921{<9sIwPesxezK)}iTX{+{$q(zD*V#fgX zs!(l_V&9vDBywt)nVL*7+gq1@AGp)(W4WA`k@yiWt!L`m8|l{2&pqNSl8^Npeqmaw zO>Rm^h)Eg#B27JKK&J$ZR5n^&2l84 z!&Qkc4YWc>I8#=~gmp(&c#1prLP()}AL1F8WU$7u`ZMuIvUd}O%;@jM!*Rg+)um!i z3H<7n{)wq+ELuxL<*!#mkx|2ZuO1ka?deU$$73nczQ(dj@irORRPolP^Vng$!T{`h z);wX{9g~v2hNX$0I|(5B_pPH@rDV=o;nnpvRS|i-0#pxtWK*|4GDg2J?x#7exr}*D$gdz$H-gFC zWFOYGrPH*Xa?C>@S#kG4Y&!iL{A-L`wwZr;zz+V^dd}dk8C&oNAC*j_8LKl$PEgsI z+UJ2UwDo!HgWTJWNXO2j-y9#pxdu`XHS2a)_lqECu5+HM^@rlm4a<6`P_(r{KUo$b zjo$e6t{V7mRd}9-3|}*}k3BS$_d={g0vK>X;}pYOXK)&2DWs$@qKZOj7}lnW7}5?X zLLEqGqL{?*OGkPQ05Od>G(TEz(t=4xB9qC@A`%WN%3`x}X`4nVtBsC5l`yAbj8=%r z?kJ~X5T2KOsNwUqzh8_pbS=|G&vWF zY>V>LsA*YpC=r_L%|uf@s_c=RnqZEABaS@O#voRuMB}A6j0y&4O9-luZ|z#|9%=@Q z+K|jSpvP*du&ZLXr-wbOI!Fk9l$t3qA@c?>OnwVzX0W7WYQdb!Uij@00+J!r6V9a3te`_(e)gZsj`=4lt9 zH6Pk$R^g2%hNRBzH8JWcRn%1Xt_5%A9qMJ(WL~C~hs<}&dWXF{T%qq=EPBx#5^B_X z*zRc#=v~BLIma4fAo-oPa&L)-{)hLV)^};=+sv z-CzhlnLTR#--dt^%WHC87ERFyIofgS-igqt^*SlRMOa&nVPu(-MJTL04DvhG3Ga*& z0f2birFs#bYbQ?oMf)^I=5-}W^fl6*IwNibj0si29ZhE}RH=KVM+NO&*)Ef=6=fnr z*8pdwdT)g_nJ!g>Fpk*1)42Ztd--!-KMj^70wf)J^si0u#gav*5bOzl05zpdZ8nnX z6!5hm-S#`%YsKFr7ZZ=PLf9-02~+n?Qr2wro10X)yV^rA9(s%s^~G_MU3qsBJ)^T5 zG-%{rq^3{us&M$G;=(e~u#!14j}!7bb*`9GlhqL^#c0lpQt)iBr=O?FE@2}$?~m(T z%f`{MM^dahf-zI*-Z;9kV5gJ^l>QPwrFCCz(ELq^qmd%H>$UjL(ALsYhs2DdD9_<% z8U;J0Zr}J;^3|BZ`_&xdzCW#VwCpYJ;=m<0 zEJj9b_S&R=mDg_Oxm_0dBKVmskcKHbJWN>q*T*>j0KR`(iS#ZVPehVH5g;7=yYZZm z0ps(pD%bDTZZBQggwf8muz8>0s(WhU}KZi)x9ovxAFu>9$T(Hz0F~l^Y4^4 zStC6An!g)4LgZjE$j7d0JxV=(83|qIAsqROjyAaAfco|PYW2xGRHB`(bG&i#o_o~` zFy2^3T%!ebBc5@{t24|B?2(COT<7{$gd7jVYf{Z=a-7678TH-NAIi9B&fW>db>24A z(&9z5kC%n_yJtBhbgn!wJx3K1MI*lOZm810R7X0|`O~?_TxedL8(% zzTU*`JY;sSQ?t19BU6w;91+sKdoZDC-5$;ouQfY8&^DoQ6~kJV%1C)u&Ih<2wX*gz zUFl&NViG~je;`uhzE5+C=XD#ev0cSFs_q1G!;hh@X7MGYm$uDlyPbY_iTO-{z`@}2 zUY1?V;Hb6ZpA z99A{hZKj$N8wd!MHbGEDRZv3nQla?(p@K9a!CJExGgRO>TDK9b%P%M0rnM>`mVypy z(JX-VpblzMobleAsX!40HOqMJAdp&yA3oMN`t+{a-VpPkBO^Jgde(^+uYAl%I}-pN z?cCRyjl@b7BM7ZGXI>tXlw6ziJWfdDZJ-0d!2D`dg_2BrVAo@+XwlkUHM|NihYWhw zB${)Hn}f#{5yZwy=+)7Z+{2na{pueqj@hl3wg(}G4LK~3w*-DQx3-Opxf?PBIX^Gs zQ~jBV3(~fmPXRKZZXVRcwQOLaAp2B%boLvkBE7sS7j6c9eQQe2)R*bTI@Ff71Rckx zy=g@lMJfkwE0U#K>~vD2)sINTf0yf13}chlnfE{=zgmWQyomh6_dq1qHs@Z*w487| zbg9w6Z2DCcn{q1y@~{U!l*`+b6ix0^Y?8m?BU@ zpK;DVD&nNRo^=>wN|zI?vAeU9hjQn!uSX4ssYYt0wmiH|XjO}*-sgRFWf;Ux`%s;! zE_y3;KZ9WXGhF?}ubHZw+ys@rS(E#+ugc$_2l1^KbvW;Am1R=fM%G_yfl+RJM|B%Z zxm{KL%LT#wNXO+~%&WqrU5_f28Plk)TD&=xBp=3rpRYqv2C1*g2@x0g7Zq4FdClvg z>!qPMYIfm@gLN+IIfBKgTPGDHkrq>muWWg(c;gJcD|%X^3Wqr*te$pjPRCA`aa`s6vLo@D>|~2_^sJkWJV@Vml$FM1*+}ewn$$y;r$=NFe(f?r zuwt{4vNVRG=8%z52`P8;SzOw~@+iorZliT8g@=$0Hv*fwjr3erBnm0&yi*kBtO4@o zw}Uc~M&Yqk9#2ZUcn%ILHwP6h>QY(+5!~NjMQ3RfO>r{?jy38}^d6_MuD(4|-@(>$ z*;=|>-#`3(Trb_-{nh^fV1B)NRXA-X@aB~6z(uNGF2cn8&_aKNdNX(Q99KVeGOTK` zCgKK5w^Dt6mAqo12RWQIt2ZT119cs0>g|=~o!Uh>&hN{f{b`okW8H;$oz+J;Jb(4- zZ7WO?Fu9sN%uZK5g>Rw-2OtjB^VqCga%v~HguzFbnx?GDT_VmlSuSQCTAZ@(z$4za zWbr?SbhM9c^eyEt2?~}Z%uzvwm>HgPvF-1*q&-EoE<->pq9p{wZeZPsjl+ipe;&6<

s?EIP&9>0-wVG*RXlwTn`EA zk_(vim~E!&NterDef|Fc4Apq=yfvjTF9{dVoczS!bYmXFavN%>J$c^@7~p5x zywc{?tt4&gOzp|Z&I$EB&21RpQ#Q(kGAJqzdgOK@o8})dhkU+reGlVLY#<4eGuJ%x zOmrmWNL=xp9MzIHW4xGQfV}6X1y6{)wGpZAa(U0zpjVNXX7AmOFbKi?>TfBdUCwyO z2N)!0`JZYNuvqH!o5#G+CAK8A_L-JHQoqDME`JJ!JCiE$&TA(_y!#Hb4A~|k`&=FY z2ZLMk*e#vJu_*Z!h+lEr^u-fe-3b+C8D45N$9i?cZ5*1;d$6aiKpIMbxZ<@fuz+OO zJ#TlpvzoYXcCT8fiKB8`wMF387Nw|-gl?)A8jH!0xvcA`rjjyAJkaIX5-~Mfp)-{R zvZ~$WMm8kXO6Gg&bVp%n$}(w$(t}dUCI=KV3{yd*V@L!vfSl4&W|)aL8bW!bq%;E_ z9-2;SJ;yZgGev|Y7^xKxT6dOefMiNcgP!&5egM#sH22i!WR*zTqz;4Bdi^WN(lhB^ z&ETl7qws6p>bEk(YKJzUjvRZ`O&0-f^o>3nlW>c?=kIOL<|?(#^}I}?c$CM}BxF?5 zJU40Q!8v6okf$U60IgZNrIEPP-Y`{x2LN>yvQ}0h>h8kxMYO#@@Ix64azc)y`d2S= z;b5Auw^wyn`G6y!s(L=Zb){-T%J{j2wgJfmS9dheaRN_jo83=-q*8HAFJqd22pe4{ z;%EKjzr(lEtzO$fVW8OCDe|QA6p~Lo*3Ppu_K?APhiqy`ogR22*0X#|q&BR!_qJ|I zBxQ(G_=*xsVHb5fb~oBtcKyP(S@YEPsyF&q+BFON%avIrME%5M=L6RjTIp{tbe%Ti z_n8g^i`$O%ZA`D?X=@RKmb-TM5b5&*(v!Ml zobl;UXmCdkrFUZ>Sy4}&8y{0v;I@j%uBDb$H*LAN>IZ6Lwxz90#Sz<0PL`Ao+**il?ghPfFAxca9+h=aBJ?Wc?|c-O97CgR3ezjM+5ox`y?q>fUD<}3*JtCzYSqo>SKpl4proQkB;O22&w zW9lh$$|i1semUM_klh7I8@rMY52aIyb`0z}_03p}h36lEt*PP?-JH|IOQJ2r&ATA& zHE2xn0rO|v8l~s{?}36nY0*xvg>$g>&qMSz=tC0=ZgI;GF2;b8IY1JQeMbhRw+X-H zln^@}DuI}cgU`NcA&_p!aa+c;ThW~Iq|!GMX(N-&Niqj&-qW>4+&+H4z~_qP?c6e- zm^mH2D&ho{3Xi;cb6py=V;9QxHM~dRy+2!p?k!bdzXLevAK3cWmQM@Y%<)R4T@D#f zV_t_7vJWQWSx_DW=kC`f;w>`v&g;*e{P<=2mkY|bx3RA~6HAre$Ek&?q^)xjl9rOV z&e16iDWo(EVW3cG6u>B>G?}0UpwbSs8UT&@&;}RmN8pPDDAR10hZa6>(FFh6U!X0mU#Q+s!x%QYpYt19p!}0*^{@pi>ze#t&+M z!IRRi_NEcdAr$7P{@=8%>Mu&nrp(qahlLX=A7{jyb4ww%wgs$^);`j zqdNj>yFxRX)6-CKO$gbyfCbJfa)5TH!oMXe3I;GJrv#-PX~0kelyOTyq@)AII-0cV z0L#~z(!wT2D~pK3d#N}-&bXWTQ_aCVo|WiVuqDl;kiIa(f_o9jKb?7wp(feLd;$pu zxhux(hC+m%wQ)Qk}u<(gb$pb@DdPq{y(TGDS^TiYyTrgmFr z`_AvZzaT2b-JDu|;%Q7V6dJK8gq#?fF`vj*d$@@$QYgM@Ze+WU*-y%~(2|bDBxrxa zPi<}ENF}Y<0h_N>X#|uw6(JZWw^RF@Tlsm&rhHtx%AWFH>*5x zO7|J!BE1{>)yQYKeOlhy!Xa@zsx}yX)sepQAE!Y@+jlEseJai!F8yJ9x0XV15TG6>;Z2XZK*tr}<5#_N(!%UN?1V^J zdK&AzIK`D5exuP`PP+{z=dQ+0dheE^{*onDLCo5)}Wq+ElN4GJ!a+N zC1AKhH+BS!8s}m;idZDcU@$%F(6vZybuB29AaWNRj`io4QBP@hVPzQuhU`Us22YtP zkWi_kM~9BW$_>gp8EZRR%wr=JdfM+3213EdAdWCha{^#uUZ8zzv2Aln*0l9o>oSo@2^n0B_aeB> zBf{2p@-5b-sX-zt?(&oS+3)LJnTF3<(%Kta=iQmz z8l1TssV_uu`j3XR`^(f_CsLK8eB}&fiv22;&xG{&a|7!VCFVd8vVTg{*DbC*8#Zip z7rGLr;!1#1+lu8cJaed{8Kbnd62Asn6tfdq)}A5C)ZwRln^TPy8`OG|Ug;^O+#~~6 zw|QTpINSYdt=yOTasL2Ffr4NkF@9yo)K@2|>Ngs##FsZR8C-H#rqS#u(zRV$&PEn@ z1>tTCGM&i7@vex*tYW1tS(T|yO)UMMVWN&!A~|26^e5A`MapW&KV}S9 z(n><*lx0u%x&!)E4MyTKs$7R8;jp0kR;}z3=~Hc$6vqDmAe3jFWYUuaLt=(Bl2=og86NrRS8QQ>oA@D%kX&y11I=fGNg+-~ zP6*>CHPq;kL!?Fa>*-^TLcc1x#?X7?)c*h~5Hq^_Q^Gc`6d+yM>5OK%j}dsL6pCAW zS+`secQSto>@!$8*NmS~Vvs~*hu?yIE13>{W9wCNQXca=$X(d8HzbqRneHk!C#5@f z-bF(wy`~Q`_BAVz#~80q(};9LT<`$KdsmlflB((umOE6i8T9wBMzN8im@xpZaKpGh zwdP}$&qD<(T@q{Y$s|fJ&J=?B)5Pn0FqB(2DhCQ8#0Tlp2l9sYX_)O&0Fet@b;ok9u29$&Bt1u^-B^ zu4M>V9ti3?id@Lj#Wz5-#Oq9!T0-2_nT|NkIZrhZB-DyIrUapg$tj5h-WgoP9f=YDH4a02wEM1z)*w zq}nyQ;N4CDk+b&~`?cq07?@C}XRMybMjn)^OHGl-UPYUkhu$U5Pp`dZT-zv8M^jrD zWCGz&eobXc;~SjtIn8*Ko3ZQ2&s#LwcP?1cHL^v{Gm6q}g>l7IXv47?t<>2nD2&D= zT4FBH7qAs!BioVK)Pg1NM=)}b2H{71b4wZdiTo*&A(_Oj;ryp>T8UOuit)xyQ<#ewD5EQM)6I z`c_5923u){Hz@%6*07c3b1ADv##N+X?m|H-#|P9NMQF!tk}kqh{8UJm@pn)Vn>{G@IBzz#D>sYGoxODnj4)wuHI$EJ8c zhHErj=Xh1vbNAJbex%mLwyf^HRPm&$M$#N-Bl=fNqNNs$A$ZM77P29N6ntW_3m!7_~<(uBMGr6ExVxc4xRgA}`YBMn$=7HR} z_}f``M01Lrr#o?)r!yALYbu)>LD++JI5kJK(sC=B#`h-&B9xkdIUQ-+^HNbICTY9H zCgJNq7NhM}hujrTGgj36qPl79bJX0ca=8Yy^bI;ISjMk(5P;ilqi^D2)yVW6z3PO+ zD^|4CSBcalvfS+=FgXZ6#Pe4hDJIOSIXJY6dfuS-Gd#!Vk&jbYw(w7Ja1oTYc|O&; z+I*2si=C>&lAz>|YO!t;pDh4U>E68j%P@`HAXZ;LtEBo z)UG_WV3Dc73dD-(JU{UAJBwKE^;sqb#^r%d(~j9S>*26(M%|A)6NyhmjraJGqMk{( zBN#upKh)G;@UnDOkbxc0LO%0GI{h=6zhmIN65KnDIynhB%%46zuzFU68Xl1gmWNHW zMjnwZdg|wza?|`v(|%zEmBiTWcv3$)$o~L^jQciQ=}|`HouD2M;09~cO@+6VRNKe4 zL5-#{gZNZY=~{iMEp2CS6Jre^i~>I)oY7`0o^~}~8tUmLW*^vZ?RxeQF!24YBg!QzgOZp}y($VtNWJ zJB_u`^sOcZTS%>~3a|RWGXDUX=k=^zL&dh%8j(qF;gUADWLHNR4W8q%KDCeHU0H10 zKqnI2V0n_0)9LB#Ju8sBk_|y6cWBa9=mM1|-_n3a{2nT8YG9HOyIiONdB%9@*Xvca z1{V`eEQmo*m9f;HT#DiIHI>iHDUFomFyrwRxu#x?M|eW<+^F5dWE_7gs88&%N(-Yd!&hKd zI1KsvW8R*!uHXjY>xzMx5@Z=2jX8^?iZ+v+5PO;~27t}879;0wMr*BGg}bwkP;&bK zZhPXmkGi9lBp&9s^jY_##!vjS2d}+TdJ|!{#jw?bbG!qJ=q~wUDukBd>o%?l*w3(O zFn4FIYsGHTj&o4j+U$H0TlTO32NiHySeErO?X=OmBvp&65F$Kwt%QkCbgGx^1Y}iM zN$Pptw-81k4)uso`d3S99!NLTbxZw%v7P*`I$Cg)TV%hbo zgl{XpgKp8#s+YG`mp*l@jE6jccyFk#t4!CR)C!4n9K(iEdeOjEMvT)sU3bH_`mqmhg^_=YHE%`@r$-!2&dfL`ITekhYvSHTx70ppPabM@A6n}M znzE|gTS$^4a;~R26*jR~DeJILe+tJ8MVR%$z$@OX+G@$C>Bikr{{YdxQe6I?^{@7( zwq3GEAOnoAIQPY8>dhC~(QTH^_crG9+h;zwpbOfqjjQTXT?k$%oeC?-)czHjE~Tig+(T8-9$mk6w(dXSTQc~KVuehaP5eNA z7nP7Hg^XFPA5B>-wQFa4Mq*={F`cx_D^CqrIJh@&I}Sui9to|;JXxpRW*KHx2ly@* zZfP!cUk_Oj<_KBOPb`MbM=0zjoVQxutNo)iMkpd1VME3RYwCK%rk89Ck`4z8o=L2) z?Y&m|A1h1KL{YHEnJ)kjTvIGOBuu|*)Fw9*=QuxfRcMuh#vR9uHF@SMX90~*OeRfT zvcK_t(~Ym?ulr~#v$eE>76b^_*MW+B_ff6KmlR%RM9Z%H4fy)xgSJ*Lxl&KAck1 zawRhfKKDH{(>0@Sad8<2Pn>$x1~n>J@$M=}4nF&F>t9C)*zjeku$SpD@`wxo=-qz` zq7qH=!vPNjzkb?pxZh7h?kc!Pid>JKbDZaz)Ph@ixddm{s#cP-CNGiRCn2ioa@b5r ziPj_2cUAU3<5{M?OMRW}NpE?NM3>Xp`j2Y!?RQRXCsdZ%(`x;NoQvT(?>jE{KW?ven(eoP#8=zkieY4GCd zq+@k4R0kLX4UWg$bgqK)#_(9o*7la|cIm@ofxGKeVfc%Gzje-*o>p^+-=D8E)Kk{L z#y^6iwer09k%A@(9b3}_)aM_DYNRQkLwMSB@!2`db$*z(g7ioH^bqvuu;Ye|f z*$1cOYn<^Xhpe?(4cs$%S3v;;bS9smt#bOQ=!3g5V37=g$Fs(DC0@Mc9;Nid*hb^2~eA*6IPQ%}v!;c0&+4 znz)WLko3ZLrD{d}GJ#uRN8mla!RoET~=DA-r zvY}5o$*b|Vn4pIFhZWS6WMJElr%82xG^Di7Und7Ooq1}Ei(@FVS`p9 zX#{MJcXNe4^!=LXFKGIhlj*J`c7)*l;7I62M;?wQy;#eeSCgH&`Ad3==nJn$&g1P* zHt=0ri09;g2_00@bkhYxQ%To!bJRO0;ewRzW#6%)i8mm8WTEstM%^j5A5$2N?YkX`_G$h%4Hk=mV2f}ZCp4hA#LG2FM| zNEnhS2`t@)Ya>$qmaB7ajc(26+c3d~PFL{sKT1;jq%x8)dBOFnDstP8T9}>o{B~*p zk=Yz9ssgD6NE9;|W7=EI-lF2-+EUwP%a#@Z^G|Xd)T-69#PKTeo@HHcBtoO0O&DZW-_NU z7A6I*zv*v*0lyf&N07e=nH6Uj!bv#s|5tW|cL|?;d4JubV@;kKzWr zkCoJ?Tz>}dl>Qa12aK%sq)Tmj$u2<{^P?LkQ|cIX{A0u zTDnku3{^?3ZgzU-gypxh{?XAcPNksslHO7r z8FT8uq-)mmLO+`5o)FUQK#kx3Uyd9Ku8 z#(`2OrV5n-W(o#aoB$|p%Ip#~G;bm)CAyn;?iX_UWc2)fD-Te)GdsE9lj~OXE3t17 zvWW1fbg!Sh-{3k|J3Qrsvm9XY&3u+Be^|shbXy+Q9-F5GhECiO>sgYO&fW;mLMlnF z8PU1MPB^NHU0sV1K^Y%f(h;&WWSKkhim?<&?;bP7R#wYyCz44btQ(AAFR$fP@6awQ zCezoaH6BS^ibj~T?CN`(kyUo*G`8GoLqZ1Q)}%{y-I3mvN6azl%{@uoicPWZPJvZ& zDZ#gKP%d`TqvW=FgNn|D4C9%#oFY>Ds%F4*& zoYF@e>+!hNU2JK31V5h`;$uBnLr05@$X3(&I@tIE9&7MRFU&j zlaq1)1{(x?!yFF0^G#Q1uycgYaZbXoC_w|7X(hk_bjT*Ggw1U}<)V>^Cm94|v95|w zCi2oUfsNknp@&Bvhun0}dgJYx(b(f79V<@5RF>LySx^XEfQ{(hhN7({HRfwZtAxCZ zr{A?EYp8uT-^9>;r%qVm)iIeZ0l=7ld2_%%qt>};k>Qb&L}Yb1D#HXC^Ig-kJxKD& zT@%D|YDaP^y}8R(-z$37)Y+WTo@XLVR*kpMimYt2E^AWK5V*~3jBGMkwytY7dAzcD zt-F}=oL4=23zBdRCIpepTy?1m5;IU*!ofyr_T8z?M7Am@t>ybtGF0@^Yb&E$GOHEBv)w3V6+H4Gg5)9V?7tw>%|qqG?h? z@-NIl@5lcDTDh5=jMk@wE(&Wd1c6>i%xVbEbJYDnAFWMKg|WrzU%rZKi`klF5j!!; zFFObKe=3B=v98`n(0_$%%@GRnGHhmIai6*?HfL4f9Q|wK4z$L0UNEQ|&}8S?}}+IBUWL6dLLTnt!2ca zGt}|UFT&jd8JCR`(!%WCm1Y`=*(j@Zb#xe2{{HrQG(p)PXob;<&WSO~; zgTNgT>t;WsPOKiqgM~mg2Ko2CgYRPSvpk5NU;Zsmuq` zhJ~?ECRJffBCHf5no#;sBDv(#NG7K-(ro6K$t>)pyM3{aJCo9`OQYOd$L1V5j=Oq_ z)6mg=$@2Z+$3I%pb=p|B-7C-1r>I)zF%a8UREmpe-f`14B8tbc-F}k=000P7h4d*<4*s{Z{oB-YZlfEJze$xtU#AxdV5lNqcl;VoA%Bo@%L+ zdti^st~wxkvh*z)?cAJwaZ4S!o@YDwUz-@os>>XJDR00NODdIhYyr6PLF853i&(rS ziGfyv7hZtif~KXi)bBKq7AbZv?uVv54P?uh*cjM@+~=)5Z{THWS5m?LoAH!f?Rlfc$(IG)Yg(YyIaApQoCS1Cc_9ah6JYC0>9n~)9N3Xnw?8rF%Z zGWk;{J(+Pz8V$RnWh2_WtXhvYi0s2jl8KeL6!3C8)B@p$-DMQHlkW-RY@V6sxNLWG z5-UBX)Ia^i5xqQITF! zO!iw=&?FShRMPgS@l<9ul9bX=04OvH4KWcPT6%L#si7GZfe-0UQax#n#Xyqf&(@IH zcpkL;)Jjb}8f1?Ko(~lmr-}wxhpiNliYO$*jQ;pnTc#YM*C8Jcr{22_ByL4DFx=K= zz{p&ROcPd8Ey>cHA+3z8Q`%`Z)whoo0}N0i9kgwylT9oI0~4h)e%>lMCks^NyaT-e zX^97|FPraJM)+r~JukiLSxDVS2BkP=Q?W>Bi)|qWro}XhKv!W> z+O{5)rbR3Zi6{ed-2AmM#a6aID60m6jWiClQquwBr7mfroCP4Tpi-U%IYk6eI}NJW z8hlqH1h6NJgV0r+b59hSxYM!0PZYX5_g2gUZNOIps*p`%Bzw;ccX|rm@o6inNOOQu zGyed5)+`(@H*6#heL1Uxvam>^B{AGH0#_Lr2atMHQEL~<;Zk-+8N&5aDVHo+fl?cH zC5NtR8Da)QwlcZt-|(zuBUq!Tv+)c_R^IX_-O-vR$oD>?y0|ngBUiT88NWs|4`fsR3Z5h(GajThm-^g^qhy8*;{b*`;C# zQU~MOxo}i(Q8S|%QqZv82v7&&XaftLywi6!&<-8rM-)eLrJ;E$o~MLq8+jC%VZIXujiCiR^-sUZjT2CoYm-vO|8pfbel$sf+8MJsWJJb}se6m0V2o<5{@{_>huO%$Z>a+S<;L8QT` zTFA+JYUF~wB#iYRQC&8J;s~|7002~-IgtmisH_x$ypsTstZe(E{{UqAeKB0THy1hv zxWzKB8*)1p^shR-K1a@vOAl9>ax>Ois%kn?>w0Y3c>~U39wt4RbI0N;OFO9V?BltF zM3a-{ipHm3O|ud(8<3qf-5%7s~2sRxW2iN@CJ(!CSK zz8x1*OMhsjmidbM*PqP`$01i3BZ}suSxsAK)aa>A#rY9~+|=-}+Uj`(XY!~B?M{t~ zoS&s^WK4`c`RF<6RRP@Ued^P)v}6vXUfk*)Zkw$yfTtU*DcJ9u2 zrV~#@GB5&=12*6WI(MrUR>o=6W9d@EU`t^0n&>pWJrIT?r%J-DRTNwx>o7X``24_wzn zG%Lv=)7n)Gm0lwU2kJlt&uCC`0?ornsDou2*s(yE?R4hYZEfWWx~ zcgQs58<6Gk&Urnn*-G0UJCh<-#zx<*PZ-;lZUG&?T2r(T8>r;ty*k{NlMDtA3rz{j zLrT_VL?j&KV!BN)RC{RvhEj}U!(j7{$Lm}j%DgfoqY=*|*0;3x-V+^HkE)uzC8I`e z@U)XV*_TZ5&X!=+35QdG2#ZibhQR5#cNzSvnbNhHJMX4 z+uSvs%1DfVyndYxH(s)jRf;RCX4`oJ?}U%}XrIKM`0537PYtc&byLLMT1QrZugXUv zoNEV2*WKQBT!scxBJwT!+B zhs*sBAK_4-#2}8xigdY>)x(VEioS+@OA+eR=~p(A;iivw3QsD1Gw4U`$JRl~l#GqAm zJ?d3(E1@G2X8^5HlG#}DD&Lq5G@fVzptlG*Re8?dD?&mx)@8}$)>U*ywD%z2NM54d zMr)SmK>gg(hWDuRMrqtuBrWev&pm0mqcn2`iI$`Tiiu514;9f*W1d@;XB}&$@D`9~ z)EiQN^>AfZJ=l)lg>!RvuR-u-!hgajV~lN;UGvkEp12(JuRArVC}HH{Z-$DL(OX+( zTZfGwI6Z3tqbyD{$5MM%t>Da#?nxu9WjvC<2ml?2VP7wXR)R?P-iW1o;t29jIO$$p zte2BfmE>%a-A#8sBf1v0zFNtKY=bBGSDwl9OiMF1dXry8fTv~5d6>E0(Kb9Ys>7t; zB(g^%?qILAvGVpF{Qm$d_ATB^9kL7))E)u!uLsVL+m#XcjAm5B@iNf3@lxadVW z-HQTtf;waQilSR3S+kw{fGi33^yAa%O>#`%GkK53NgN7+ob*4#$Kg)%STYq=!afP< zk?%kfhujJd$hJ$q)8&7@kYnz^@PGQ=^l6gqxD7E5 zi`X0!_|l4NMh&O2eneR0QyKYHN#mc--lWo^*pj)&I2=`WlYEXso=G_;J!<{15!$He zPc^dDnae~I5zti`10I!TM)|1%hT@+!nHJLI7RvjvT?L#)hc%yT2;W=Qr~nnb8I+Lq zhzhTEgK4X-!9`}>N(eONEdmQu2KFWMII2bgSMFRE%~LI2bmytreF*%3X{~SVQ-jWG zKz*wXrjiC0eRs+(S5FXXCk$M>h*q+pVn;olT%NXEOS|N%Q(4CC?X(qLO z(Hv)jI~ubs<;B8mbA|K*pXD!ML5zA+Qca6uppV*~5CKm(6w=&P+y=J-u4#I0_Ngnw zV{0Vra2sGJX!SmoLELn%o4{JFuA8m5+FhgbqbVDSHh|uSvz(e*1X8(c#X1I;qv%ll ztS>c7TsZtDQ{8Q ztC*V|&F_tE@0JT|OMtUJ72S>me)ZGo`gPnEi#@IQxGl>JGA>fqteDmH`p zitBW*8$O>l7>QtGo(9stN)os>`W}@X+m&CmM~3wric7m28|2$OD%*xRP;x%CTTRw2 zwP;po8AG0^-^Kp`*Q;Cek`=a&qq@)}&PM+L;hmh99&M!ZKu;lieid8(5CGC<;$bs% z%K{kvYraFR;Fs4hMo*p>|q^};Wq zIj;B2V*m^RJ)0F)Mw2)wn~!xpe+omn!v6rm1rYfj{&tV92n1A*;2k8U1S_0>s+45XNt^&G8wtD#OZ z8G9b}nW@_)-Mhmo295Z^?NKPHElZ1O=y4WaB}uR(7;jNsC9S}kFv@@W_2>GfvT3@T z#~uh%z%{v`Yk{!@st2J4y!=KTC3vH*jb^23GqGLg1e$ma+!}@%RmO8x0SCQ#uS3vI z;;v5w)Ar}Npb|RK!R=5z%6C?w`RILX7V;K_zV<<`oPc`N6I#P6kVju?=%I*}DJG6Q zMFmkbbCDbnL9JVPA)Ex0866L;XWrON3uY!5_Z3blCstu9E_)TPslnr;S5BwQV(@Z< zxwvgmoygeqt2Xxr-NbYA6L&wtYK4W+Vinu1Pk$;bTU3T)UY*shd9LZ$cZl?;?;>47 z-3Ol-#K3fvVGEZ)Xt zz}qG&KGf@`{ots<;<-+gA*R!hN@1WF<)Nq@DGy3uN8YT!K1!?wTZ8UUA~}azs{k!7 zD%|+NsBD)q(&}GBK_mHkQc3bv;GZRCUrDv`O`=JZf-_GQN-1;Rt|7>z1*k<7u!<=X zU@G9`it6-d8vw3V$rZn%%kr%yxwMYf5;jxG6;cPxVxC``)X9#M2CF*eq-LbeF%{WO zK;ZPNtOZ0=6ViY)q>P@TsZVOhv95_>M(QbA(FmxyRygOfe%YvuGN(M(UiK<-Fe<#7 zcq64SIa4f=(~|v%6{~q*2WrW9Hl76`lcnpI8Li6+3uBt+L}W7YT?U#uQ$l9Y2Nabu zugWn<$y80qY3c!_Da}Z$#R34dyD7jLcS;6AQlmUogHS&d1;BgIMG9&BVvxv);*vkV zQa4Isefj{^wm&Uds+Q;Ft3c8pK&7Bk;(-bUI8Z5aJ!y3;dWu|AJixt8F|Jj6(*j&j zNEJ=*K<`y#)D(Xe77@$%!N>N&U%iw=gVUv9$#9CSe84_l{Rg+bUh#y2d$?s&{{SrT ze*;|I!C?}7$6}sH9Mm^!qg1YkN{$Rsqh*%>0C~wgQ=U~=grt&iG0!=w`-(a|StQ^>Qtd3V} z1A$e{D<0pqB;q`5J-XxYrg_Y*wRml~KAe00HO}e!s%lmubp%cqEzdi@`t;lVave6^ zTIPL?BIQ*5;wf_s(baWCva_1va=2WP?t52_UR=G^+>^N^yB0aE4R2q$)#UlfSXBI} z{xytGG@H0scsVuNcybno%(>%n>0FJKv)xV+2OaCXwhaZMMsbxD!-|%isOiJUTaUSv z`7AN?HOvw}ez^WH)wgjWV0|%IXDfOb{nt1(I8Sy%#Vf=MbtAV*Q22zf85GADVxZ!a zmQbK^Ueafb$Gm_Qz!+cWQwCRz0oI|~rGA_mB2yfL2fb2uC7KrVtBezdr#S+3Dtd~k zum%KGvb(k)O4cp3V(oH!mv)6^2Lxj^Vk?l!3k)z`YMsL$u}p*exv2Epfhv@ceAvOQ zRK4OHFB_NUxdLTnBau_S4YXGy_*g3+Kze>gvkXMEk#}BH{VPh*(p@@aevX8GHCJt! z%iTzt?gF9`zs7PsjbwO&#dQQ*mHB3f0RG~%E$5cz#wgr;@HbytvLab!l(O|0aq104 zN__BW(v0~gn;`JS&!^qCnK}7nUD2M|_O7=T$IBZ>d1QQ3+Z>?nF3aa^Xmp(Km;h+_vC+y!-2pMP|aVHk{_NUSj!S9emL{Ys~ZNh)*L z^E-_+#^)mySM2$aqGW)1uSL7lp_e3}IUQ>@JsJoL6;qOP&2vW0x~7~pa%H(pYAG*nVXN@(!~D#JPBHB#%t=WghZPI`M+LZsk= zJLGXsz;DilT1oCdV-|5sr%J9=q0MU9=~zEJT_nICXtW zFxkeNPC*CSufm{k1uDmnl#T^hjv<~anp3{#Oev+X^ou=ePyzxecK|U_vu>=nG(>Z- zC_uojUsC2yoMdLYIl(KD*A>s-L~uy1nhwtAJ%4&OD}YHWwBrLGT6#uywnhOXtss%U zM+X6bC)m=G!#v;NyoXgp7a?esw>XsFF7Psz}!k8FLGq5qAU7EwrC+HD_AWiVDJW?OSR`*YIeWtW{{Rf~eTlBR z%)444lww6YTbzUMTveRyb84WFah=M2dK%Qw^#`=wYbhlmAr4M*hweAvI6q3pq!ahi z*Fvk-4C;DTUZ*hL$PYjPtsUO=&T10wzL7^lo5*0ODhH*yk6@lD^F zkd5bxLV*-}nrNpXsHC2I98|$T!8Fuy(9%4>ahf$`JxDJU0B~!cd<%vIfC$Os(zfoT z47krq=dQPTfR9@4!ONCuvzHN1nwvz2<%g-jITXN7ao5tM10!Md>F-Dst9JhIuck-O z4vFv>(-(Q2_x2|ok?z$T?N#fe{^sF;QQ0m9Bm`Ee;SEM+5;YXREh{@ z89CZ{sv+z$BM?`Bfsz0q_8iwGbrH7I3}YaM1Y_%6J4VkY^Y`(C`5NanesyTd4p=S+ zzom0xHAv~hHl){)-^wC*IElX?N+SSm<-n}WplNwGWyDM%}M5rb*SBT zu4;tSm8vAaB%>W_gw2|2N?3Aeenx9K>Who91}N=L6cJqfrrhjp6cNo7xdx^#DJgqX zTQs>x&WRM_rBlUK1k|W_t>L0Do4Xc4*1DenY+3aU?%_mgc7uh-IVQPx>0KX!qAzoL z$ABYIocGOn`K#r^&9i(iwNfwYPLDL3MiV@JD*|~75{ba>Ygbic6s~|CPgCBpAA#1s zYYP>~ne;7L9Pf#34cux|_&Fr@6~jmXVg-60t0;=y$slD&8LvCJBuc{==Dv>uC(C2V z#V>y3M1pWl0FXF5Qe!CJhTaf(H3Zsw^<#JH!a?Do38oqB)K?_g#;s`-Pjr#+2KZ&gu{ zlCB#&7-R7MRpLt_M&RPMtvpM40tlr(asl%0CvYB_^!n507a{7?JQr>YI*6r0I6awg zO?eKfr_E`7G;?EeIYaM`{{V==t267DpJ-X^?p{5ocb0hs_sFJRYv)mkMfH-js=IvH z+iM@FJQ_|(jYXp?%|zU!;{!Z@N>-3OWT0ko$-v_trxgsVYq5-S$g*z85-tE3>Bf1@ zO=oR=s06cyW!PAiM{uB@>sj2gsCJRL{VDPxbDZPWwPo=9)`%s#zqLhPq9)$s@Z&$7 zCZAz%Bt}PeXH&}OjC#`5jHhQ~Ebc^LF&~%Kw`{bit-yH*k^1sD6o|_b>_8QI;8e*r zPg>R#`m-s|v0~sd+q{ZMWf=r@7_M^1Hxv1BoJi-Z90BiL1oCFiZgKmw{HveSLl$;i z9@+0jO~RhWtZ_c(0Pj)vok7MrXV_Jilyn^`4eqK{S(k9Z0OKRlnn@HVWGPv)R$zIh zM!~B4yV7~M?@;74Yg@=O&sx=r;3(@{!cIZ!Rz=%mt!WyzGjTR2Oa{5AB=zEn3=3hj^Q)Zcpb>8AiZ3 zKJ^cl@og?KxMXudVYh=y#aywy3gdAGqalYUq3A_Hy|YW^5I7vsV7WAs73x|xy>F}AEyPY#jvQwt z5BOJ%9lLoP*2ji*gQRMRc2{qlIg<&!=WAiwMpf`1!hLt$Fk090QR` z*Fh$C8a}wUAyK%H40GPPIA1)I^H-Va0E>XwI0vFs=zBwK83#ayT@sOB|3t3XDBJf6&yD%2$ASXN>XKX1SukNWcI; z3|Ct&*6vi+E#VXL%Xt=xR^h`NbIEo<@JALXePh zxC7Fpnf$hl6wZ6s*TPE2%E~V1N236YVUUfF_fPb$GsHHBPt~V{=V?2aK8CNIR$D8G z_o)z^5W~0Dt$3vqQqtkS0}{oBW1qaHvc#n*U350XNycjZP8MI9Oqxo2ic0fOP-&(b zkkbt`0*;i8(9({SjnbG56>cxMDy>{a`2_++Y0n0iP8pV)a4@E((--%dq_-{XZDZuD z9ZdfAx*;A?ur)|sX2~2?y@Z)k7C9BKZ4)>L6_WzyMIQCpX;xljVB)60_bH?ffE;l} zbaL2*hd834lgfFcwQK0kas^_yTGY|o_kd@;J8Ek7JDXFK6*G0J_I#lFRfiR3Y>b)# zq;9kVO)(FqH`1gWRBlBe6TcC$vz9ZCM-|GV<((7{l$_-8fmqLTSZqcl zXzlTg?qUvVD1pOZ^!;j45PNA(e(BsPuteuPfH><=SoLS#rAY)1w8-7AFGCZKzm*d> zjWeE40~IEp3p6(u0Z!ChXVZ~D5}&<<`=IepKwec5g3fzVNMqb&RVbJP1m>#!szqif z#WTkpYIoRYQ4YHnhiLJ{-gVn&k@r-Zz|}RIy<*{Jx?oBI5CMRrImJ*XjSeImuM^!nkN#rMiS??Hp=B}2GsZezuwW=^>fLCv; zb`>JX!BvRknyDE5=;w;OSNA`iYZsx6v|>)dt=JCyReM$1!8Ej_@pGv(YgW0T!yfAN=gZ#x(xLu@n&MQJYr=Hw-qbF_yeJYjiOL653 zWh)BGqqodG#SHQVc~kEIPCZ3COXwZU%x0P5X}4EFY|tLtNHdo(0tYaD$$SD#YKZ$s6j+orYHs!ekq zCXlBY2mkQkCuzV{&{7dGD zpSvj|@fEaAE9NUhmaAT8(NUK*B*-0kIP7W%jDj5f-i`h>WG>|VYBq1Yr=b-ol*rx? z4n;R$*g)zj>gQkvml>}nmBuPYMLK}IRu%FB8n&l=zK%uAjX{{V?c z^QP+$w(j9O{H#9_UN&O~%DkEFU?!V(>QxtNX2CrV1N{AJ^2`c#u5c>I$s)1JF*wgm z)|^tGl=|0`I3vW;+sCprlU<~|7 zGB81=M+cJ5jnS~kex0j90sv2~R*(i^o~y+QT8hIPJ&h=GSQ<=axIJoBOokwI=7txs zDv%bdPjCZcJt?v?4xfc*%3I7QCz^4AEVk1&IHXO_$?Hgn88hul8wRV;21|@{-m`90 zD)I>QKJ})<%xrb4_m<#9ewC#+W@kN2@>w&GI?^f2<+Fp=6s|BxKEw2=)vz(|UsDI7 zK5ARCeghr3$5qWrc?wNe1eNW{=bDx&3$EVaaqn9$#%y`uH@_Wysqq5M<_-uLG{(m7 zsj1a568`|U+j#m_ButoqVfuHh3yWVj#5QOL227uz=lWLAlQH?2$>SsRsO;ent@*@d zV~DUnUMo6~=CUfB+%C--n7UjlkDLMrzDM{Puc^T6bErWdSt5^7wiy02066?bPNX%{ zll}6%df-!UE`)a)Rp>u8ysH$M{^NY4A8wVbqMQh)CZ5r3dI+u)k5Hc&Q zwTECFS1Bf%+BU40Fg9UkZGa!UjNpDp^sc_nbh)&O;Xdq&8y<(!xE&`=*`Ad;p3*l% z=3K30+*_74v~Wd2V+8UkGR)ZR%B40@wQ*W)7*(v>t}|KG(HcQ&R^3M7QRb61&CGAg zi83hqQ!`2p9Kz*8=?Er`)NZHDE&-A@GBZeAZ{YH z@6&AVjr=DSkt%@L^{x=)LOH!}lv1^#uMkRE@Yn(!C^cCLLGn zQS(v*k&0(bv8FKK<1}s~p4BD;rA6uq=~ZD|dbuEs@;Xz5fv_5(T=mBk6*!91KvKZQLZ%ib#=SCz>8GIIA)3+f1AQ39YT6ih7yv!Khm~sU>V2 zR9$NpS{)v0+$)pKRY6waY*8J;Ot!Ft+LCK@L7a0=Ny3h`6!$8_t}A(R@|i2#ry_+p z0~H%T92#V|0GtDnP&3ytx?|aarV?qO(&rSIt`x(?J9RaUD*&o_r|+mnX>(4%OTL)L zG|*|wj`a2riiGy3?EET3#XRE_bAuotD5{raG%U4lUI!JOK6_QE-3cvB!H_f9A6g4> zidj&r^y^LPFb6eA&|TRSA8JE^(SiPygCyWk1&Cfn4;FE|vF}mK7(hYDZ%XJQTTA#L zM!A9~RRNiSC+WpOHkE7k&<*k}zIl|!$MmIlyDHbv!$oXlDJ1(k+ z9OTmO=OFZ^o=+5lfgY3KO>D^)8A4c@0|*@giPj%y^68#}ePdtq0 z=3+;^SH(SvZsx9z+h$e-WE$`7U1W>Qi9#_PsOW#fyzava!#r1aq{%z;SsSB`e%%|UuYR*CBPg;c!Vrpd`wdS+Xi1azBx{gIe4>`{?;MwXcHd7fO&j9{) zO640J4l6*e?%Ar-MH?vxBk`@NJ4qasm%3-i5mS-WbBc~s^SC?}>F-lVh^9GWKs{>2 z;unj}QoEOuJw<)B2Nz9P`0DpldKpsN`LHUmCkGtVI(6ZoR`jcyvbd>BTQi%wiJ+b0aq}Y(Y8u$p(mS|g)FBvdBb`6E z-H*`LIThwkJg PF&Ft(@i@RgjALSk*V0?noypCm@yW#;E1}6R%#<|9Cxj&A^^dr zgj2e+V;R7xtU-!E7-F{O+7`1VP_2FrDhak|-2fq?qr8xLSj`omGAdEj z@)i|yNqkR_dZhI}nAo`MRdSxkpy}r*wR%CC%+p)sTGc%&%$Ze`&;?9X8cnnaXEhVI zH9HO}yn~tmRI6)Lkn>hisSKKw3XgR>8e$yFm8{9)8R=S|!BlPsCV(^AF|^eyh53QS zXg@<#E>9my0MXOWOjkh>`9*VDdwSPL0R;sxCjx>gL8Z=U7|F0f*^KD^lWu zC;|ewq#$>x7*t0zhC?Jk=BczeZk3{HCQwZ>N80?LRf(=PEkPdwv-L^ZILH+&v|0N{ zm~ah6G&_`%D<;O_-dq#KZj+d(LT5X39@z~6aCYt=p8G zTrb^z53#J|x|bF~Xi&} z+>%1C?#CZ_i0SRcV)Qgcb+!9V>4(kz%w(yM4gekh02GfJJsO#S%gc0YWg!;8#6tLyEFS^r-VYwsN;R70;MsBwYe# z4nF5K+>^T@xgx5`2pJ#_Pb1c_jwXFgULHLTGA%kuS0kn~Q^%yaBR`MQx=ABNA0mK# zs=gQ+zpP2@N}!hI7__vFvTFQMssNy1Q@J%K%025DP0Mpg!7Yaav~f|qxE*+=mN~$t zh@FiqcPv#_m9dU0Ng)cD6(lROidlICQof^0LmEN*(}UC+hkBopjtJ*Im168|V8f_2 zN>$q?>~PiVVI_E2@^Nvr?pTj1IP7XfnMvf}ilw;jQR`A?=RTF%oz6EB*p+1>WHbc((*eO;bq1IwK?Xw%XV#&%oJ}zvgCe#@ z32xbb<8kG?3bSd%Ds#Z9*Nhg}vFaA7THVSa+v~+u^(95g8dlOYWDt7f8nRn#$fuQI zR78M9lhhiuaUzIdW9!zfUczWuW_wHZnlsRe2h?@0{`%%UM%LF*i=?OneQVCAkUZ(! z0s0#3d@lb0Xz=~rspX(x%k-{1M0)l+u+!4dsU>k!s z;A4{ZoXGbuE%I`*voTy_)|h$c(x-GeBN$;-*o@?Lu2gjgPALQNng(<9q$%>?Qwsr< zf+{lDY>u?!7HIN!@lQao_|AF7S`Gq$D!QX8@_7{OWR6Wk)N4XQ**K?;Gn!(Kl;TP4 zNTMk*Ri#bCkyf_uG}#bsz^YEf%TogF0~ z#Ve*i4k^(LM(5h5-tgO2F1m>!+^6uV;87$1k;;r#aC01)A9R6{`c?RqfMBHbt5&(s zC1hu7apu63>Z3ocXj-wB649PY2OpI(<_|XBKzVJQDyD~WQay|^BRhWzm!$M9JHyb| z`&^O54eVSzYzW8c{vVAt`%*fc+>vJP}rwaAFxX^Rm6q zc@@M=vKG$-iY2%yHhWPcoZuc0AB|PGZNAXwS|M-UAUzLyUXpRsKDE(fmG5DE$2d9bj@4laC<)GY15gk-9*6$`uT!Jq zFutrQt@R>APyw;Q8RzlqQVc~TZHz0BPq`T&erNQhKt6m9q~LxuPlE^pIUM~emc-vt z&^c(Nao5fyAM^C8+LV82(u*!Z+q>}}{;IKU#3-5S#gEdFP<@%9&t2cGCYx3oX*-%% zur{L|#k^lF+yGqLvF>mQA3_+4i^IB_#TbFfRCXXC>Zcr!z>0jgUuSzO^#p`eS#kZ+ zHlOMFRz|*l&cbU(*(yjT1$}xE`E&2hMk1Z#&D9&>YBei#XL2Uz2A6(oD#q*WdVRgt zNAD1B1F;=NPHvQNO>wPtJN7O~Alq4YEu7S?a2RlEq|KVnrl`0(G9A=z2AM`Ho0Q+3 z56wF@A4)<!sJD^cfc(-6mX@XMP$Ohj=p%>8mgeo=J6J$3<&3j57&rGrYT~DQSI$ww3((gXfHH!3ju}1az#ni-{{M_@NYc5Q;HKBVSl`%|`M_;W`Y-f{SHAPw~$IwaYR-Q2U z1aLX}p4E%0*blOj22M#oTHif?TCD11+^jODy6MfunAC!jvF7tz%C1H`(i<5hjS8tO zPC8d|`b;k-;yLM3iyL?o zq=wv0xk2X#6>iGPOX&))LC!s@)LLWO-IhGB_|(dzHn=4#m`xi-*a|}oj2g2IgpC@BxkL6yAK8I&}ZX%fjZ5UP^MRWR(hhI##ien&n_iQKmQ>ToC{nxmqNv%t|#j;%Z ze@xaP4ZF)0_aiwQhuv?-q4xvUx$(_)nlk?YXy})^Dgb8k_rsp&ImhS6<6Nu9BvLX+ zjE<*kD-0Drgm$UJ$)_kjpOC7p6qisHJWB3*N%9q`jomaiS8!3x>wS*84hHWzWCX?Wt8?lszDvWu7^&dTNwLF zZ>hpaNoqv~uWFh_-jR51?$8D!aylHOi~Z$2#Z||(WwX?@?h0|%o*W8@(;2Zy3nqLD zsWimX9sO!1!S6-HHzzc?G@)s&#RVbT%^RvL(&m+be(q^Anr=lXr)vQ4Xz4(w0p5}j z-jr^|D^v{lHC&)r5@Mbts+;L|oYZoGVUB7Bi#*eVd(pm&SPIvX2_QftRb>7l)xNcq z>d4qUR`8S#Mh-?ftm)$oxEy414P0HcG>YaZg#}5^%sXPO*nzx~ig^AZny6H%!LFM~ z@Z9$rdDLF&-rf#C^BV-8OjS#3iuNpiQ_06l%blWb zVisb~JfE8!;B=`hbgA_>b%4eSjQ;XKzs|MNZH`-Nl0ja5DwMX7Hy{0Uzm_Q;W$vo7mux{5c*~@zv2afgVUJ=zKI#`I|-UGm6)oX)mQmg?d zKH{y~YPTACGQ^l;-#sfuBQ}pzI@O6%(g%$97|5#s0B+OeW_7((&s97T_*a-osoq?Z z=3Es7^aB+McN~^xKBVTTn;w}qxnp9awaUqk%hXm4{{W3;Y>Oq?{?k_+S&uyabaRfl zG-y&U-Rk$3WSOQdp4}@o+nQYGtue8lDGuSw@z$B%qy(=Z(x3$V-22ePS+Wdd7(7>F zrR5b)Fg$mf5=KLDSeMR^dn-msQr*TYinKXTLWKE|Skp_FPS#zZ zb6HipH=@#J<<#V^GeuBox2`iqL{qTl)z3a-QrNaGMMT1%ZI!rF?^=oMce-QzqPBN& zT>hBfBJ*2-{GzlnW67ijlQfy8NaKuD4k_iTCu#sdFlt3OrYOxn6bycI-kuFc1w0A_ zN*m>>Q=YYC=M_%ikwD6=+*K*pD^^P!^Ht_NPzbT7^~H3S{{V1THK&kVS5IyMN&uHM zWcH_Rq}xmY8gL|10Z!XM3JtIkRl=~GAd@Mm~%i1wUw)`V>PI? zLsVSEew3L=#jrS+is)0AtDUpr3>s9njJfGNtXdYVaDy9iuT>pHFI0CX1rhqP3pkBN6#mU83KNi%CvY{ln?)T-}^tNOO*r zaxlv#^f)SV5500%ij~tkXiH0-YXKQzc?Y4W3k|?^rL(#hR-29vK35)x)|&A)GQ5x0 zy!%<%ox7`{vOcPk6M(E+%|iWT0^T7 z*FtOS-?T=kojA=cxcNUCse!u{dLRT@_o`C6)P|H&NPL+S0iFn}JB!4qdM`?=BZDgA z_;H%D2-?+G=t!{)itD@+I;OAWx%nqTgnQQ`Y^- zI_sz!+Ui(XV51RUZF!$Px#uL;u4{<0v=`C+$>Rk5MR_Kqc3jGx!E9C(*Y4(bH}58n zYC!8dve%+$_X6U^3A6W>UM@yFj3 z(7S3>e08a8b`j$Mj1CPj$Ik+$CnA(6G_EoLxTOFZk%rofb~;hG$2x$#R0lZ8rUN*jy-X9fy^l8-lhKeg z#5%b4$g4_29m~-3`PP43z>bEjLm^aBIUNmq);x*Fv1z#(p)uvK9qKiZGLf8Ori?F= zG3!g0sf4uLMjtAlsH^r;t40-%%mzN9s2{k)@u$J}U;W&Q7UWG`7u^Fhka+_FvGlM- z#l&a(=O3MDrtU~5t!F~59psES+({Irx?_U(S~|T7%`P>_gsfI0x!kX!jQ+L7$#4dy zWl{3^gn{f&Ps+OuD(u=>>NB%o3uj#8oSp_gxvmv~ZhMO5t9ePsrH;%!qXi|s&c@b2 z>*bTiIG{5Ff^a+5Wu?iNPf0=f*M{e~tyttq<5@V*dhjP6R?+UlT*}~1;(6=qRW4bM z<@V;ZLLrAEw`!ourS}uTBvOkiLoDVn$h?p`n%I)+oaV?8{Og=-`^A&E|5-ziKetgqSurMQaLpYhhpNJ zEyyjm@us;f0UUwBs7du0o?&jMHCdPrI~tsfE=5(4kjAbeW5Fd!&q|>?9FPw+U`QC? zbTwU~CQ?5jyNAqKcz<}Q%;x_RbD>t2ld4u18SdY`iwKY6FzpT zw4bSF-M7F2>ZYVC^6*A*38-xk%usupm|z}9O!6yvD>IT`5R6I2Mgw<0r}C*I<$yl5 z6E;xeJabBp0LeJTE~LGSDnSJOO*yd0O;$-jRt!k{%bK1d2q2$-tx~a@O=?J^Y%!l= zDaxbD-bc6LNwkg{M$T_Tk7}{bhT|o!U69i-J+x4z|L>rGcW2X&KDf0Ij;oU^qOD&Ad zL~MA;`>oj4mF>f!I5o-LIa}i>I4pNpOQ)69EWs!D(eU2>hPW1uqtkk%%XYG}sLfm} zm8L;yLQSHOtsrV}ZfV^Mm7+3-(vxWwK1DR+ ztr`WHEu03UQ?+ppzaRG{}cD^yzfPa zXj*{Nd_`$`U0z^0dS?a9XgQOX+(E;llf&{S+U3=Vr#iihSn&;i1-YLFT0Pe`Uo*AE73^wBBz4UpOzn(uEK2|ARB6o=RGR6pQSvg3ygAdE4%A)akEvhr^ya* zMM=02rF)Fi)x#rtiso{Aohe&lmdA|b9xCVAKeQ~K+Ef^mAD?4D0f!>2+qg}jow(#5 zN|{OY+?Nz(S!l88m&+jC8*S^@``1r>rD)zFvRBk?S#G0Urs4<0pbm#0#67<%j^%`q z0K=Z6(EUBDJ#LIL0vLig2Q@z0tCu=tTJZq>+b>d6F`;{Vd9BKX49X}j%TaxMycDkH8Ht=(d5y`JTmhG-?WSUny z=}`->^t2(5$&lGxhtE;?dQ+o2 z0+4XQeLB>!M6MiiGxHpJSGi}<@)JqBnyD-oHgVa`=1o3MRA()2!@3jo`=_@R&+0ZZ z>6dM9DOTVzNS}5^Js5wUI#t7nLyq{VbxY~*{GTxY z0IFTT{d&G;^cqWYz;TW#tkqTt36GxC{q>(MnJkwy5-Kh9yS-`HLyjqJ&MxBr0C-b3 z3QUl|j-r91t7GR}!zAzfh>r7<*163}_I*=Om6ezyHhAfQ(wjZxvnW7HCNNYU4MlXS zw(*5KTO9VyV+wq(?C5oQv_wqOA`t{z%Ac9ScG+K~cBdPjCAlSof$ zX*uSkV(#XbBhr%@ry>??Vt^AF994^C+}R*|ijT`}_yV-;AUk**)J|4IO60I8LI~;n ztEJQPw+67|fS#QzdcjI42DmG<(b+*X&16B0kwkz2k=mM!1~Pc1U~7o$dJ7&ikhH$mAX{X+}ztLHgIyC!yd;U5|oe_JT?NEE?za2>h$*lhKWD+r}J?$1Tuc^O~jN zG*_N0r5G-|BpB%H{vxrj7U6!z)FhQlcQDDO_oq^Kt~0o?Y%SnM>n`Bu6FIZ<5Bl;0xPNg4g!YPK>SDNbntr6-DFBVkc6=B6hmnBQ6iEMwNJ z1Q@6g;MIj;iUue_P6&G-(ymoY(mbO{f-oQeTz)msL;k9{(GLw7_nvR}lGt)e??YMLvH5rj8eG)u?PUCP1sOX~&F;5lxv<*^}0-$6|Xe zZN*>*YP6QBJ5bn>i58unaaPj6DCt_FAiae(%BbXuha%EBt$$8wboO|M&Yb=g!Y=of z@<{a=uT+thvJMFJt_xn%A5GK@k&MGHEB+Lmud53+dl|8xDZ^)|tvIGxAOv+alB+jN*l54T3o7N(e?O-8&kBP9!8&2F0czM^p&~$*H{DuU=}nVZ4lW zs!GJNL~LXMPtST`1TIBHvc5)8xaDKGkE9L~Z1GO>kK>q+R zD^+2_NXm25chptyCv#vLhE+E5tffN*>ODnmMtrh4t48rKre$fIr$EM@kANI?6t{s= z_Ek}UPDMnA92)m1%bFT5Yp3b&!nTb6KF0QRbi&{Ml$ z7`@xCVgVn8UOy_IrDrP@xr~nBin!7Oc8>LFYAN38#J0pNnJ3<@K`+eX*0a>|M|!aj z`oUVHh-<0n`bFpVhJyBve|re?BliQXd9J*rH5Mbgu&$3!)LT!M*5f!ueXsuOaBC05 zp-!ZBAC$}TpKR6+9K7d5Cv_hqn_`AD$2qIM8o9;v_Wd`YWgg(wW{3^oo`$MhPHgpf z=U#}wAE$cqu@UBlj_fq~YFzXvrNvlDH?KWwH_RChrz*oB`%|8MfpB@vcups|ex;|B zE_2$Rt{d{IQ%V_f4_cNd1#%4>x(?;g3FI15gpMjG-y~+PMnPYtX7ntB2OQ8J0~x2v zM@p{898k3ZWS2D_?3!k9dGAOYoEobFAG(o_7Mk3QdUHcJ6vWKULj)SC;yE<)y|u_m8Go~Gi42M5=TQX>Jl1DpaWHUiw! zV?pNqd8s1W7xyZ|$r&B1K1LC;oOH`or^n8Hz^Zd|Cf`LgNrf|MWJb{S5C&L82=@7f za*jSj#cbOdU=-$m%~YjfAXcT8{-kAE;JI2Iuw?KLN zR8U-IKuPR#gGVjZ#+|e$XfSsJ(}PZk{GgWP9x7PdcgozU;(=}gvu6NimHIO5AUT%= z@@nD&KDA8%5`&+5wyp~ODr6BDB<ZUDzXIKL!5YiEEuRi`9;>T}OE1=L<=&N-@$ zlO>_nin4;P1-86};wE83YslKgy}XPVzCp9c$?@Grc5uIJnxQCylnsr`L?s>goBD9ScRUVl1z zu}23SXD9Nl6K!Z@_a?M1w=WRm86)%QNv$Ec(PoIRJ41d)pVF2htA4T$#|QBOwPcB1 zLNNJTa-X3TS-7+=WTctrx{ax|k}$_DuoL}i-iLkmJJ{qWpY@JTcOR<~e=6tiB{JL) z`*ECbdRES@dJ$1S<*8hpb|$%-gORinG0Rt~)wVon-R@MB?fcA5;#eF7)S!1!4s?B;7Bv{{T8Aa?7-OihA5l3xrTd z1d2u5vv0jc&zs5XPtFhJOiDKbr!`tYe8s`(nzUOv=cPu&KY*b!(jeJ`%}E(lBq`w2 zO6P;?M#2tpReO!3NX!WLsDKWn5!7Opl=Sw_C?k;HjWlN7qNDj?5xE292iR1xzs!Bb zK;V{NttiMO(rV>goum=C9gR3YBc8QbxMBu*98}4i1KOpaWUo8Gpb~t%^X>2LRi=|` zwM`)m2|fCb)kb#!40af&80sW8>g>i9!tYb^upNCXmso&sSd8PpKJ|N1nbHQ@NXV@9 zVEZTNBvH?y>0a(u)EkTHd3maGQIhC3FgFnFInO4qt9f?e#?V=CIp;M<$Ce|Z#xQDN zq(JOIDi@q}`q#XX;LB3Li+J;J2J9UARjW&uv(+u+0|_GS&%dYi{Hm?2>E(!ySe3!_ z=b`-SX;=3nB=Etr{nMZFYLN}u>Sy?h#VmC~@c=FE7tV+dgD2)+z~}U=td6zVYtS3t z4GVy|duH=E?5E{n{Ey*WLraSDaTNI`&r=Oko|=70OM28y_@)_i-kMHFTIWdU6v;?f z8i}~1--@9QYD56HG@J8Erwy7yYUPE+MIZsVr->8}^u{}mX#o~F#Z0TkG-JIxb~;i3 zV}VGRG{(v4PK+8D4`2mHikY#unyy<0k^$$XR+E~Mq~jG9H#IO2Eht`UKru^-005+5 z4+fpulmKV~D3{A~l1d(;otkrCRC%Cn++5P`q^7kn9vj}C2&&tNH1%(K0O&j~q%O0q z#}v61(GNCqKe{`8N#eS#Yr{!6n&a%E*ed7uoCRLMde)DE^q9174*vjXT?|cehamcY z3jY98S)L`=C77}^GjHUAcppz{l?N#+bS^Sbi@#HVo)IMSKHe9O1!hdSW5-JLtq#iB zyeX*Ox{c+^uJ2)74EC+LzETO~{V1h~jHM`cE_B+8mvb!t+@2* zO_U9xM|$WoD-d(dIU_CGig-d!JJrZwCe^s-H7(c%#cHh(C)TEp*aoR%La3?-YOx$- z;~><^Rs|NwJb+s`>DI2>#m@q)LuB$0cJ-@iY$9b-!LCWwTN}cg8E*)Autq(7>tYy! zsKro-;%ruoe2jyiYUP``+f7*#DK#p$%gr%BJm#Lq1E0pRW|p|+Vy+40YS?AQMO05i z_!^Km%Z{}#Qj|&7O|5`yYt3iTU>GUrc@;Y);8J$(&y;Oj<}ROWDFY06M)CoIZ`Y5;P*X_Mqg2Vq(dW`W4b zMjzg)&17fZ$iz&Z{4lStr8PBppD$7_FH*&fh|;ULQp3GRty-nTGKBlM+&;9y3GzgU zMn~Qq{{RZN0trZ;hCEe%_2yc~uC-Sc zUt!H_>H2^|GHVsOR6<6LxHMkF-0sGvzF%6!zq}F?&sxV@$J^GLWiQmyb8K-$#|+u0 zijE=gRzeI=f{q#Z6N7-T{ZwP#P^eLCTY zk^~v{t$^h|{zs)SZj+GQS1r#;tC*v~*6^If3Xfw`4-L#05x?hKYdJxK%}SR&x_gSH zQb>nNEafKBFCflSaQ3Q?9I5w_hv8l4+DAk4eQCdCg5@$$XFV#_cht?{*G75yYHsT7 zuCz;OIoVk<_<0*XTM>@cYP4CKp(J4`$*U2J)xYdJls_*bfoC*F42STo6q7S>lHCnJ zdQ_lNZ7b9fP6nufMOL{S8nv3QanREP9#sXZr0IsuU7PP!S@{6e3~i{yg14>50=dXv zY`D#I7LB<@QW14VDrKmE#V+C~0)8nCFg-gt6vizmG?Y>hq|y^jVriSG9furK8iGYM zwE#5LOU_|6XqvBdGa01HM=2u|nzt;jKXN&&d#Ld-t5!#7!8Iw^b~I#@El-Vio@%po z7&T@V3)Za=SzC3;II9U59jc?=q+_jDeNYbcu2Hj;QOOk)w{f8AE1#QJ$5yQA^E)|d{_!RuaK&rZY* zX8TSL6&KmeXF1JVX__v)sisRJym?X0G}u`X2~e&NQBkoCfTtA%qjG`{Ii*Q3d8@G( zCq)a8z0PS!=dD*V{M;Iq4tX?Kq{VPR%Jme+26Z5gD#EDstI2GM5N}bFOPa(UA4Xz>>M+1XndQ9#V`G*1cR6Ypzy#c&b)+M7HwmJoKsBUD;n= z^+6jQYQi?<_Z8|bQRmJ*Nz=7DW6m?$k{)qMGiPxWjFR_;1KOSrO4MY4b4rJhYLgp8 z*~F0__OVuThs{+dfPEMmv(%c_FH&B_-~izI@m3+`TC5J_R;v-=TpZ(?eA1`egS7Ui%r~hc(~(izfJa|y z&!M4iDGMGxwQ@Oeoc9%!g_2IZgI0w6;F^gGgy({-pT`xm za0ydcEH)X`^mv=|9FOH)xLI0g;KjwbG9-(1PoB7{i_od}nH+@Ty6$;qy0jbvw9^XS%BV*-}p2HuVT8w8r^MP9QFqi^&08ULLWB0uJ z;+%LxO-u$ZPXK18sTbUx_+P74LN{D7%}F)F7mZZ$p0w3cB>L1#aE;Qt9cbHRb1vdnjEYx0+Y;VABUxL z`}AWib1(pp(GXL1Nw^dZPfHpCFCgfs-d`ELG%W*BZ#EHu;rT=9gS<;0By%v^=iZwjGC>i}4&G_7>{VvZ2a1x@ zAc}U}!G|G;s}amW!8iu0GXUo!jCCE&PD1>yr{p+4<5bcTY_Y~kJ?Wb!^HlMc4PM!sdk3}F8NU+}Elt)eQ$i)}q^;XBHL$1TTyN=u>U1_L~d3N3`C zJo~35&mm6%2c=avKWkzm1V$SH{{X%8_Ny&D|lWRs7~R#5q6UU(xPm2BP; z(WKAU0Jc4A7DWjl2kwT?;A`kI+53$iPCh>U`<4jEbBBh#0QagPNo8;D)rE00Fg%0rT1P}=-PwuafYRx)$h@phbM2E@xX?$xcA2&sIDr?Llm*N&Q#~{?_OqO2fFHd*?jc3W3z+GvbhNu z!b$S5>@(2*b!tq4LktjbYcZxXLZo!ZUihoYFPm(#6P7$5sICeV=7pa_(5V!qb<}kx z1w-aylg_O!iL)jvOd^@)(loU!wQyx8)?9 zWZ+hGkTVrQv$J+v?mBd!ZCy+CW zui>yH)}(*-4lBW&oRgD2hK)4@k~^hulpoH9Z+x!EK&|I9-uP61UvYcdfr$h)iK9tJ1 zyEz!AfC$AWuQV(0J*r7=;K~nQsQ$GJ5V!-He1znY^{ULoKPTx|6h(=~I0m7Wwx)B) z>HZXXnaBpKv#~o!Pgyf>%7% zNgS{s(h^Gm4>UK*J*twhBP&uFi07qZ*}ne(GTpxPS!MSG^ZNe)I_R!rSz$Ro)yhoG zXL^&2{EdblqrGfK4PlmZZDxMQ2y*LeqS^f`B-VaJ=l61EDLt|_pXh7OFCu$Osja0LcwD0oaB*Gkns(1TW20fQ>)8JQ zO6ELc0gJ>lIUnnhCC{lN2lW-rjD_H(*y+Gd({b)#hUTOPBB1F`-ClY}swSn6o5^{QXmOe*tU)e_{v&a?bpAPJn%T2!4q*0dr%vtv2ns*tq z;%lh{iD_&=jsduV=sF7EFXWO|`$fY5T>PMR70_zhfOmD@lE*IIwaIyNU+N2O3y+xN zFVR=j*GDR+3$q@7X*(m-JRPUm>mC{rsga_$4ZOF?tp20aS37^ApfUxB9oYbi?euYH zrubZGT3h+4vvtM67|Au8tHx63Mh14M85QzSuP>}gr=xlwK^k|al)4^ef8pnkdvyvk zT->oRm3EAQUb8%FDA|q=2C*)@IRSF&aqV8cEN4j^)o{IHahBG;V>VW=CAPt|jty>& zDIg~toK|%4xNW_`$Rf2>xt@nSsJQCN(%XoD%}fWE7-hp_tw(VzlHow@&(@^3jn#5b z1XFF>Q7G%7rELEID91xmoH=9ZRiikM(-kGWyMqqk;<;Mtb;NX0?vo^T&0UR7;6`e) zWHv=zgpP#NNt;DnnNS0}9cj#X#W_w^hFtTS%I21^#3gvA1_7{m6!5vgB9-Giew3~V z3jx=?CzuaU#8VW2Mo;HYSh9FLcA~^GSTnc~c&#-gC?NAyEzkjum1G zJBUtK=Jg%^wTB2c_W(B|J%7f!GB=?e4P@Wi$r|~gaf5j@o3KD8)_b;Ww1@qMkqDs<~K z{{W_K7(Gy-SF@h>Cz=ik>Qm6+A0L@DrlCtEhU{Y57jddp`=TBnvpk?S+3{TsgXLDX?tB$&?p;Y!{?8PVcv|M&cOw!9sI4Pb zEX~o9A?;18FJW?>Q#A>WL^xz&^%bI$dl|U3xG1RwF=^n6VjKk+pipQK)~Lv-O&Fk} z0xCAmS}H0wPig?Go^ef+l<87B^G#v$noMQ|^mu&Yt475-RqN&(u~p-?^9Ds{ZA!j` z65bU(Xcz22`Kh;dE;?0Mt=t?itx*>xn(9aClTbX9V~V({7pN5l#DPJg$PD)`Pt3-c z8CIj3Qq9FhlT&`hBwks)1uIO|ULZ)R+A+79S7Wf%keBOS9*haDX>cU2n@4I(aBD-P zLGse5p+4<6b*%^-1tY-}%eGWj9^#xS!iKJfw>j(B40F6=?t{ft=L8^~E%> zZlj>7r9;=fL}M@T&m)q!Z3DS+rK zGlG({IlhF0)Ksy)2nVH2sKMpU=BW8k-u5+9K9%oLtIr#w$E#13^0NL)oP$W;>ezc4 zfhEd-dV`9aKQ~(4GnK0{ymFT$9>9KeJVzjks+d_=9y3xSZIe0R)@oKRQS4l9VsY(O zQ0_%6xmo4&sqk?s|O@vq(s`h z3{zD`Fb_1bIU@(CG}t0gJJPAy@@GDjs&cfarg*3?sJSG6DlwG@6oe9_bg5!ptAcaI zC!orcF9dp4RpdvVyl0Brlnmq!Duu*bmpH8{%Eoh#GBBo4^9Mjeg$s|MqC_hZ2e-^B z%*o}D;Ab4;)~LrM#Z_OG?fq-s!q-z?XM>2K>B~)t?O%3AdsWptWCu9M6`cpkbF#9_fFrCwzlfdZ-# z^YSX`*VMP4xf0Pc}hFI=tUK#m?EkF8rH2;S!vPg6qS2}W>R*pU0k4*NWD1_Gg26*T3r$|0P4{uL;Wue(QAn~5YpG^a( zuM2r=kaq%V!XT101B`Q1mR1=juQe*7b{%~x9E}nZ7bw~M4OouW875gV%AEaAy-NfN z$2sPt07c*B&vDYRa=yUbv>ho9r7(FM@!FcPD2Rl>1E2$nkVadR!s9p{>BoiOVza%9 zQBu_9wFwMNQNHOI#b-=bTsR*q0zVqo*I`oOhI8pyw(hM7i18vhBk5k=Upqfj%g4pp zKE#ln$(-_f)r2Kch#mg`TB`{IAoi*HnAg>}AHu$Z58bii)a*@;af*76koD_K+;}qh^ zCJYG2Bk-#G)+kU8ta53gn}!{U{IOGgZcU9C=ITHLpU;|`c!)6_Ko}miN)dz|I`LK{ z3Q(3A=M}ot$*pWTLGvGzw;}QP*B^ZWvDK!J7+u?!=y?^{z&8*_A%R@|D+k2Z;i0v> zU%aHlC)9E)lNSdnckFdw>T=b$aMPyqB52s)uS4xx7P5SkM~r_EtPMU*w+IRQ-z{!J z+b+WY0DmjZc(m6nn(lkj)m(9EjhhCVUZQuaK*_|Jq-Nr)ER?15Oc8reUpoFIE4wEo zdj9~PE2!}lpJz*JVpOzo{KvL?{{WwA$MBpg_xBfWGPbap2m8d~e_DrGxoer6vu?ud zZ>@S*MQAp8IOln!V%#DpnkOmphFe_{G*S+RnH5cSGaD3 z;8&F`DKp)N#E!rW;}s{}U*}Ln`581c;a_O#e@gROI<7nexaYkijBp22PG?n8M?I=7 ztQ5ICo-;xjA`*Urm{_Sf=qbqGFasE-%yKdX9Yd(1Y%Xd_8O}#EmpA}`DI*1X zQ>2WyUi~TcCgyyDF|v`tu5(jR+r2>Mx{1(6q-MFx)EkH=t#r|PlRZ%VHgB|Pz+i5S z1JpsrZel-Deg>{b zi8n8=udP#ogp28uwBzYh#7~uj!;EL@JJ+POJlU4wCOnfc{P{z=^}Cf z1QH8-`+X`~qQ$;m2eGJPv}Yi`0IRPhZA|d+chKo{J!JWMB%b9D zD0W<$dPTS$!;#vhU_^nJr+O4KE>B+Ov~K2HiEJ>Y#Ung+ro^O4xNX_+IZPPnCFTPw{Q7UX^+rGOO_{lSJsNTdPCs&7jT zB52$mM^RFi+HSRQjH3Tk=fI>U;7%>oV$JloMZ7LZ#`Zcy%FZv}#Lo zULZ$B_p3T(+MBqRLGqRv@5OTOcED{1KJ|Z2auMZYgN!Mwb8YAxwvMN7tN4-dV6i?_ z0AK_sn&qw*Yn(HZ8#x4Zq=lH{kln^=KejZpls_u;u9(ItS)A@tZsSx*9zZI@9(k=> zOVYc3Vh>}Um6GZK%J<+=0|l4{I61~@)3dQHU0m<%VX)WXODp!}auI>UA@v8-+PR%` z!}odx=ao1Q3*^N*eb*n*`ihNbXg19g6?8pCc2MdT`sR?<(=$aYjP4wO!}y1%xbIn3 zqtzNxtEHLe$E7d~)}7UiiG21`BBkQzX;X!h=so*ZaL#!hD~+bNI$eavH9SJ2$2h5@ z9MTbKI#ELKD5wF`Xh?qN0M$S2i?sI(^(!h!*r~5wa)!7W0Od)?WH7T zz3I{GI*c#Ny5QGRQamXGIjZtlLUP*yqTyntzl^R!qDa{b*0*f@a}!DyPBF%73SANx zJHlf%PHhe);Rz4zMvQu%hZl^r@iK;$K|FG6T{Wv)fC@Sgee1|Su_sU)1;_HLZkmmx zr(t!+_f0NXu;ypJTU=Ys9yrJ0Qb#NS%wH}m!|Z%Vso6@K2#BAxOsK<%1d z&r7C0b$cGV(F6buyA3jXV2i(KBNf5v{{RrJ9RkWo&m7l72Z-%%6;-AfBO{ugRz75C zHlDMum`EAuDw}DplkgAJRkhXa%I!x}f$LM+U&hW0VXAg2_XsSdM1L(o;ztJ!=kW0l?0kStK@|$zO|ynC%Pfw+S#1NA+3X= z88hU*ezjIzBJBY?2^FHN7u3zulHD0jDj7cMtCHKz9}gQ3!l_A}rk(ChnmOG;&zXw1 zrhMuFs#=i5nX7t3b4#cf?rp(C#brxxv}U>qKq*<$C@N~vEaz_~F)6^TX|7&c+|>!Y%qA{sHL~>m(sPPUBgsoR)Uh$>zH$FQv)q@rUY z)6?b$+NefeC)neW-`1^0_{kj&3RV{_wk3>_BK>kJS_TtEBu~-MsjQMX1a{`9isUM@ z0yq_-l0>-QVx8z?99IMVbYClbv45mf~1xaACay|H|SFU^1P>^HJF`jW#06UJY9$R{6#Sx`%Kq2d4n zIvNwP48*#G;GWfwa%GJ`jFGgH`PWNx7}~?yv87d3%l+2t^sd}3URfMis$9@z7$h$w zZhr7MHGBKrjc2m?Qw1Xxc$3Y24Jln8KUA}io24YDoMN6iIrZkE>co@BTBc!pj34WM zf~=&Aw*>mtMPSTW_o+jH!3UmmLK{~do%jQ%T88F5(39xdsf&edf!c{sNp8wHEWCYd z8j-qa(wwsJ)~+n5k~ z;+=(+w+P-<-l?UbnIH}hww4fb&8mNOZ|E*5@N9cEl&#fmKM!>)xFtyOl>yNj}s^g6csXX+6qUO62lI@{D%k zoO6X9^(pr4OvYqU{?HVR@1Z1&R3ffH?^DD~sg8bHs_pZBc>Yy1Qh7jeoaZ%Z>u!YG zPcnF9hm_0RICE#o1}Im<9CNv|&yr9a+1x*hmiMe;4# zO657h=qp3Rj(5y|+UfXJOO^SXH0=&em+~iOT>eJ5p0H^37LrF?kDi{i$rP5z>PJ)B zg*j3|`p^ku&lvu7!(*UWBrM`H#yG1}5X*%eFG{BzY_e|jIjai(-$6=CTc9LT0^_AO z1=|CqA#hGT>Qx&Tnt|MgRonPe18wV4d5a+6gNlwt_xGw@nA001ykMHH(sv!HjhA8u zat#QFAB|{|Lb)JpsREEj7=U{m)QJ)FsZ}wI(zr2(*pBy^SzzkH1ig*U0 z&69GPp(~1Thz_SUETkyns>?Rq9(e1DYV23JZ50Ch8j5LEMh8l7m_%fg$7-QG&79zr z$2jUK#y4SM_e4m%S3P_%O=?LoE6J?e!SeH66xD?-jLkY>2C*LFfhJ=o(>*_2R;v8(iAM_IWFLsn_}90Bm8Hwv@pA|(DUFQmVx(YVuBipr zxe5+PdZV~*R>w{aTeXo9mv9As@6($0W8`>~K@&*~tbpZmKmBzkpvgan=YhN$yS6o8pppPniZXfKM8 z9>$|I-N4bBV-$6zrsj<1iwIf;6tvI}NoPJE_hAtvhRASdN~R9lRn!Kp#rhNJ4;d zjEYm8iHjjs^4Mf&6**YX%nl7jISMjxJu0u95c2sIotrGs4}r}ycyFkx;#DIdvrY^P zWC~oPCfpBy!lS`P^#+${ZfQp+9+VGpRXO67jyN=+;9{7^Jocbl508UZkl2Mu%9Ufk5fr2DUmWZk;x*G1yH32 zTvNP^t1$$7*HXQXX4S{;P+GjaQlcCZ~bn&ov%DRdoG-46Iw_PAa+Jde|n zSz;;OT-y&(KXiEORw5M}v`;Z;n6z#4DI*yMrjES#q1H?X6jJu0pbc2BZ6;tHwy^cB zBOFpiyf#H-%WG{U+nF|ydsVw=l~*X|ZaNyqFQMNh)U+XhApDBptm;eqlRW4EDlX*D;wDlP9K14YcR@wt{j2z(m)?BXk zH0DiQEw2i)jAS)SeDD<75CUqdui0)va0nGwQ@a@ql{aZvyCOx zA=!!)+Y^dMJvO1JhC`M@yl`t$%f+|0bD)qCr>UpSWx7Q>h7DbhNQzN{7oPa7R;F)4 z%6Q<(kig^~m9cN*D=6b%GEbY(cCJEw9tD+`?(tbyx(uryodl;H`RP+-)T^`Atvpj{ zba;?+&{mupv_-z~8LtL^wAiZckhA-Ctth-jsX(J3u{@lbT#4*He&@L+pxf9)5yxXq zHw5~`tMDrXAPvhYz*-TXPU-~Nww@sr301b($% z-YgXvBhDj()}<%_uCjjt>M*tR{jQ*^R3@ z91uQWYK%KnF;U5>bxVa=-EqqGs!&aYXFqotsf-^fqnf#)3uYF;?^bOFLCsdT0NSY> z?&hso9!Rfq4@pJ{@vGEwnMvz@YL_4h>Lcfho2Z`$T&6C z+gq4y;|G(pX0A`8#DjPPXy%(Eg&R$Im1f(sRy_ztJEwC#;EdLEjkJ;vBCTDxGLQ{W zkb%c0t_wj;^ex5v#m+j^k=U5yC0D7 zhjgW8LaaJAD=@(7-yzL<9mSZviTvwg0N^kcfZ8n9?#-~{vBi2ATtsbV?0LAVQcs#H z=;zReI3u3orrOH8fFugHbp!}VKkI!(R*pi1D`1NCDOQZMIAsQv$fZ@D*KjOzAaLHSK^EKFcBanXf!`bGEJZ54qW z^#`%9GZRkU@;yvE@1rj?V`k<0RbRLm4u_B`*^|+DJw-<(Sm!5cu4K-asZ-5x>w)s; z6*Nr2j$0!+0;3U>QVGsFdx~e66|>vDR^;ueXtocvIapwy#-IlrW{yGPm5NNX8$4&} zQYQo)@I_Py<*DLgR8+KDW$1w5)Qp7N4l}osDk%C4Q=^!~<~PYx(ECg|*3Ru?OS*QI?13VhK% ze;rLmS6xeDP-EoafrC}Z%9@PyGa*W$zx{@+KcP2{xdy1xTH%8r8m91-=Q93dX zAMYvmtSOzr+(8V74aY-VRU^wQo`omR3w1+_vN}k-6(NV=UWI8OnlzN-4gvhddGwMA z6(mex_4YoM*63FTZ5c3rXvstPax2fq!$l*r2`e^@Ha<-IfZ&XN6&Q31z;q`hXT2jy z=fu5;H4_8R_fJ~jOS#&|%D^0TIpUyZF}d_7BbsB9rvtB1?^3dvAT9=R+NcpFvook9 z@=qV(R=4D<0oSOk)wo#B(hfIv?N=h0Fejn@wK82wi|Aig7{EMJRzR}u&JNwD^UYTC zz!(OjigWhp*FSiHQOZ@$gt#K2k%u1qR3>mF*`C!}dB|Us^%?pf@+%m?ry>ao?`Zn% zI0CE9Z@MgdVyBD-k)PL^dtj&MTc=_ya<021c)Rr#JT3DnJ^Ir%CGxKo`NnW)L${|| z`Ya7jXd}SJ)8(7Jx%}uy)LJgwiabmZ8Kv9P?1fB zKouBvG`A=H0&jK4=4zx8<9dD~rf$r&9%!20X!7!L$69g|@{oECezi_80&VSD5s%%g z923c=oy=!wjA8QtJ5$epU ze>@tb;Mb8=T5R_yJHZbvxRyQ6X+ia*j8lr@vo;X5G@3>=8#f2(LI!s5Soz)^ff!sW zrLd8VQ3t{5H*zpC!;zn*bNZ1m*+pzg+%j0V9Z%_9P`bE_O-Nw5cw!MDnGr|M2TZq6 zI2?DbHs(`vJ2M`q2D>UbN=lpA90|rTb6c^i`^c1@xB{kV_Cy=|z>2N7q;gCTP7zOY z&dejpeHQ+(E*e_vWV&62*Y|O;w0~qO>4zBdNVY6P~!a|4oj#%krp9Bog=sK+?L3&(1=6YN|QlGQR=+!0AL8E|{k+CYAo zrMUwcs^gwBRlcQcNP$bAdXWcGalxo~Spm;lomd{d=wn09c7g>)*~WcpWg})PDGpSD zQiRC7@7@49ikHt|N4-E7b`Nn?bOn_w>IyL6B~@9b|d_1D5M5$pnSbKJ!?Z%+WIxLh(gI6n@)d-8p)4_ zQ|ZNfI7a0J+2z)y6x&AZO}R`>%VQtmPPfWW3laQ($kYr@*dgMJ8WLO{T3hS?NkG$?HhcIh@l*Ynifcqb=|#px-kh{z zlnMkXI298y%{jOg5$i>P4hEhLFjD4_$J2#I8lDXREiEN21c*&Fo6>@PX^p|4V}~^E zDS*=1oP#a3Rm(0E1xH;C<>mnjbEo7gD-02)@iu%3r;dvO($ zHKb(X+S|z)?)~;Xb5DTJ^uiYJUT-D5t zHNkiFt{PO^cV~R+TSR(if}rxWkvShU%vv>RoZNenjUC)Twg1c8xR@NI3Z4r^8rIL%DiNL{{$k(IHWW~T~0GAT?% zjyif8%Hewy!=Ch^#%abZHyH=GGz1;SDVEw~r~vCqTNDFF#S-qn=ZDG_=F!$G4_9KZRED zlv~v#2MvJ~pN}d}(DC@xnslFMl|yuV{uO&(wim#{0L{grDgOE#{{Sl1apr?W(w{9v z&NoVwNvC;E4&-CyoOJb5{Od+8m4~M9k5*WNU`j)-4@Un0ITgRamBRhp*O4@OW-P(Gf5md;BlHk%W^OXsRINfCxcn0cQvMh!2wAIc@?n}vd71zW+cQm2*{_c z(<@-~HE@(-MByn3dn$#)00}*5VYY;-oOK;(WK^Cpy9D*8MTBsk)k}M_8frEmpGk`4 zi0O{?&)xWP>(5~u28{aGQr9cL%O?#`O)RKR(VCg4JxYf%Fu$^HV6@3xl^v?AZt59b z7zQ0kt=RQxTQT{6FzOF_omvaX_Oxmbf30Q78Enm5+;=&U!+I(%NU1I5m1gpmGnTHR z-%7Mx9x^%2Hftr0Pc}AEKa~!HT7s;ehdTCm?Y;5$c>~sgcXjqZ-Q-KqXT5FDGZgOE=Webrk>zIm_?T+-n{lXI##$nJ4l&-XK-0;l zDVa%b#;2P56=Mb+GU?*;9d`vkbPj1QH0Om-Nzn6y*18=&V<3`2fRUa>OMhz)qYKXq zhQQ7}Dz&jXT;<-;M#8Mf3C|TT+b?aMjR4j_DZi6AR^h4g28Up7gVvBdu-t@P2;66- zN@ZBohfvhwWJs=?l-=_j)am1k`zbt|$W}q?(z8F;ZeV`k>M9$nGjRfyY?0EElG%ez zY<8M=k06#%0u=4fO6e`US!poX@~HL6uNs$2l`$f_C}E$*qw{X!!tO38<%V#UwrSgFl!hUt59KL*5S;qs7rlG~6^e!|}d7y40iR4(<19{I% zt+H5C&GUTUKt)W=aWtqx0md=frRo+WCK7>*k3v1^j3B`yB#zYsSTJTUhJLsQiek$w zWp^nU;+3oglttWBj9~C-StC6V9^8!676!Os=1sFb2&Fd3Z!i*E76+%+nruWbr(4S= zB!x~rs-(Uhv?ab)PfQx!^DWVraKn+!BHUX_LhsM5T9aK!aZjPhPvPb#5Ko>7!4;P# ziKwENSc9naJlCN!SxYj62aYpCB7M+8j@4?kiFD%6IA|^)z?KOU>a@_@*MB&T!HPf# z_Z=!ZG|M}Wnp=4KcI#S461t)t2hp5BYJ6(aHWYqm0=I5#^gDTS#!L>QamHxXbzcqG zS;J_yB68qN9w+Lju{o_Bbvi3a%t~0;fC@ysP?OR z?~SdQ%r;hHD9~Xta!0*!H`b5$Dof;4OQ zdJ3ikPbN$49`uB@yn(|8+Qa2FZYdFSw6Q|Ed?5APR;@@^#Zk1>7VgnyRSDRyr4ZZ? zJFj}|O<5dLTAIXU=B`=6LU2nqiT`Nffg?iwx>@F=Fq=-g1uSSZaTi=J=b53|L%2VbO(k9xBmehWK0}0UZ1s zc52{mMa`AlM&}@YqP;p8r&5xrx}4Q1a?Qn^-kET2wAE%}#2j-|n^e5FlHK?WI6jB5 ztX~i6c4E`WXW1z^=cRNu-+OQ%sqQ*dIj14=G>#KcwJ}R1QlRrAIAQ%qwPveqlO4aM zcfKUjjorArfq&8>9D)36>0C1^nH7K|Cm8hhswH+}xytQ5yNbJ^UVWbL%471$)9XyU zv3om)wv-S++=ta_lw)ne;DSNO#dFkxX`^~`vrQg^j5dt-q2w4Vj$ z4m#8P!GI2Zja`XMu#ya9)R9O-bNE$cI2b+ZWMRRnXtd2p3A z#}ve11Yr7CwU^4*M~j#(6CO`JYCDsLi}R=Cmg!Jku-=})e>(K!{nN{e)Rbcb6(IDe z$4<26G<5=Ec^RpO3jP&eJt}d~b3limzNB{*xujp|wsw*&-Xn7+bFjAjj{I|2ZIk7Y zDniX02Uglw4V>e>bJvaHbYZ6BQ4G1!f3~$`lSZ})70NS6k~+o_l;F2L0phM$>Hh%O zzv%PGRoLcW%g}?y!Qay(im!KdcL$PYks5Uy;y~*n2-st%zh7F(lJ)kT=O_jd0pWAs z>s%9D(X%}y?Ji_>m(bg3muqZh%P<3AkywU36#9GmRXe-HwRcogIRvg_IRyUzU&6V= zd9Z>ovS;tF2OfjqE#xsrpC;Hbvuz{zwk*oH#45>73rvZ@Ts6GCb zVi`lmNc*ffs&^5}`jC=o{{Ve2?;Y?nxbhTxV;y=^=Mts!D(yf(z|Y<|{WC>ykPs;~grG zLxah#bncC9VWD_9r)(ie$JEfONiCC(pGtXf5P{BVisv`1#90Z)Ak|18&5aL2N9$V} zimb7)0(CJ>sgHADOLXfx^r4rNBtaQyNb!U{Y__8>TN^eHsk2M_^V4W7VI(5 zRW%@f6*d8Z{{Ro?TCEOQB;KU9`6>=LIqOr%!DB2yz~-ylI0(z!5@{}F%B*AR)mGBv zYUEqA8GwF2NX&NrBqzTh7y$n`iUn&blIn-#s{$wF!|S`vrLh;AryrH{?mzgotR zZt}$Zst4k0wi>r1%dabYs7X^}MhN*+2l1!QM1n`iAmbmEQS;67BP$)di8=P^S8xTo zjBq%w8x=lkmpz;eIVq%O+>D@aZfiaw4C=Y+!}->Y*eaw}WuWslC{&N*6ru35rdyrV z4hT`{)~yE$xhASam|#b7QxV1wYV+l}(YYa!o3BGu+!H1N;;kVA-m9|(+(7TeIFR%y zqZGJvxDr6CX>j0R;EdK(@@$)Sa5$-PWdeXXbbI{WSKQCTQJpi0YG1irs6W*XPvX9D`T=g_^h0BTc;*hpD z&T1Iu8*qJi;+pdHIi+AM?A)QMq{MV18L852N5SNZsPhR}@H5ZjPAS}1BS&PHIXLN3 z$U}qc)~XlFgWIqF09vLWG5Js7S~b*3mEJDn)~n8dMonoj3WO8Vs$2jvJ5@!Eqnf`N zQ<_f=e(^4$?h3g5LH?B`^5by&)lCLpv+ATCjzS;DkLQZ@aFXTJ^Kp=Ji?P?lKGC`Y zK?j8m)7(~T4VMoeKOyf|19=mp9PuX=QbZ|vDjt4g&N|nxhP-Cu(DGcAso$})ZVT9e z21>a7fBjWzFr}I$;I>%_^&I~II#*~?BmDkVb_B$W$0Kk*g?ds)lU3Q6em%D$ z`oe4tuWz?L;Qs*i>yuUWuR-y=LG;Z%@$)OP{%fDA#aoi#M*$= z)~Z1|k%cFY^($P*60v3(0<&>iqYo_$rTrzT``=VqPBHN{Mg6`tz#Ir;1SZdmA4%`y$ZKEmNI%Uk&&85NwJ%Sy$_ z+ao#P)Vql3RaJmq4LrU;Al6L{qDGKoo}bF0GGOzMtt${lM+Ts7I*zo`B$S+-gNkb~ zJRS`|WY}?@y{QZ5kF-u-MYyif#d3PCjeB>fiQ&082Z~8Y?3CQPk4UaQ z)X1n+8}C(UB$^wCv`5;+;Ct6rkk1d;!i8~+N=;jrPSe#|<&XlSB#K_kUBT7UW0;>v zxtjrxY#Mc*gsmJx=54G#Qax*R?yVNY-K75jx;$jn0kcvF;e#SDIa5!OMU&4aiqE3N zlQKg#7jFcfGg=VZLv3u4$e^+6X>KD(a^w*ka($|$(HHv?3yqGvgX$_|lGzm*H@G@O zJf1MF$7C(Dv4SMKyUtSoPRObe?$<)e%O zu0JDHqqB-7DnRLhp2oGE)>#5Xa@fGCcNT91+a@>-&*M%Fgwkez*vWPzkO9Us)~}e% zR{Ir+Ky&%hGyR+Qcnlkn>MB^6xlEC_JdawkEM^-_wn6=$X%_BvTkQ0!= z*2J+#DA7tk*xdS$PQtu7{QvXo$cY}TUPrN=37j0x$Q z@x`*dwN{n}d=ZB4O-)+%%jIQu{{U%8sdVDXQ)u?oiR5Cy^z=0$FC=?dE_;w`$Zb4f zsKb*K@*H+?n%A@O?ZG&>fDn3+aB6*%=s#$WMIi$(n#GUmDoCTYb!mLxEr$eG9|w&z z>6t{u)Q&n<<(|2w%)`sMLV6t5ZW3FflT6tn83;o78Rdr*l360QAh;}gfZnv8WHUml z9yT3_G~noVvk;+rdeng9WMxq8CNE!4wsTy^}ZHLX}_ntYQ>G7_Ao zI3(AT-d^gSBAxE1kR`BVg69B!9qVdTxwYtLIr8XK)^BwkTJ_9DyoKkkII1$dme%_V z@WYZ1G|SuL1aE0(?IULmE_(Y4&S_timGD9DU797KixpxHG$y%mNhs%^HmJe znK24v=dD|ocezzZj4L4I(3-Hdxmo5OSqB-#LlU*jp#UYDfCsHu{?FJ{%5oo(iqz8W z*4uT+0K9ytBBD~zq_r-`rCezc2&9%bG648f$l|KN(u=dW6$JOK8#v9}jPf1wvEb+Q zr`}mPkxWrY6QL)bX+oFCSnxfn>S{tbRS5;LR4y)7NZ1z34uJYrQ^-r;XCkqy zMl}s-)RZ2FNoBuP)Dqo#6Up=)>(JtjVTmF^g-`&mE7G+~ttv)%RZBksi0N9Bc!yQE zkDHMg{{UcfMHnh+=qj>x9;aQZTe6=$2m9aSS{fduy+Sehm-Q9N_;*&8d%v^KIh7;@ zaQ$;v?iNih`DKqjSv-G*dHDP)nsP|$!&bMt&iTM~+`YwM>e_ra3Vg&FJ@Hrc%k;T{ zNI48YDxTp3DFkF!&(f6WRM7Ni7|uGLWq+W_9_EY=4{FJ1nQ|Q9;=Km;&4%WL{Z!W< zsXR#Rvc^%t8;1!jj(;H{+X`bX=I~=BuBBWpB3n_X}X`A z2>$>@pXNe4A4Tv3ozI0d^;gp_5V`?56^L1G6t&kX5 zftEg<`NeaV{{U*SlXPy$>H*-_ZKUe)-ocZBD*fSC3G^mV>V@$Jj4!nDEF%{d<8phC zO7pvz$`!bbW1pCM9^$=4?>4Vm;`QrPj^(F_e&%n!qp_>K_azL|FG4hVKO^__u9h*C z;QcFuwk8=&41QC}8tgQCkF;3rZ@(YPex0k%#M8E@@54_1>nAS5<$unianRzXxK=p= zt9;`ibQR2wra>fmJ!&FKaB67_XCk3sSoEzC4HBfAmm>swRDriHLFTCv@V4aqN3B?j zUE^>7`q0-=C(xgHQm6B+J6Q%W4+oK11a24xq_>cb(KBOk`A?%%NnI43jT_KBy#8NW zsVUqD9n@9r%X_D@%nU>@A1FP$R;1l3q^Y?` zBD$zmaFx-`T9Ts7Ckb+?Y<;aydU^1vBiai33m#=Nbe(}j)X-(suG(ki;3UAY5b``=%B z&$*S>c8n_w9&$0wSUZ+QNlw%xDO3k`JK!GmX6njI()W6GrOR6&+VT;QPjWpwXWqD8 z=b((oR|&X-xD55J%?j5caw8o&tr-8rHU&RJD;H zE~F5kamZeMMrDO=8pO`OPjP|Um;ncn8yBgE1*Sf~o*?IQ}?f{KQCqKnqQpx+i z00OdBXJw70%P;@|=s(7+d5yOu*OOaWc^&lCmCLOE054js8+&6MiekuV6f%dK&1iq{-Sk z`&K5Qh|uTh_}5+@PBOYV>%}wy6;(GTkHBn$&q|Sx`Z>YI zSP#@xUFqz9bO-xO{OeUX=2FZ@^O>%l7{O@#v$_nztI_7e1g? zVocFSz=N~;)%z(#wyoRd0p77mwU66M(p#5K+4d$?56@-pwS&MQAlUD~u*>`66yQCzPbMMdHC z->5mq-B0UIF3@b*tH~XF1PI1@)q(-dR*2!bJXM{z8S7qq-0MiMD|t%C+NqZ>nD` z)~`wfRBB{hY#w_ef$m2Z)Tm^E#0awbA zDYiRda&Xx1O`biAo_{KGHrDn7nvzeSr#Pj3Mp7ehT!1MsP?MUrv4Vs+9D+0NQHa6M zKGj^pNPz|%?VC*nCBNakP0agHXt8PPv z9mY!iYd%R;i3)jczQ(F38#AwzGLkC_BjsuYgN{KTffdkPugQ+}&R(fYl|H7s@b60; zwU<-1xh7c>LD1p9F-^N-9T}BbWI=<`fgDq!U~Hl-&&iML{xuA!9@!kQ4z5NIabDFK zUzTUj+m=#+e@l?0$bAiLLytaOc9DB$2LlOnjz@eL?E zQ)#4}QD7u5nrR}U-GfffMJ9lFr|r!#L8$;ZspSg{@~APcDa4Ucm*bk7G%FPD6k`=c zo_bb{gEdj30Oqtzq(!uvLmf&06ygOtlt3e;DNi&UQn(PF4FZ+vnW`BkX{6F_q@V{5 zcguZo_Y1D?$4QXBOXCwb5yPu z37=X?B(yPdM&lqMV=jLA>J3~= zg0`o3ezlVg$(70l#yx82%Ufluc}KaG`w`1@@UQNPIp9>V#?2n*B*;ffqVncZ#{#US z+Kt2t<#4slmz zv@SBaCfqNzR0k3q5DD+t)Xy##o+<{5A45b+Y?MgIaN`H`H9E)`aaG}c$Wg{X?@m?- zao&c7%uVJ|_rDs5xF?F5Fb)kXSWS?+{{RaLazt~UNULuUWd{`81sN2%fh&yI5aX!r zNg)AgUAU-TP*9HIg{b*akEo{LNX2rud@&s>sl9B2lU&92GHbqpob(~npA$$2_j6a; zU{->%q9YJGkZak)>a2LUzmE1E9a=nK?(dpz-N2v1n!^vl z;-vea9Pyg$M+*sKD+-@_OLoHy(ImcL~;M!NBybpssUGv|NQixsA_2$C}yHn15s3Mtj2F%CqgE5=e`V2{mH!ec85PS8|of z9-{)f8%i$bG54mdXV_}6$Bi0r%J(EVZr?Htezig%Ly+HiaDKH9i=vZGpGdr3B}f$; z{p9}u_0^Fen&MeN07%=h>7HxL#7geZq3ltGmDgX)eH2k#X2)!uxjCs0-GGgItUv>U4LsheSN{$A!EE^upN+zgTj2CIu?F}elf zri5%jGDbM32(_aWnwg>iao7RajzWVn<4HUiN_*X<~)!E^Y}G zS`tIDu)#I6ByB92>4Q+s0tFH;1aNB^LEh%knzf2EAazrm5Go-d5+O$Dc@;A{JCx_G zHfwZ?1r(NUgjRa)jXg_o`MfK!89Y^`BWojbo-k^%7ib3Y$iUB~SdIqVB@LW$irP21 zjICoM#F}%qjL^acLv9KxhKZ+Mj}1-~vQ4;0?G(9-RvyEjNpOD9qU<1CS@45 zu4Y&+p*z~ecmaju`La3BrCro?t8G5p?IQ}1jl;32BD_*n9Bm-vRr~lM)b#d&`Bz?| zh07$t^F@_3=3P?BXS6?fa1BjyV=OS2nl;HB({x)U(XA5M7?24$HFH;vO;1ab6_t^Q z;fGw%pqdvmxz40^#^F{(*&y`vsr5@}u3<(`nUHiffpetm7WOLf$Yp%(KA=}mquNOn zaf`Biq365 zbXh#MKR16vQsZH%T;=m{lSo!J-~v{q5(|qh>W{y7Bns#wyn@lvE7VabUAgtEcIjlh zkrh!GbR)e|fVuTJ=!sd>GZPaxBzjjz_GC*CVURkY+@n3J%=%<4YSBa!I5@03T_aMD z%nJC*oDBYzHsy95)QehUPb5;GFMNGU`cjx6c()WBoE(moCY=@Sp=nA04-B=LcXvED zZs`*$=OqtHhp}sN&ApYhvP?E8XvT6YKTy+FRe3zJnBWoKty{cCVRyNgAc<5O=`9-8 z`q@ldT^L}4)KiQv*HKDVdaVu$(@By;{;+~Od(-X2@ZNzs9iZa2^#F9h?!5uy=}|!I zeCn#3v-5s6E>c<*Wc3yuRm8|+mPNrNs5P}Wh>f9*7E>WA56pUIv1Ey2e=QXyiC>a;Muh)nyfAVRqi6FDqL~^5Ey7saDPISUkB^erfhFMRk7O zXghJnE2}XiR&mJ?QU?VXcdVN&B8`@n3~?q%KZR*QrP-Hd^1yC~CY=f<#gWljU3dz5 z8mhqy6XrB+pW`(*F37(wk8a>7hy>3}=BrJnq!N>AcCg^1^fcKnB~1OE;7U|{-~DQu z9YQq#+$(R$Jk-gts|~l<Ohdq@vi{vK>F#A&pJcp~)j^_svtdzH3<|-oNfBti-yKMQf>@iOvvbk?)F+ zY?l`U&T^%>=DH&l6k_Dr9M>-_&nYY2YICX3;JBp}>2tuJG)8-_z z9K^RLJaJRQzCz8ne;oT&7!pw?6%xn}e!+j{`3k&B3=dc+Dnr@|T&^_|T@rciwP+=E3ImMhy*_9X>JoDIi) zoL!mfMoMbvsKI?Y)pz+AgY~Np59Lrd-W$3eDv%I3&p6=JIcS@Dej=wQE4SXGBRy)< zA?i$$d4MoIX=KT2qrI))Ae?uru*|Fj=YvCywM5^eEa|r<-s@wZ-OKWyW7yP(=GUZK4Z+gX^DTyF~wE9%I-&6_oCXzkW-ymZiwQ4mP^`~qY;-==x37PF^rC1up zzqi}dI2F-IFb-=z1pYOhXx%9q;b+R+ zSzl1Jj%$y!M>4(@Kt}2S7{*7XT)x$1HkP(9G;I<@s_cl$H+MYsBfqU+M=Z@hln6QK z8#(nQ?T%0T2T$OL`_X1T1M$8GHOA)W~fs(_LLLo23F)DAQC2BiBtEw7we z)P^GgbJM^709vf-7J8HrTZ@-bCjS7GhsX*#QD+BXx=i9b1+Lmi_atIZ|@eVU< zq132e7a0^I3vd&7A1*VTr>!)^5;l>(>WcMn_-7T(BgwCd=6tJTHPZy=y>8n{=G&v5q+s*V)+?StJ^K4q z>jn`th+7-5RD0KU8dhx_n3(fL7cSchgX>g>GNJzfXn#7faN&T*V~VVjDzM4q9DOUI zPVzb78%D;iXprrMA4ea~nhg`SE%WHW{k(%MNO`Z2MRx-qVdaWvZ?F`^EEO$6&!UtN&InL z)iskmtF>X)QL@8ykgi>uIs7X_VYdBTtmO=> zpnnl2uSR}s6I?S#Ty+>1<~XX8$BC2`$ZuNGDf_ZOs`ADg2hj0EWvHbQkSfN7R+zY1 zSn)}hSrlVA>-4D>G6+7{rbU3m5s*k!Zc)WK;|!#)81G4t7mmEsx9xB{9<;R!6l9uc zoid{vi27Ddytrpj!P8-G(|Xy-^+fa?NiwQ0Gv`ti7(1QJn|`Z)TFe~nmHNdDdmtc*Vd;b zD*#Vk)c6&4sqQI~#*He;k{AqQ)}s23!nqr9>r7w~OXj1MnV$gQ=ASV6S##Qf*t2Xy zbsU;){CnLb9Y8fw)?%!naz|>tA>P=>QAyoM61kY!4U#&Vr*#NRg>lgJtyxp#9;D)_ z$}=B4;8u}f(L&}R-MOx3R9q0=ja@|N<$r8fH>$77O?Bblykk{+r%zz&`h0BN2nQdK z{nPI#fDq2C=un&Qk-P_|NpICLtP90sZC&<6hPdPEbp;K6@1oO6~V8 z>C6O?lijOfBhK{)_}V|FMk^CfI4X0>pX*!6#p|bE--i4*WBOOSyiw&>x-EEwW5c&< zdD`%imNN* zwONyZYJ{|s3k~xP9$~1BB~^w>T$(pjV>B9e0nACJ8c{)}0t#}1O*B;T<8Ejfso{us zc#74C;yuzI_zII<>*|`Tcv#!q#OBRWnhBkTI}9l6$2`{+;jS;{euZFQKO72_NKDsm z2_OKVeNAu3y_NjTB|B946?Zb0BxF^4$q8M|FggXzTgrT-nyoq+pCgT@08*Q>F{iAG z@FouS`_1|abh!sO=~70dF(=moqn9TlxNmlP(N;&L=#qxgZ6pUjyC0on>+gyF@80H@ z!#70eill;LV!eA(>Wa4z?(P91yuMyqtn6;^GU1d72Je?R1d7^?f6>Sc3G794u{iU+ zK=todg~3aMv4~Q=Jq>L*T*@*XcFpEtdsSCi7X)J!BzFc!-1z6c42(fz_*E@5WW7uA z-?G7wJ-Dm(7ye^yZncdF%5AGQ$VA}#^I6I$6K6v2xB@Fu5x&g^J&j_i&J-SVTXx40 zs^_I+334!~u3Gybk}b#hMg?SF+T(Fhax0^W)IvDO?^UkjNn<1)M@sCgMlz-bFH)9o@c>@Ln6Y~n>i%jWktp(2A#PY0iDo;E=2ksL98x8#Dgr>yr6%t!utqB>t2-A5%xEe7 z-yGC35!6yeD8cloZW|drk8xQgsj^lXBXf$UK1U?f<@48?g5)18Z2lCkp;KW3wBFh6Euum&1beF> zNF`nDypxfN@4`!({->FY(nO=~jyhDQI}~H4O+pxSsnp}YZY$D9nIblf`ApuF$jQbJ zBQ*P&Ho_Et5$2m0%%>k(8rMOuhU~IHG435f0;RSrTnzW9kbI;cYO!nrzp$%|cQTgD z+|k?3a_o5xim9&Ll+^9vLDXcA#-q|_F>ZD44#I4XLKGn5PdI>J3bRwfw zx3WY&Hg=ZM&v)L8l|H9Q@GI(+SnW#g$Y$9dIQp~ zN3=F7D}_Zu4+E}6Hy)@_mbPUphK-aA{LNLQW{BV(D$*jdWQ_I|1>K_pDSY!M0B3Rcrlrip<77)c%%}`+4?RvR0^?YMU0N7g-GoOf(h<}D*mklK0jFJRcNVIJCWK`F0CmL=5z{Z0SaPbvEC)g= z&X6Fzv$wx^mKK-g&w9OgrG2JCiz@<{DrvaBf>Q2tdZfQaGcPZ+prDY`UYMQl;C!9+r@Hlgv3ZbaTu-n`d z7{NpHW14lFu>nG>4$KcjQflHx(yjxAMpep_(9(tPs7lt*^LwcR5aGbPF3at`=>B#!kxl0VJsC=`@^at9Y z(k)&96{X&#Kt8q51&Qs5z$3kLHm-Cfp^%naL}m$w(~dJxwDGOe+up!rPCz}yY)JO; z!kgn6;|CbUN%l`TNPMr9{K36_sddl}VG2bz`XexfvM|)QI)I2v9Ptpu0=#rJuQh~X z*n<%XleCVat6XXEO$Kmx`PSULGcU_lJGdzqM&PH<21tD1}X zv!#?HBsM=9Pb}Hlc}@Ug$3CFfOl)B-%JMmog2SM|9+hHS=`J?6lnL^}5(lMM*o_yO zB^!YQXL`}nBaQ9@+#`88!P`nr0!0OgJe!&J=e1pnO)hg6&Pe9BM}}?gWH&2uh#Mt% z=z9ZIBDI#@;28dB_h9*FrA7M}Vw_gOH6h4W^fhPf?HU8-g1F6DmSmPfk|2zyQ?rxx z#X^%p8ITN-$S2Z^j>scrFSL+8y(%l8^@XDfI~uyN+es&w1{D54(`2zJUQ^h1; zlepxL;*(3LBcRi4=e?dFfoF^`#`BZ(uKFz-N|CbmQbGvi#U?O*mBjd75)axoGIsv} z>(^lvlB|J)u_3yXk@(jIXvNCbIw5-wJ{+`stU8v%*i4*$Vxa#3gmY0yPR}NECj-ln zXYw_ATbN`~9meS2JdiL5t1(7n7)MzSf4$BtmC%bdRV0rm*ZeuDS<3P~h0JOgvayWg zA5mF$cLo_SPCMh$y}m2kkDkiz z)vh5CW2ZNE=))nBbc5Oy( zFGFOakWE&SAXMV0TWZ1^mA9OI=D|LOv{}JYK^4T|D7{YT)r^r%)T*94ezg`!13hZQ zj=8}&s?6Y%j+JQ=+9H>bNvIW?C)~X|ik4Q-0+0UH8kXkJ+LLzF zts<5tsLnuaRmmjAYc}D>CY%X`yvSjFnEwED`qH)-V2a9}X+vXK(Tw#o z?XKD)1d_J!a0xi$JdA!-Zg}^PnUPzbNyZ86X|gJwN{`B-Di|nlm=2zTx>hYUsyY6w z@1r5NGDKf-1auz$^%S#6e|B7f+||Q^ryWI6l|Ymi`@QQ3`|{Y*qV{k%Eg4qSIN~rk zBxCWcE9<7bxGqT%uLwV_KH}}>Pb?B5QPbD!{uN7c{{ZV(O$;QH=VujsQj+C~G-Q$4`8&=KcLZ>`| zgHl`(_ILa$g_OZg58ZBu-mcs?`aAtPS55A&aLzW6_)cJq`l#trDdd1U8ioe{07s7> z-4!C_{w!+OLl@MtPGcGM6=n`&C(w+3bx4vCMtZQTkQ`exf3&~OwSzNjLl*f8_^Rvu z(BGPhoE!>WS~5IKaQNfNjQ(T)0IgAjUNv=UjQ6na^wS@>noemb$rX{X#~Bq(xR4xl zAl0{HRh)CusY=ASl3q#|UwohSh%xo+Q%RDTPyipP#ZuKy+q-L%(4vxkvCUgORIwx{ zKVPME^K(g_eMnl&+0lqaWIZwn`r@x$1Lfp)tZPezj^+)l4(=Fcwx0zE^{)>V9$KxQ z-U?jQ(m9<)y5wNf^aJuqkMED=P1KWVU}>5I;z`rf4OP81ZM4zVDFslD^=G%Z=Bh$R z$~!5mB>dUVM_S>L)e_8P`C)qFtw!n@ayX|WVA2!Xqp58XZ5b4<>WiFyRHWmzMIla& z(y3}gRuP*mz~ZBok5TJRW>$|XaB-ilLM8JPp&WCXq=;o3nWR}51kMLc^{2quMPNGP zj8fdj?S@g4?ma2qOKifQnTL69PuETKv_u$n;mS)fQTijPasSL(`hPqp{3WSgHE1kdp09M26U34C%H8wUh zbq7keVbl?yrVTdi?YKdbK?a?nU}(xu_YOX}sBfeKSdI=!KY_1j1ni%w;Nz3_sreUd zN#Bn^Pw8EChtDgyUP}(YOxGo*jNB3GnEh*~v1~@8IAYKG06(pL1b!37#Vs@wU3G5@ z&Oz%k59?eExHa2&iB=yC?2KSF$YMVV;#qUnygb4F^z`!8Y9s)2Q^(Sqvj(J5#%q-x zfR6a8>~mAFsEExB2n{_CG>p8`uUbe6g%sXJDCE@ub4_82lwb;DQeah(XNs)xWYyPN zjHsw!%;_Q`qQz)T1ygV{O)wY*A>x8*gyyIP-AG9^l%tvkAk95wE5#^UX%t)zhr;c; zOV>PXgE{@=;Hlarr=0GyJ?N5!Q+#3V{Mom9Vxs9ON{n5|8HfcuiSMKf= zi@n+|#?`BDn4ISairKf7a>KoIx@#6@Z1I}Y6Zv2gMh^zLsz&+_*EZJUYLT8P8h@9s z1Xe%VvT>S{JH?SqYVUf%WD~^+@9hU5b@M{RwdD0 zz_4ukgVwd4a>E(Jb~T+L6J!yB4S(^?S3ifFR(S{*%}*oaBc)~C$a$?`uE~_NGW8||oE~bnj}%jCQJGh82fa4-LfJJIki=@x z;PIO8QM4J#-PzY_t{uP!tnIR@zP*JXFfToC<~hOyZC@jrSf&>0aDjj~T0pa7##i2LhHCDn==%mF9%t(S2?{ zmM@GDao&ODP=4#FOj=bi-R5^7mAqbDHsOlP;leH~jVrG4uko zkZnLa0gBl1Rf%|r9hGGkYcPB01@7Z>?v=d5e1GZ+=fw2h#kd=sT_a-G}gfP8e{iFGteR#$19Ym141bl`P0oTTr_TvE3&pq7vv zx{a)P%}6E%cI0q-Q`#a%-0%lVqa}+0x)vbyHFj}YA}*!7B)eyQh@1n^)oYlYVhUF+ zoa9s0=0`3G^`vHu+*%*xY>Y+}ENXHG zY7O1WvVaECFiv|?yv5;jFA<;O^s2_vR)3MnK)h3OeF;f*IXjOI-Ak)T6sseW-fv3j zqO^kDJgMJu?Es3myEX=@Ug({WR~C#a^Rx{0QFoUuGJm0`E2#ck+T zGc&xyAys+ftx@qTazn067;W5luh7?TXMbxxhixPy=aE%kVB|3CT1njymZn=(ipb# z%^-?UrN#jswYqIpK2R{EU;)~xh@yj8Oq6?x7Xt^V9@On`QMl9Xgt5TR+khYp1bb9>np#~+ z=A(sdaz}AdR*HV~OQlz&k0cpW?(XEDmp%fhzI_ zJ5&kw>1Ie0Exu?ZF&tFIw)82amZlK2x`m5FF=E5NQ&33_;BEI15D#8Im39ehaKRbS zE8wfHJC5}amTr;MgS`n|jyU?&xilpd&UmyI;bV$P1F_mc=}6ba$+5x!xd(3tHLd-h z_L!1QgUh2AFPIM~-3>J)_Z6Q)sJS}NA zW$n7R&O~8yaC1;9-Ew|eHsh$~sYwdV*EcB2fH32Yz|{8fCRs$$>|ze$E1i<#4!hzp zlhgrIPj@VE#v-~$L(alIJ!$FCE^fq-$1??7=c5J9SY0-084@WYC!qJuVOeU=!b<=r zpkzGPNuld@Uu%o)01~<7g+#8E%8i-Y+1o9pj8MDDuGmgX`N|IZTFqnnKs0`RD262kc)Aadn)#SWBTO)NNs{a6Xv>wnz(iBzl)MZL2 z1fP8MqQVy9Lluk8krJek2pPsIG;3+?-8|UN01h%i_Q0z!+{Tf#5-~!g7A?ardK`D9 z6WYW3=Tw-M2*n30?~dYzgl8?gsDIy>;!+B>dXK`RxVE?ry|Hk)z_PUeWwEuI zSCKr(N}QeFbX1bsELO^{Q0~vq{3p_tm|VbvM$sm-DN zRDv4>au0D{l_kv|eCC~^^dpP~kVsJ-kZ{Fw&%eD$FGC%Jo!tQJYB^6(>PAKh#xqq$ z1cV}!$*pAt*h)k0rzy)jVTU8qn;qLcc|cEUd)qzBBbe}`vGt{7^0S5>UvW_BL~3nn zhW)7*0gf2eh?xinBbuc;ry=Z2r3Wos{=!n&-ZlAmEw$Wm&$tzFp55wYyh&Gb z{{Z@{3Q14{_f#Ios6N#aTuU34EtF%%I##tX%awBOjNyoCPW?@J+!A@J6O$taUUAnI z3*8bcOH8-jYbC>sgTVS$7UWlE{M`B@CP;~F;-F~uw(@a`+?v%Np~h<>;i851xzEzN z=~axDho4rQqVC8*{q8fvaz3>*O|^KSoi~u+QRL^uV)~ZQ1^VYepk*m8X-Pt7Ym0{PR^ggwfrrbWCsCiPJoE&r` z+P9@~v#nriQEqW651l+ZEZi@)cFcA%+hXojUE9`W|V}vk4Reap_Jsj)hJs z>TcRLZR5{SFlx$lKE|`GX2$exKZqK=8R`ki&2&YceMs4oJ76I0SPH!f{{TUk+XwX( zocSd&pT4!D5XF?kJ&kEkxs>`4>wtf1JAwD1i=V*OaII}{0S&an_>oug2C;+Jm5NrX z&tnGfNfPrx2ARH`7*{#gF5HTV&<<)~YB@RYLlG6+UVfUVykL*RKmB^8X?n&4jfPqx z6#K4t{HsP86&R!a$v#u~)+4vr^%5KIwo$(xhOV5SF@;KZiJ}-oF5-5!bNN?Q44dsy zg9DWv>ywxgfSyW%rmSh&kwNx$Z<#;<9^$gb(sD_zh2Uzaw{u5QkIYTNvh-)!s7Qyp z@%h%QuCEap!NzL@Ik(hfkRCT+{S9(cSBr`0%3ktkXA@!bo(QXPoZ~e@2h3r*6IUZ( zc&<4ksTN1x$KyjBa(yX;;!Nh2M$AOkRy4SQ#{!Un&lM3nS3Z>FV(1AN$mXiTWNy5G z4hN+pwlF}Yk+3@bDm8uo049E6%~BzxAvqj+(nQ=6PkdsIcAhXv{Hn3uvIPWsnzV>z znI%PBjAy^CK_S^2>>QuxQQRXVh9@7+q*)b?0|Sn<>@-OhHVmFmrASEGoQ!6vTp3JY zL0LB9u)4*T8NQRc7+2NsRInu$(I=QV}Ka? zP~dLQZnS?6JJJ)7q|_H;HY1FT;Nq*!y$bQoSdah?eQB?;m3Zd|HE7C6&bk?mfgP)u z)DF-=uB!Bb3Bc$plGQ%xBcbNH@cOeFx+7~uWjZWKPs~9B^)**ea6F@qck}txjXBZ{ zF3wC7x0c7aBD1v#3D^r~894OkHR@pFT zOPqQGgZ(R>(AWv#fA*Qb@!II^PGLd*#s}g+{{R~LYI?;p!o)pFzAYg%Yjn>oI6wI5 zt~+SQTIsxFHWtbpFI(hEaj?HSt=LBYIS{ zG6^5GRB}nH3^PzNnn(!EG}FlDf+>L+rBgv#0L20%2Z|}6(&msF+B0mn)BrtVU;PTB zwJy%>xMOk8r?opq8_nA1k0U4WVM%5OlOq2Bb9+tsndjteG1zSNj>X2=YxY;3yDNgw$Yw3 z^sH5sq}lvyW?iy*ryVO9z}^5H@(oohSk9p_kzO)$Nd#o1ua1=pt3{?db*Rx_Hc2Unz zDYrKg8(qM3>q&0gW83Lbg>~~cebbz&tSzm}WM6G&ja^?1hn3G!Qa#yN%YsNFw`$Bl zMDL!5ijf$3Wj#0_O3}p`rfNZW^ET%skOw&HR@uTT$;J;~V_4R*9otFZ3cPU95J?2| zsFZBVyRo|s%9!%4+oe^WS`&vmiO#c&@rpi%Ir4>R(md=l5-2pS>>8kV(&a zuEdu?*PbeVLEVo^?n=z#aIqqd#ENd*HA`$UFFpCGCXWnpRc$VFxmnm^jmX2=p<&HU z8N&~LDK_NL)zBwm%IC<9JzF*0=r9Pa5s1dv$^7eyfeYM680Q>UpxUsC)#Jeo6ao2H zRTb3Y#LCGcpNSn;NVh;f^qC))Ynkm^n%g|OU9oZgs&cs<@~8YO4!AMj#5?dS_d!Jz zR}t8E4&*g6?{G~<%;0AgDh>EO=_ht|+Q?81HHqpw)Xan-s7WfdO<0ufNIqp5r&zA=h(fIkgS#yV1~^%ShSj_jF8 zRRWujFf#tN3T443JQ|QIgSZ|k-sJMw&beof?E>;h=B~uxu~IN`Oqw-$Lty9Do{NQ5 zW0vchh^sQ>^g>)fCy!$edm3%JEI%MlolQw}?HocyA9t-#OZUIxf4OmG# zYHXUmg*mO|k_Tgw0m!J@N&M)y{n9I=fW-F0a04rnD+>i~BrHQ9`=XSi%8^l*GiH=l za;&~=oB}wjw(gRhgfCv0sqCBW7IBvY1Y)Y(%@&z>8RIOCz&@3)CoP)T$JqA3 zv?SrrYAm%h7U5ZW9C22!)HSG)W84_$IPF+gv&9tU$XqLOrlq$D4k=0}K<>M6fRf`Y zQHho}CHQWnR1@E$qP4mbz>mtTzm{iK+mpAN*P8ngYi>DhRL1TJ+J|)ak^c*v)Qp#8mY;| znNw*dMRBFWaI!+=rg=tA2(0LITj(xbmr!?)`>ZQ+(&*fo6{9HVae^t+5Cbsa3elw{ zb2Qp^Jj=%MyjN%?Wqs%~*r~2(Pt)!ty@gC}ip&m3;ELYy`pPx9nTRpGK&chj>Hh#{ zyU}bV*p90h!5u*Yv6a#`Nj7tGJ)&JOl46@%4uo{AxNK|@k=j5}*9tdqX%B|&H7PD; zo>o%qSqSa*tSbv0K)h)LO(aP!()q|3Jn>n@7bCU%3~ITF7a(AdYE7jX0s+1}WFJbj z*0b9oWPR!fLMpUxHRK*(w`j=uTRlZ}B$3YBVrnqlPXd%XA3rct&*5CH(oG%7WSFX! zAe{0Ke2VL!xdptp0VPZV4}5nO9;0Dpda1d@Zq5N^{x7X#R-N@s+LcRa%qwew6kFr$ zc>}(C)RRQhNf-@;&h8EfK9$h7hpc1Mq)7hoULQRVt#Yzl8+HNPbE|D^9tf^!LQs00 zF_dMod9;)(ktvK7fuCHxv_p~M9KQ4VL)IK1+w?%Zjlp)|DJPOl`PBU8wixor2 z1E2o@T~~bo7b+aQWO3V?YDw;d;?~Ty2aj)Ka3#dC?Z(nNir=#Fv<(*Lf<=#lSqR|! z*A;c4vSZ1Kisu~l6<*#Kisho0_h9kJ$okTB;Q9$pNcC7eRi)lC7;fT)o0eHiDEc4q zt%xqSvLfF{B6S!$)Pvh1ymUi0mw01Rw-B}ihF&mzY0s+NM>J1w6UF7R1fV-}pK8s} zT^UNF>U)4}+YlNjkdmd?Wa6sYB$oT#6f-aib0Fl`l*i)zR>o$DuGO$f9%6rAO6Y8S zReLq5l6xC@;|saj7^x%I6`P?owxub=@1)cSY&OXA#?pBtb~OQ#YlJ1^42r;m6J-7( zxtnhsX!1B)yC(%s1dczM6}1kxq+ad`dLwW^7=4bv<5;*!Zi`JCQQTUjdm@+#TOg|* zKs4>xje1e8R|jC}a11tJk%bhZXM0M2jk@;9!oU?^IV(izs*O&hyaqBAjku zNXX7y9AFNntC%Obm&}z(=Kz0pl%-%w<5yOZqS@t=arCO{lUy7#9!_yupJYhXi4Yw7 zQ(h9PthqpX64(`!n`J22tZbbba>0jenzLnU;%u@HEDxLMN+m(H3Ed|^hq0+8mBVdz zCp~uc_rVnTda<^UvRBBQ&yvyR!LW0?rX zTH_Cp!sj)-;mo2g3h%BF9N=X~ewpkab8;8_W z?&aFnx5>vwKi0c$nlrai(Z<3AkhXJ>N1+|7Lr9w%On@GI!~E(OKxMdze(QlWe~((L zrpi*x#(M8yYAXASLM?V_wCC2N`$x^qLQZNH>t1!vs~4Jljj&@M^V<+FuR3zHXo&=M@go~$dTFrqOcqg|;EJ^PHtxC3Dw5>5IO)w)w=|BZxQta1 z`r@?hE|OPd4Uz^(#bP9-VO9S0gj9(!x4211ehBpyYF0C;OPL)8?1U%#;MM3Hn`r^= zD=WC*-#zjARuaux`l|!syJ6iYWv+Q!n)5MB z_lK*4{uy`8r1One-S0`du;n_gT}iXvm88#lsIA2X>RKJiU4sG-e*Tr0sIio8Z@xZO z{{R}fzn--m(2JETkRKl|d;3$hfS%sq-C2TpU^YM0bTta7EY3S0(y7_XZRC*;MgTT? zW7qJl2SbghKrPqP-nVTy>T$TZ%FC&L=ATVjP@ge+Ry31&xzFAeZX1`iltS4G2@EQZ zpq^FHBY@o33}b0DdMW6R*G`yEV;qaomp_ePh?N=78O>mLUTyYLMovOMA3`g8X;J{` zUUgXV$)nJw?-a@Ac2gN2b+|lqshAHh9qJg{a)mvqT0jpShHDENq6KCxAS3P`DtwTj z8f2v4?do`@u1j!7y-@57q~M$%YOL{p}fml0sC%rmEc2E`YexCH(WO!sFB(5`(dC&M& zbSJ4QDMkUkF;-Et&uXgj62Odc?NUd=6*p8{oBa7&P8bw{{U#V zVtzr%_Bh35UdiT2?iT|jW754GG;L1(+2rD7dbaFz+Cy!&j!*VT{M6S`WOu}v{_r1w zB-baVF#gW9BdfQsU@NP%Wrp2}=!BoiNBGy$(SN&7$nbFs-?7X1n&{1_BC!X`O`{+0 z8pYj_Q|fbhx`c$Dm>;MA0A8wYuL8Wesy2I=c=FRokP%WL?N!55l#|V7jjUP86voW~ zfnIZ(7n%TxhG|u5ZK9db^rc|9p(*HskTpDGtuP(7qjk+onrjTvup*R$6;;Jwk$9@I zE)4)xD^W1TO(DfscBx|{J!!OOng?107^FN?%`l1pZ7n4xXaiS6WA^qye*7-Krhlb6 z%ZcZOxX;W7^QwAm-bSMXo|~|Kgk$>EyXe{pBDhiWDZ#7fDsaGK4-uk zO+_QFM&^SS%QF4k=kTowV+KG;>M{7!blAMBc-J3wd8;=g_<-wNvz6xAtW}~=53)%S z{{V2vo<Vb+pN^23aZmmBUEHCE^{AMvX5xRd7x zoO4-G+!dPynz0#gUzNI0>DYYNG$KDJ8RMv{L52ovHYew3?Nja`V?0)E7MW}iFL z2*pOkfIgL0Y4(is$66F_4cK(-GI^?Qyc|@7?&KVUL#`K*TE{~-shK`Gs+Q9EH(~vV zA6m0=*ke^~*UY>?J#$@j%xZir>$LL;g&a7~ddt@(-*llr)ss``@o(S3z|R7x>MRvv zO!K_vy6D|mGm?7hif%bV2iBh18!<@WYzMx0sTwfRC$YtP7S!dLJX}mY>UJQEessi4 z6>m-{?zzW3YeR~s#6ZYYf;v>OF83atO*Mh}ln#cci8e*olSytVJq!9Y25m_=@0#n0 z0j6?r0mtQ7ei@7##&SOD=BK^XysM|Q9J9y=UYV|{dPh@=rRP056YA}q3Jf3hlNQIY z70-69oqW7z*4&SkRk8SOta|e?ulI+mf?v84iYTr#uE%mybvYv-@lV^uJw<4ujU2{@ zJGSDYn03Wkz|KWQFFmQXpp>l0BP0Renwn(~7b2Mw@=ZwO^v4w{I%-pT=vVT|E9fe0 z0mpiiA1DA*cI47gu})V5k_S9eI_CgT;kMvY0bqUTV1z5Ra78{S^A`sc{{X$iRDb}y zQc)ibweNOuA5Xp9~0=nW%A=V=EXw0)u&B?#??H2q26 zVr{1c)JPdv5Eh z;+=WDE!?T+999w*ljV~kk6Oy5PFG`CQ|3oP!CoT0K^4nM8zsXlbO(YfZpKG3vPyns zI0xFZr$ZgXZacZG>wC#FN-LGLGjhhuk-2ltXwZ3(jB!rywt&7$RX(1pS3guSsV+T0-PfDt-!b?(V zE_kz{b0?YR4u`c$vm9WOII6H-+Q|x*eWw}0s@M92NEH>gd7LgOxg@V}QEx_#DK4Xh zFc=nLz^b<}HSM>~qkkOMNO2VF9E9ZZ39YDSMu-jLaH)j(^kbA9bwp9wc}ukpLE~vP zR_{+6OXC1{6{B#apf3}Az~-?eyts}zA`OsFdR2K=jCA>)rj~~2j_@<*9Iw)}WwVOm zic5x0z}GEneDF@P1sNSjwQsJblA<~xDtK>WRZeknv*=9WB`cPeGZbD+jDhll?b^7# zLLVbjXxT6iBD;8GGDaIcI~v0A9h|okM)4rX8$qR6UN=Qimn^KzyD1(vBZ50t%u}@1 z-fG~!c9H2=T7A6BF-w3@spCECsMBIawjd}uVrv>{w_{qZElI7D_aGjGb5v)wcp;c7 zmjvVv_^oY-7%xIi^j8{vibaN@$nR;EiHL{YV9_F$jfxoxsieL!js6WoSh?Yc{ zVF#8$&(^ZFTLbois6ioF6ZlVYQ7Bp~$hgTlKQbGcF0Hc38D#|IcgJi~Vb+VM?_>G+ zJajdqV>4ese84xaTz98Qrop#wmO1Z2Qr<5?Hnx$Ge-u~o!7@g71}EGKWtG9UnR)pD z>sP+X|x`u%8`1d3D)hV5Ab{pFDe zT*r@*Q=Ud=6K<;Y_?@60#yIQ88H7*x8^)(HZvP!;Ww!$YY4m}N9gT097R=LV7LiT??T2YKEr&4}B_Oe8A1T4;J?f--WWg3k zDoYNa_NYmvsaH+tOAFf{v!I&d+`dup=VO2M>b=p4Bp!NfXzO7o$#W@+QNo8>J#;)p&cmU*o`s;c&-o{lfv>4Y2<9{b}5I$;}?s)DkP{?;PCnWXu z{A&nFD+YxJ@fI1a4Jl$LX;&8`mE$Vk;a4dTm4{hcpypBl?~YAq+uXwzM$F;5=bToQ zO={6(J|#n);YS|EtwC>VcNSfKV8oU<%MZe`YqK*~m3jP~K`gz}*6nTLS{LT6&Qua>a$a#IJQQEhryz zJ6JEM&svl1uPYa1VpQxTE(;FX1Guegh=NFgPzz=LLc{ORFnl~Gh0C(B9pRG>tPcGI~la4UWpZ>i|V^K5Wu?c{& zhF;>Y+ed910vb_|y|)1W0QKonWL8yZ&NJK*lkZmIj%Z_I*6N@f9E_1l3>pe=59hOf2Y-x$W~{aqUZKBr__jjjj%IP6bymxlvGP z7LmucIp>c&fa)E8F;%4S{lK-71(pehOD5OB`e4@jlv2fsRT;nw^5@>JT1bU=FP9l7 z1W3FC>}y!pk|onjnu%sc7)M z<+}XJ?&=Sc`&X}A}CJf@IwiLAnx1>N=5}_Qi7ApToOrFzVO0cGCn7vB_*?Q^rW#G06H> z3cPxi?AhmAO-9R;&2^{1+MB30v)J4Q8ATTX8NPwBlUcf#hV_jqLYLZQ)HvfNGFS5) zR;TTDlWSvk z>S*t5MBt=#tm1qV)ldNex{+^%tlG6%Bv^?r>#Jtx15XsYucc# z1dk58yxU^UoGAQizm{0>)~pg`e1$yprId(aD!B7ogZ{{^owsIDeGKnBhmjB60|KmA z#)bxC)sE9u3i)my9Xi#x9HfOvz<-zzdWuip)ZOi&6qc+u;fKh?;+n&E1L`Y6P!?n) ze6kFFBB;+9ihO#Uiq>$mV^X`Y`(k{;ndOu)`qxJTZ?@m+y!~sJR@mtO0NroWx8h>5 z?Nfzek}BxEEOXa~HJIH-HyT{7c|$6Z>~YOvDXx=K$NVGgeIymkt#abyX``zP@DgOw zsVA)f4)pALS1rzw!+}gW^{D|ErsF+nFhoR=Oy5$t6sbMlY5TLMBevmM$KF=%X7|5hTho%0 z58@pCfBjV3rSt5S@yd?0+k>?XV>lf?l{LDCv0!`GE7HeIbtdq{{$1RW6M*Z-)K_E< zRP*Uv1+g}gB&*d@;<+1HbCOyX#k}=$+K&wV<2i+qy&BY1odsBhlKpYwf z+u!t{NJSgjN#d+VcCHAh)zm4?G)bIe=|j}fXjo?e15mxlj|80LcBbQZ40y#R+`14j z06F}rB!Rxg)swos1M$GF{m7trJNuKX&|vx>Xa>L-WGvWS9r6byel z!@DCg0gg@ubUJ|Fr^W}~Qb!(`6^kXYkRjXhuO$BfD)cbk^)tw?rCm*JEw;U+M<2R{ zoc&41^sb%e38gV721YTDear@bAZw1(S--So1d+BCU+evApw;CuX_2Tr#|)DnVot^V zTlBAPoVjQ_o@HoWx zD73ALky@#%=A;iy3p@K$Gm}vUIj4eYiIR_cnMFXYQY@IDMA)X9Z<&tt#(Eeaaw?>P zr(;k#s3@l>s*-0ldQL@CaYzVrKs-|7f+>J*q|G6tG=L2^9MiK!05>IYM^RgkAiA_Z ztTzv>VYf=q)8uS-Av*g~n$W23Bu=dnjkqhoC(^D%cDC?s-I5M5RPF$V>u~$7KSTcj z*QOoYQHp?j{b{tP9ZdD7E2B-O^J5MNH3SGA10$~>vq>x8+Ey4oGFE_;q?)5Z!CcmkboCI|-@HD+W}6M@b_uQ9z(K_z1{Agvmy zJRYA)&yEi%T^Mq%e=55N%MyZm3=>Nt`MX&=@meQiHm+k`DGV6(Q-l2~TX{)BM*#3g zrC6R3C&)$*Lr|@;jIhQ*t+|s{W?Zc@dt;DTazVirVrJU8&U^k9QVgVleRw|gD-v=# z?X23=Yhts_<}U{ey-+F9+kBAYKg!cRz>>l9XK@O zE@lZF_1E4t4S+4EM5DPQ^r`N?{Z+S-qPs_4p{vlP(88j;T0319(OTVzI3SQ~n${G> zsua#fb6r-2A|{&d4o*pI`qv?R{&n-n2Ua+)$g8u4_K0LMgVSlNNHc4nLMpQiTlD0b zw3+)OpKxTrTV;;g921JbL)G70VL zS71!;aySBHJm3tE zPW4wpwlTk+7bYYhnXTUT>}3rg=K7QPnCAB1JRdEa^KbsOV^*Jt<_)d+1FSabpQ$9) zU3nO%dW`jOs??BoqLzy0I!^fG7^P8F_m(*n^_S(XletYaSs2Ae-Dws0=9=$R_9bpQ zF@eoHtuX;LE(jEc85GjkImIdIP0wmWxT@gwrnf7RPbj5wMIl_SDKt`OMaf+Er!XaW zre%--1vnDiRF!a#c20U!=mzW)Q4H?DH2uUd$e|#UCm~d3xqU+IWWOK*$LU=mB%u|{ zUuiO>*_t*aH)_tk`E)LYuc@b|UN(HGNav^0w3^}=@^_9a3g*{tpB$(dNWF1M8hMbT z7Rw&BDx{*UTc;U2qg8bzXCTIQ`r@xcA~p&z=e1^^u77$3rj)oXD| zmG(6CDZIGAC#mMKMaX;9UIHE~Ms^pPddlYxy+vWYxsky`f(>O}Pnk8br5L2F&FfVj zYviA$M|}v~9Y+VPQMbM}lPb)5_pXK|S7GaKv>}U3sy#I&ovP zu^b-tk0qo~+lR(T=QV`sxSPhJklE+k98)som#s$y*ae)E7-NCyRj#Kj$`}#;6dB`X z@`&8I&1PMdj-DHWG{r(<>_nl9qJk;b^TsXwkPcXbpK7r@8{#Ak%Raf9Bv7Anz+6Qh7?sVhar?ue@Wbub`gQNb6P0&BbcT37@KnK7~=p|ZmFZ&+$l*EZ;MH(^99KyrzE(uw4^Mh+u9tCVs+j=Y2~*JV zSCTb?;x7*_K9PfIm;NMd;{tAr3I|eJbPqpjmUe7+O~_z zhDK~LcFP0lShvhl?NyZ zTyiSCzOeDxvfQ|LR^eNNxBAtsH|+CC_ULdoDOOKUuccaRo0yk%9#Y-nK{-JR6`C>` zBm?fAzlimzhOrD~Qs62`$QelGNu*7}aVv2V7(eWq=dX-yc{B=gsNX3ahg?-oZaSi2 z1uYrV+^n)fw=gy(Ro#U>MMApjDA)OrX*Zr3d)0UK9w^sKeJ^Dml00*(0q zbR<)C8cD98si$?=>EgMRT)H~`?S@eQ07`V4j8WUXk`1CZ!Q#2Q>**%CSp<%et~P<# z)wwlGSu7YzL&(@yKyXO)rmEiPPMxgH6L({(qeCJC7CN6(SeJTC^IJW&rOY3@lXPW< z4&Uyae>$!vwzaj%L%`ly>N2O+soZJNd473?S>pubvu3n(+FB7A zr@4(~sCm{A3&@pa*|oXjjw;Q(Fa>WZ$m0ML$F*d~b2O5dlT2oW$W>VX00{T4p4QUR zRbMg!tXCW!F;fKFDtaMeS7=r#KcE-ZuL* z@y&(F1oK-vu7)Y1-`Z7h2?vsDwZoTU{$B)vwdt1eT&Cuh0U|as6QYytRuX8pvXVvs z!Z^bUtKAc`6Uc>;MmQs#!l_OmfRL7`3Sb;BIPLgVw2>NCh&q$kkycq4E=MC3GFL7x z$1$u%vS~8P71fY(2OazR*B|@D%1;N%*kveruSdUw?KbnG1HGd-9CCdt&DJ&re9`5e z#pL7=dSaYo$|*aP#-5YHGDsOeGW8XsWv1R+O0uNUyKTT2!2{Qt)v>Y(YIlY^pLod5 z2fupIlgo|K*;xMX7|$3d*0OOeGd&=>xsj1=qefA+hTLu^zIs!d#ci#oxrp3DG;y|2 z{K`%{8rzY_*mqmFDx(7&GB@=UyH#!Q8Jb2TdE=oS>J%DfIi`;)(};V_$@3CA6J0^I zTX=)4S$8MA`N-L55RTyM`$t#tO*KP-ktK3C^i)Reb0 zgi%62?9#F!C}!K3(Yo#X&h5Ber1bu@DJB+Z*|)h%xI{ufxz&S@=TeulmQUV> zLb$`{cj_yix1200{%j^hVf(2Q1dn4^E~JtsON6y+Xx#0{aKQ1}j-hjUD`=(LBzH|N z-bUu>>}to96LZSP%x1~hNKi4xO=GpK$^dPyV{(IYD`gqGA}gx8hxth@!9LY6wU#JALRg+bY!ZF3*w&G}wPE|{Ln`uLx7L~l zNO%w`V}h;FpJVIkN=HEw@RzM?gDQZw2P1HN(j^o@KR+299{} z#SVIqIsE%qCE?9K?V99%e2F3mob#0fjt?K!yNe53n3*K|8%*2Eyo*1U$_L#j7-5ck zX1FS=%+)(08SW;QJD0Jrk_})X0k0z7q;|@K!96fPTBi(G7fEmZsjDTd(7xEx+TKXU z?2Pc)BkR(lSQ7GEn@H|1E#|tAy~m!a(jBLp!}_RUYIUFnIS-P`IBeVWEW<*bfdYh(kIaga$l z?b?MX?O?N7o-wIh%Xg^Db3e@TF)g@{^U|{%u0(h_$l!MNDtYPXYR0Hib_U(^8cF<2 zGDkt4*{V=Cn;`&!v2u9ff6t|RP*zDCa@>r^<*`$SQ^zMG^QZyaB9ckx?`NR;)b|K5 z&axIjLF1G7)9vl#mn=w3p1Hhs7VTe$G7-IvFD0&S$kjjm1aU6#Mm}KI$7E&+?$j5rjnoqQ_cig!4 z&T7+6j-V(b102^iMR%dKH)W}3D^W7!_3uz`U^wULOnJy&ywoVN+b`QO0fT3T!1k%0 z5beE>ZaAuTa_)c=)RJoWkQJ&`=Lco6l<@GLuW|z@P7@^eC#U66mCkwVPmln_j+E6U zlP2O4*l<*7%VW4(DQ125rG%Aab}M8JINGJmZmn)ybBk0T@SsWj7vxU_D>+`cI?mt@CvzN_SSe`&Cexj)~W9+Lb79*6w@04m+mq+5#w zVnC1s9G~wG@fDS1*Sxx#(`#cY=~YGn>05ptxi4e1l6E;?!?k5yL_Fyf^W1$Z=Y|t? z)z!Hq20+8=5B~sOu&S?3+}@O}Jr1keu^#}_KrFwNSCtBk9;5kIipINgew7o$yz$z+ zfdZAmhDjZ7yc?b{t;=j-+!>j&0ZnzXqaj ze&V8RslaM>3z9NoOt0c8Bw{cKIO&l{BnrEkxZr0MA^FPsXEYKE!({PFSU9ILfZWnE zC_E07hLFvpBc7DW5%#{u0G^)JCP63OjiHVSrd5bxMnxgmu_rsKP{i#W@y!?ukSSLo zvO94@)E0!m<&VD<^7scHxTx0zli#-#t+X#()Q;nffc;NOuQ$rv)R8Y)TdC>nDhrnf zAblyV$&sIU`BwBi*Eww;pQ#WzU~)b2TUYX8BaWwv#?ue_cx?CLyXdPyvxcs$-;!cs z+y3$XRhw@+J^6^7D@IiQV+ZpUZtdUgjwB#4QJTq!{{Y0uvg2xFIr`Uj5n3NJimF`3 zy@}nZ+#L0kC*%cec#*={s*X2^;~zj-kLpEpR&usxl}-=Zmk05!e-PaHuWJ|gBgx0D zdQ++UmT=0I>n3586x?m8dhgHj4& z5mJINR3W({fK3L0NC#xn&?yZ|DG1obK;#OQ%~p|#=~4tzHY&4oQn{#@(-UM(#Wb}! zsx!p{0%@f4NKGXGI5j&o!9kz}V^4xZ6rs7ta65{MmmE_Wphwyw&sALf-qj*RL~4#k zah1X9D?TZn2Hc16?0%x9HxfxFnZRYi0Y(5Gl_@mBR+Ma9v~g_hA@>HR(n+|~T0_8J zn*-LWO2#?LpSvK)&1!gdKbm8XtOjcu-t1d8psvz7Xjlvr?N*G3=E&nXsvraeXOKlJ z&9IP9b6#6lJsa4p8y;c-(%g5?HtD@2WHRVR@Rm?Pg3370L>(e z&p5?E*jUsP^Al6FxsZd=xvFmdY}<2yKb+`uv;oqmNWv#0 zsifzog(wuq%5p~?D%4T~$?Z&<9^;CvtV8g4>q^|nHZR7^8-w39ZZ;v<8qSHZc|SK= z(Y8KjRP{Ux%4)^OQtB=?o}_Xr@;C$PD?LdF2SPteluM8Z>sYmNnkAM|gU%}^?1n}S z)3!TR$)yLsC#7RvOu<;MP;rXVoOL0)7}rPU%~gY&q#mp))Wk98zgnlXtL{_K=Diqb zVJ%VB=<{t`+jc+0xd*SUbMh1RfUGdUoYu{bVw_4lj&tZ~HRxh31n9e1ji(*!x(d=f z*6$NNvE_5n9xBzp{Chw9rmBz)zCG&IncR$^_QiTJ+{Rvf zp7{c<%-96=tB%J7XYc4jaH9k3ioXIev-B=;#b?G^S%v{BI-1|JhcZS#f;B8_N>RJH zjYnFzfedD58qQ*zIF+ z&{LIuZ0Cxp18zB1%~?nJutjMXbX=90U=f;*RqhQu5kun@qgO;HsH(?j6yV39s8r&n z;DBiiMw1*GP(kLJKqoXdMlnbUHx7i;zyu1fBIR@5h?tHuO8VG#(&elU^nr0qEXf<%a10+;~YbHfTm|=t7r9=uip$&k}-fFbtEHPGC?W)q`f@w>zM|+NpC>t1L z8iwx9C5fbu^m3oc6c6?WP=WGj?qK9we( zv;C<<$F*yqP=g;RxK`t z5a8!Dx!QM3u0<^w(w$}-n^G0_xgZf)x3ZLgfCFN(tgyF$IODZyUqkpC~xbwP(+8@m!>CKX$_$*2cCE*;Z(PVh?KN?)3(nY;Krg$ZvY8sis9OQDQ}R zpKE{>xD{mSX&D3@@(yb@D~EZ5$O+mjrqgAD`Y$E8U^qUarV-|LG^pHF$`Z)7^C4Bw zIITz~EfFl0ov3NE+so$zx+SYNo@t1a$Q+8e-Y(49S0jE7b$p2DtNNj$Qnj2(l5DX_Fc;2doMdQh?I-BxGYNj&oQ@OGK>WYcatFt6V$7F6Jag1_d=$#aNd~ zHgquFLa{W8=RI*nt?E4QJaGqRKQSFeVOvaPk0YA3ZFu%_83F>1Fu;1%MytBGrAo=z z-I-eM-Q(OhD`%fdw`x#EU{Xq;7|mie@?65@50xMpUB?Ej+RPQU^O6V6o(*(!u4|*8 zk@DG^PjYuErZNr)H1^6iNXp~4T-7&}M=BRy3vfkRxtyd*;c^cKoMoeImm4&Y#+5{Q z1Y{AM)N`Pl=FcOM??}Qsg(Lt;9Ez^%u}TIYo`iO#DP0aR2QjpXF6LQRt~-xPC7EBZ z3jHbsHw|>?%Bq;iZ|{Hg>Q5;daUeMyR5x^5R%2ngLzEH%!-I~a>r1_GR1tyBJ?o!D z16-HMlL>$r=b^38fbxf}W~APQxTUFNG-{Y;8<=tLRbJ8B-QrekK0v|ywKR~Hgkv6J zax+YUW@Gb>xd4DWRd2Z`E2~*aV%xig#C)MrFoCnF#bE0fi2QqNP$kKInB{SWw8O9Wxn zm5BMqN3Bu3wT9;LW4a|}0IGAr9=}>DM(aSQD?LhaUE9NCEv^`;A2PZQ4tp8}v{PGo zuriXTB>};v&!kFNEHdRm8@E=xdSn79fMOX(-UF|(tQ1?^-xsbF_B|Ty{R5 z^`IlLb^8mTGGi*_VBqpOsb$fviz~*s0OWvp=dERH3N3Y;U>~3ar>f_QX*h|sA=B7f zMvTSV$~=c1jXuWNM55=-ibzff2aUtO(ABhiQEKF_Eu0+tdht=gX>EFAh)Pk>iBi4C zp`k5w8+vMVZqfanWQ`6$$4=E+?%=+cAuG-?k?HGGg-C4*sVXoJUX_cgNhnx?x$>Vo z)4$fbYEP9M@P*k*IZP0Umn8KB`uo+xdnwxCnOG1&9V;+R8E{fScJq&Jm93}G1ggt4 zISPL8>MNFsIN8OMBM;(atttb*h z5APTm7+@aR_OAHK?#B$H%88<566K>_oj|8b(K0DyQ=Y^FNC{L3{{S;|Bo4J3V{bg; zjwuJ$)RZrgjjaq@;3tI>eV$0Q!~*$bqBur{x~ONuE; znG@P-(6;`3+%<$Bspr}&cbWshsDra-5sJc29Qi^$88GCjGjGsWH+lUa1XwA=QS zU6LalZv&zFicT#JNe+inf=ejwW7=buHSfqct0LM9yK?D)AY89FIjn6L!WxB^$?ixY zPZr^_KN``SPfwOurIHs$!-ncV`qWzCaU)cQSx1}Y&E@>j=Z<@Tc&U~**w+IdVyF@f zV6n$~rG2OBq(^cR2_;tBlEVy#+N|2O`nJg}fg>PnI3pj*fph4yWySd)n z9QMzxar%i*pJC|O9Da4u_|EcMc&(#I+6Iw{Y=Ckx>)x5C=~mjUj8ji3J2DguX9KbC zij9?xH)3cu=xt)mYPnuUPbb#87$kEdAdH10X&_+Zv80C8P;HN}0XlrA`iivqX#^~! zNQwgwU!kV9u`hB+Eghp|mSZ6VYK+DhNfKP_I5%2 zYoVIfXzq-Yz`jpnr<{*kt#xT^R}7oH^vUDypHOQQ+E!-HDZLEQY5k;#)|!I($M_w( z{+ShS)M_?K6n8f6NMfQ@{t@U;txNwM8TU!Fq?{CPx%U+~ z(qop{rh9ldk%Q$w4eyS}=~c{y%FNg!y1cm&TejE6?g=2Td5yJ!2W7e8(F3L-m7ZHpyp>hZx)~Ptj3G+psi{Wn-*+FyX$|2@6 z{=3vD*iT|wkSVdWRM#F>}_z+Hy`oSwP% zuQ=8<8CKpXrMYGi58T2@`7&~TR^Xq@+PWQE#jxsHQpAfox0oH`lWxM?;|HkikIJ|m zPI!zm$R}sq$IgaqpPSTrR`^;vxk+qiR(%G;Yjb@Wxx_4r<&jy6?>)fBBk9=HE9lH@ zBYS3n)cnzt%Ac)SvU?@ab8!(bBXKBl9eL_IejVzy&iJNND;XkQ70Bv4S9{p!Pf^Kj zvJLD&Q=I3Vk6O;UxsrMIK<(wGHi6TQ^(>bYTn{MjNP)&MdQ+jbiC;Nkk4|ehB(^Hd zf={$c0h#1)T$*wyMcNKQ_N^912nwTP^Qa%plqoT&_Y2Pz7r9m|?HL5*k55XJ1t$js zKD7S;A>KTOA+ys4m{HY6ROiekAz|W>?G&@^3&UnW)GNT{9GmbbI zKaC-b5wfln1pxM?Uq8?vPHD;`+RGpyHb6P#Q{zaZazm?a=m_SQDr37t6U}l)+!5+= zQ^aIJ%uW9A85GGbWS=S*AarbCkEJurec5SbP{RyIf5208(?aIfb-vhPZsFwkF%jMl?LMK-1L!muhyz* zu2y^=yT*Si(zvs_TZ>Ddv(2}aVi1r%xU97wXVslg0Jd@X*7YQ=nliV#(bmeUd@p|d z7Tme^>0EK-*IOeW>;)+p9af;(Qh>uz@O+Nyc6d^Ju z98)oaQo8|AvGk#VCj3%)oYY-uKr|p8qjU`xO3NAD8TRYNUDNLIF(ZYz;n(}J5AhYA z#Ky@g^;1Whi6@!?*!&UPdJ*moHo29UZ0?PV@(QGh)Czxx=Mn1=w`l=G_=>1lJVXPX z-!MPUs@mKeYslaGt{8rG%_!b28^TtSXQuJDBdMj_0-9uOtcuJ0T+%xA&l#^C+Mc>8 zT#qyyjw=QkKFfa4Hwh=qzgp#XB_Y$hvxh;YS9gh>yLIz|B_kLVU~)DeWK;;B{&&JC8F4lVQ%| z?Zq*e0%?JR9G;?_eR}4L0M(bU9EaDjW=C4z*S7PSVHg zTLvAxo|RJN$Cr*dr5Sb{qtxQ{cM+?8Rhy=R=PCEAI^3C_xPvwtuB|VgCSz zj%uG1E@}B_A>;jJ+SScUQ6{4?A9Yx${5Y!GVFquIgx|kA%_odyMhcxk;mQXRMSQArH98$0qT{!7g*j3lXRgetO zCW`DaQJQ<6YBF;`(9$`h0)lAHXaO-xNlQQpiVYZ~Gz=;6zR3f2@ARfoiePBYR_52t zk2yoedg8YHJ_xXRxxteI@~kTj(mOz^S>KcZWRvOmkK`-5)AU&^bg1pvW?b)YVtZFE zE@?*0>BB|2T@a3Aat1i>)ce(Ym1*|iF-(?shb`WQz_W zX8tDU^r%DZlmpilWhKh>s4haeM<01k57LF^OC(p{H$R0}nM%*VS-g?B z41#?r(9Bs&V5uFAQ;wG*OHpp4EEr?2N|qK9+n^tNp`&`gUrKZ-9DDKKoK)XS6qdIW zl;_Z5n&&5)SsMbN3`=uDT}IzQE)L}iI@WNI2}o`L>-DSB1CxrSJV(sk`d0Mqv7CvO zv-1q};Nvv(Qi2V6D|4+g1S@}!J$)~xLS0uNe&g4dF)LV2mp5^)7Z3l z8%fFQOBmlNz~eOePWgh4i^XWxhXmie%NDD-fyMylwfxVsY0}6~%_qt$B$im!6nwF39GR=4id)_(&2@>f1-*T@-)3-nikLXXH8lb(LbPXQW2&#uIt| zf8koTN>(@l`@$n5eN8o@GLyWnaWf1|SdHVk)CSPL^RHN30z*}4Euxle z20b#hV)`irrGPmHnzqYnCTp>rZ--9e7^NoYbX0ku{Z$o z1#2+!oJPm@nd9qKWrB8}IVYZK98DC5OJwoDCtaaxKeah;loGx1R%eQH4%Q4)t||*v zXK;#yW3Fmi)M?y}>=IeNa(U@d#S=ktS0^9NuU(;Fsuh6;sO?%BGQ}}?t`r#*@O?#8 zA>@J2ttUN*?O0mYl3E1T# zb3(19bB2lW4mOOAGfI~d+e)n-extQoxwA`4rieCi(zD@?X1K=EdFfnRGqp6)(XHgO zz#}b{e!1;Vvz4vqk~VG2js-(yEJ-5yi|j$Ekr3Y&T$Syb)i&(!sf$Tn4b&LP5|NyG zRY+~fK5p{Je}vY=+g%AkoE#D9SmNC%ej)tl@SV&{ZJwT|Ev1(T-NEt3tV0P^sin5z!4buc}r(Hx|C15ZyoYP>1 zh>V^w(27>p!@id#k>ZRiO573oRCf_A)FBmvFKV|vy4=8H+5r^}t)oL21A?c$Q;Q`u zQMX4(7y*K{FP^e47=X*xtTGi@BXRQ+kVkrjp@@`b9CRk3qV86T6D8zJDgD-PaC=qq zl4<&hgUl~(!{s^Yp7olBM88-H95W5C+ZCyGV|fHGBjP}#AbJY8Cu^c6)$D0mwaUOn z##?J+cE8NPc*1-r~t-z$*zdhjjVIZoUd~F z%29~hfIHLA4mOdTQ47U!21BVMf-_Zo)<-D76%E0qux?E(gs@$rm{WR!vh2qM8iUOy zPb>h~J-UHTNW;j{k&%w|JjGaqY5QhrK-{N}DqCIX+aI5s89Z^?qzKA3rg5KMgjIms zkYsb#l1T$%g0JQ%uA%nYZTaw^xEFUX~LYA3UFZ2ZHh z>ZjCJ9+zQ%4x=R3ZnCPy<-;QIah~G3NaSB7nCAo6(xZ6WEwucn)3K(Wgte&^yF2X! za)9%Sl2uk^ED0l=p2nm?a4~>SVmi~@ryH@j@JF>$S6YV5tK~nLybwLTskflZXz__m zOh^HTZ2HxgQVTMD{9t-fzN4M=3&OB;*2ndQ%eZ2bUbbeUvuQ*V46dPje+F(B|dSe2a+| zShxp=2N@q)E#{ghE9N7D+-HX$TIz8P!n&&?hv2EISK34^Xo4gHL?dfwoDRg+E{o`F zs;;COJ^U9pGDR#+9O<2{(|Gj;w%2lktgKff1RCWm^B%1VFyR|4xFZ?jy0vhjf`H1u zE&=AZpxw1Gtd;a7yg7l{WL3u*&OgbhESQKD9%Xmv8*s~0t^`v>4_iRZAJvz}A-r*#c!*F^4ayw@g5Qs5hjiU#ztym-G^v}Hvg9M!Btb(wNJVz~$ zQJSVA0!*0q0(r@+yOEucWHOAEBZ^}}suZZ`LvVZ4-sM`wCk2s~RAPh?l{i08MKaKv zSe(dB%K^D~!=L`WXgpx6WpZ(h0n(ZFKb2iT{n+B3;@IGPX3}b!JU8nL#vq>#thg!< z{{UYVQ^R_VnYK+j<~_1+J0%{6wR%I@3z%Lhqf;D%4yTYurDNK7GflJ9R^cO)XysL# zAx%dk>R&qO5$SNaCJEhk;NgyWsGi#GE`p=R?%ahS^!nDx)AmV}b^(81YDS6DXK7b_ zc>BYu;)etZOvy&MGX|123I-Sfo_$C7RjBS_X##f#<;nj5IIV9kDec!{Z7s-bexjHA zJ_$Crl!3tHjx+dD<$VL0bu!vid18~#+py=wd(^Vb_oAS@QE} zY0Yg)-)Dqeqs1HUl|f>y$UTUtgb+0I1dQ(=eFq0W(AAh>iDi~GMlnZ#31SG(^Q|Va zf=rNnsYgc~pT?$i-$J2LbvdVoJBzQh11p6jND1TVOw}QT0WRQxsK(x>>59;3O_lnW z1@|A`U|W#E|8Jcn1F}Gv)kg#uFc>HSYc5N&z46*KDNmkF^ z{{RD7!sbsjAONs@5C`2J_0UUh@Y&?;Jr^FMxvRTqhLUD4_F~xOU`ImTSs3m$HO$xc zhhii}5U4;-Tc@pBiD!A85>MTc&Oyi?)mv6ah=#(HJRUR8uhywaq8w8wT-`@&6X86v z@4c`$4C5UupS!tv;BxM+M=HfOk)P*U8m-!h;~rO=fu2}^D=OwRk_hFCE1sZ`NIsuR z>5s#68GKeEmR0`Gwu^U6lUi|01<;Bao=DKB8}X5m{HqINEXFc99R+OJtkGLI4&htx zCf*O$rN|OD?ev>j;}Bcl#P8oH83*5{X)b&zCA;Mv@1Ly^Ju;Yxb!Elf9%FAfBfpr`>MD)g0NM z%#FW#<37C&IT{lI4&=X4wT^Hrtd0#9{^?R^9ycmjIx2u$`@DDkDxK6Cdfk{;R%CYh zNS+Lj%yCZ3qZQv{pHN5+n@6GBo;^XP+$-fvF>(}Pv7CKPZa$5u#`~=dHyAs!1~+5r zoDo#7B9)`_B!YM(`=kWGKUyr%Ugk2EEI~Oq?apb&61m79H|bU)f-qP!VEdYpB5mX| ze5ag&`cn%P3OezCRC;7n&~0@kLG=xs)}~>MMdl6T2R>V1ww#-$Xw$2~FHk}}x^*eD%2saa&&3|m|d`0MXes?Fr#!h%N&w>(jBu_PtdLcybO z1_BJ8Kc!lQQsuUY3ratLz6L)YwVa`$Vhp2qOaxMrhqh=*Jkxe2URF zMV8G9@mWnAkOqka%2l>LzyRsiqP6f%h4Q$y)hAh31O~VBSMx)k(zXOPN>*9z6kD=^ zxOX0z_4lra!}00j{k*u?Lea8?iMF;q&N&|aD+={xZCP~bMLiMc*Iy6yYj;(O;=<#1 zAS~ez0L&t7Yvy6~QZaSxihRm5uf*(J5X*gpoytyUpD(GH6|rO7nWlU|E+@X2L_&8O;Y z<3DzI4oB3karlbIxbP;kZ~m$%ZpQ7u=<f49qz;`yJ!$hR83;1C z1ZU;PPg>xf4o+>Yj`(7diZ) z&p(B02wB~cDc?kORtIgk+t(QUYhpIXQ&|>xL{NCE;!N->j-tCd>AeeX;Hedtc0ws6 z^yaN)w&b3bnX1fE*5QvIA;l_j_pB8qWN_E7=G^|L@vGWW{`?>A)>5|lS&wsD8g5lf zV0^~{y?Luf$C91(E^3Yivo?7VpYW^&B{b&a8<>px^sS9y@ogv`p+Bu+&4sdsdE}GL zbF|s*d^) zky&$^mnM+lQwvr@9PUR-V`PqLROhWJ#TEh`rk4a$@-s=AX$srKEkn|&#P zF5^xd(-iSi3IKQ%y(zo6q}@_brKzRBqpcxOd87nv{8f1yBBy>TtfrN~uH9+7sZTW0 zDU1otAflJGFbZ&{(}zkz3~@<{S`7mmicv)X5 zWY<+YdABkV%9bbfr)icy*gh1qumf!Jk2ZhzkN6A1 z*o@#3Pf?n&APw^YjP5;IXa1+$N8 zce^Im9tqDCX|CFCp4V2)M`3{M$xxU zw8sopok{l|)pb`b+Ze@Dk&3&fFgl8a%4nq%vqT*6&H$%?;Aa^XE1a}pK*v)^&J=)q zjy|=e%d(5&iDzCM+SbqmDA5t`hcn11px^A4l?!l>QtnlCXq2e*3Ol$s(%3rA2L zPhJYu$#%WENB|AEhOFpcZ0Goa2N|cNgzrI}yc+bWJ&!iB_t~XumJCm&OQ(MwMPd#J z^{K5vSlo9f73s&LIcjMV{ixd;NZkfaHry6{$GOR;+_#lzBOP4hk4i{}$i{k_*Q#BQ zHM^%S=ADFVCCDxJSLQ!TuW#$hdFdSCgN}e>&f=xLG2Z z!ODgGXnQjjtt5^y@MUxA$NAGuD{#abO8NJzK9V{lB@|IeqpyU7>S`;g*%?ZTj#hP0 zM`~4V@$=TFnYGG|?Ptu(G04RMCakF*U(70k6Trg-$FmOTY)7iD&4)!mTmf&!KU zf$3EtyfWlQ#AAwEM{AhNhRT{!BZf_c08MkwPjqxfD`r@Yt|A+pWK*QSjHVg3k?Tt= zjpX5Zz^-QY8=2-Lf}na*_L7dnjWsRKy53ciFhc`V7d6XilF1AtJ0F*^qJ44g+a%>{ zMTl0h4-W5ibo+)ms9DvRVzX>@h_41i3UIxNCMZ|~nweBgaHi3$04E-zqG(FKbJB?B z1Ovt@MvyaL8m$@K? zd!|y_%(=QLat=QlTX>QcVx0|V%1-QzjMVT*QE*8lITc-?9$?Q;O0=>V!6^IGc0H=Jph+%R@#?jlk}Wnyk*o>x46^hz@o_AG z#O`??Da|ClX3>H$4<6!?(Has-!5oZIzhT<@m7d5(Qwg;5$mnVtjL509jmmOIdcMjH zx&r3|kZUqnB=d{sXg%s0Ei%M6rqW>N?y&*Bp0&_K(Z>lqusr0Bwa*)DuqWmOay=_r z2QOk^E(EAHjQ1U@T5{-RQFTQt$mh4YYsfRO;N*6#Ei&p!Vl6wPF$97JDP*{UNEX>i zNm)oE9V#W;rX+j8bYd5Op{h#G>tYo9%DSh@O5``GR##Jk3CQbDj~HQ-hT@TVl!XIhcgK2HbV)FI&pcE$)T{I&d##YNfC(IC zmI>IalgCPm?hs>Qm>C^uY*E!)dE7RGj8v=M!)aRO=pAK64b&QRk;UeQYzF(F`_U1L z%HNQtlPe;U#1I%({S3N+Nm5U})MB2>8A6_O)}{b($DCAbVkMb!2dw(9Ek4X%5oAE=>uof!}gDVA}@79qTsa-$!u+#y7yZ z5-93Ht&qSj@VkgOJkuUDxEqs#r|E-AQS3O&xl8SWX`qdEvcFO?3XFcd)OYgyzc7yx zOB)ip_cCZ761DafXy~a8_R>x5NHG(?&v953EDx%4{r3=MPlEn1&d^!8kl}MMWYhWniTC81$)=M^lUfeMJ&^sH$VZ=L{Hj zqhQ>ONh9Mi@(%#_6v+y*Wz-Dug1!Etn(cMFAyu&4t|rs7l7}vJcovq>}Q0`H=NH_<$tgCBF*$O%(y}*(^ z*mH*?(BrRa(vI;V{q4+G5y=|uFftva_QxG*G?4B<&3OgBOkgVG8(SO>#;UE;%`jV% zz_t%7&OPf|>shpt-J@3h>!5Ef)PPCt)35&kuB(`1n`Dl1r2q-RDsj^_Jhm&E=P!Aw zNe$ev!c@kul7}3AbzbB~&W{q0oGSpM9M+DbY+$&MwD2s0kb@sG`W*DCqS8%5C>dhf zsBm3R%Z~Ne%#==RHzKrf!zIu%DFAfGU!`j$n=7bXyafF!&-NTQ6K#fO$mM}hF@w!% z-dS7=RA{34V%`EGlIK7!w+h#nq#ZTmlp5I`5$*9 z-n5|ACs?CJf;U2TtcfRoTvm*?WI!br-g385o=<8LPpF)lF(J|JomjJOgbt2I;(oP< zdv5|X3nNBkGBkL=8!|ZOzo*u_TRWoC$%{>hPTl#-161`5JW9{|kXJi~7X*>cX>F+Y zISI7$8O9`51dzax%6k*)DU2^|E&y=TN{k9Q8SBqpwYxNKVlVOn2n*D6immon1MLog z$14CNjkCsi2cE`-C)6BOv?#Uqpp43v&VFw*_h74F9(f(A@Ajm&PVToX+rVHl7_M=U z8{6KtWU`D~vZT_=3Eawl@%0(?syDiP4g1N_WQ-HYBy9)R9qReg+@4nUFUxbL-mY~h zVvWo}(U@V6@)_@nr0u2LOz9kmu7f4Ek;Xa`RPSx|}7* z2rLeckm8Og}bIu4Zd?iz^hjq{FF5s{JC z`P8vT=b`eIH-EYh9@(tRl~{H(ZnJ`ZPyrv$=~_Q*mQNx-F+9zoM$z*huS59Kw_*C0 z2zbHDC}1)OUrTI-j2eW~j)(?S2+L$ z1~%mS4|>*u!dr;E*&}68z^)q@BipDIl}j|yaZdL;3H0f2V@5ODi-dAxf<%$hFnZu* zj-2+YGT8xiUVGlkEkq zqL6L-;z+kLA7kI~t_7<%)L88#)YjH1BNsNxrIr5U06TEN5$(@3`O@Y+EZa*I&xZ3d z(FO%`j4530?UF`&Q-_IJb8T}En%EqKI3vDuz`*?LR?24hihzMBQ zjQaKIn#|R7y&&8yS1{O2O68twGERTqjD!Aq*45|PEuzFR5CZb5S$1dmi)SbKQ%$Uo z6I@(x2oxA#MN+sOw+>EEb4f|`VPy9>xqK0(U0bu;TitF40!ujyC)aC?Oz11Ij0o<=Jl$F|089vR+6C1xOy zyPJ@B!0A^C(&kZpNtHOIba}p?Y{CglqaI<}2e9i`(PK#bvg(}SMn(xexgw%+BeIR% z$!(=$CyevSBlN4$L{`=^fsXY6o&gy=Wf*+Iy-G-DP>owm{3GcPTjxC1?T;-DImEFZor zw0yvxaDA&cWubbMKeBIDP)vJKe>M0?T7b?nBk6PGE|v}QndQNgDq$AEdKJbOu8gM)*Ek(!B92D!91CMDC`-A8D1ALc91GGhll3)~ z3U{cDYgDuuj}HemxoPtGN=843n#i|J?gv`ew>z#jk3hejc2w2a;*N-qRV2X6+{#bs zR4($bZjL_c0!3(DD4paWpPA0l?VME$^Se@&7owem@EHF98tP4QY3OsL?n`Ggp?Or5 zX$dDDhqXvtR0?n~It~Rc?DeQ@p;_}$lkZAtyix%}5NUTBZ8vr(0!*4|Y*KEfx2*t3 zu03j1eD$hC`c%=erkI*84^c~#ij5nNX?Il!am^-AV@bQU4bq{phnPh!{yNkQO*enN zD~y%g5mj7+O43v;)FFszrROa|kcF;1j32u{?ar68pOfEJRLkkA71PAjMICXl+X ziDEL3^m|ZlB|Wgc2jX#Dz%}Y#5U?6ogdXXJE;Se9{<$wt%;vdqm3^HjZQh9J!_()V zk~Q@!;XYhv?;rlPWl3?ha@{IS7hDs74Kbirbk86hpL+5k6%}LCa(1~BtgZtAk7}cG z3cgQ%rnbwFa^PmMFXRQdJLYo z9FY9kUbw3eL%hg;dFi^Px8g}L{p#$tJ7W z4oUPCp=6>fStlc>3+`*zg3%srd0HN#Nty3T{{ReQALCXaLo}KG9)h4mDHvblkmZl_ ztMK9rb0#^)7wcNVUEQ1&9hH$C*P3$=`)vsj6Z8$w~A$tI`rYhzDR~ zZ|PR0cXArFkv*7_+`ECqiLf81HKnG^W6?{J0g#bUDuq~=`>IL$o|OwTTf91cLGpu2 z{{W70Q&sZCvT3hrCF*BM{;>UNl;`{H^`_T=eFE4hqKXD*T6oe`;&dc>*5!|h>~0R$ zaHQ9o(&UO(*5>bP9>Epmyu8OMpT?ayNuy}kf(>~rH}_WL80K}yy=q$cqVg8~;od39 zwyY?tJD!hbiEAFvc&xo%^cmD4G!G~U2 zuUc?cQ^>6;B31_gS3eE2CDObv^7jI|IPzn`>x!yRnOTajy6%klt&yZ~Mk^ayf-jj> zc{S2SZci&9j&%7>523B&G}fjPgHJ;?`%al;5`n;Oaa(rVWrcD%$67-?a{Q$A zsUs=(REn6!De19NoSM{%WjP}sN`#3~kb#va2Co&*CX|4q6#bRiKG#bXgN1Rys4iqB z(4!tXtFD7-1A=QXmj#C0fm2a9mrl~u){5Y?D!^u?Vx@9NHH~X{@k|n4BPSKBf==La z2;#02x-*9YC(5-b8W>nlbG~cqA+baJ6FQrX72Lrwi zMM?-NGf<$pnWsBR4Dy-d130X!*e->_g-y}-t-#6$T4No=5mh>BO2UOl7jqyf3x+~6 zYLxLx%;UCru7Q9&?W)tlWGWYoezlC~=xqwxm=H&|anH5`&n$wQJkDTJB zHqf4YunlJ#5-wHZWkdm!a3uEpDt0JALUI{ntlhUpo=h1+Td|Pf1KYJMu-kcY6_0lU>%Jlk@`$eI(9WA497 zg4b3h6)s8KI95Fxq?|iQjn#9`I@b43RAFZw8KGx(E-kl!RP@25gI2QI(L9@EOoFGW ztb;3C+{Yo5h@JQVbUnfP)XktQ^2su`9FogTN>uE+Yb;kFyhIhHnk83KM+UjA zJ+3ruLuJWtDoz3HJ?o}t5of6<%>8}%PGcc)M38s z4x_(%61dy2#d5af?ZaZBMpKqnz&(DoF2bzHb}<@rdV0~H0-ZpTkb2JQm@ z37`s>3PPeOzz3-M)qA+yu47;ua5<~DP&BZV2XCPj6GGoCY?)keYbhJ}R%m9RDkf<3Oxv505dEfz&kC=LTRVfnU%>#oOEAp}LO)BO!1oZ3gP)Bk5 zznE|bZj~sWGr%~ix@7DsM4*xh9<>Y1VU!1BwDHreSq+d5MXcWRx&zo z7|tqZesaT#uX5^<)`YRX30Hy@E%NarK3;X~WSERnclg#;XT`qLx24!cz4 z*Qp1$ty+jUP2VUu>MICEJ&mU(w;=m6Df7Ava1Zh|G_ zu_TNTt_kYhO;dKVB`fMhHlJ;IYvtWU9S<1WyZQ94TKmEg9Z_5e{Grrfjo!x`S7UWC zSec_MoD7VfD>bfP$ywxFssc_If<0>~%_n1MMYVDId_fUMl^U4A00CE-QP<^B$?yGZ zHqTLo^RRG6;xK++ty~u|F&JUH8DDyEb7-Efs6THbDLB~N)(dD+* zwC_9YNesy@##rBOcb3~6^wnUMdIZ^kck&-<@ z^`+5tOHC>nBN>)v7-xI{eT6L=uvw>bpSOGaE9AJ67Ian%Ce`RYO?01XLfb~-gke}< zkIa8sW~Xlw$b_WIFjZMSamO9U)~;CC$8!q);3Adzw=Uhs*8uQ+>BmQ8DQ$LUTIr%Y zshT1-%0q1&bKH#9ynbLsMi?DPVUNPAUTIe_D#>jxmF2d1em^7DrCVK9W8_0FLG?x- z%o=GV_7iQb#1?k<2xLeMWxY7gK9vR3At8{(yx?+xoEp09@SsLXnUGl5sWVbpI>UdHLMV;I7o;0z-$)p)1j-NMIr|I za56Fo@7VoldnRqj$g2q(c=ha0;Ytu!u;o22iZM+biqXWyFh^DXFQ=_lXcPNH$cZGI zi8Ab9GC1RJPQ7Y((@Lwnidcp@BLp(^81(8o)RQ3=yPsl>flHOha61~e1=NK%&_e_l zNR!*_gsYqoMpqq=`4x|OZK+FslfAx48&X(+$R5ArRqU@84Ng^-aBZI|Ba@J)IUd-p zce#{Z#;~gqB5o`g4DtLut0a_-TX7+_wY^}$07quVOXCD}1K04XQbA>9aU$K??w(vR z^6(21dXMMUx)xbo-Y+0-%AM|hRvG7~daW*zA$Oce%-e|t*OGI`J$h6)xa?ArmghA- znQe0NaO{WXRwxyhp7=b~6QwLyj3Jn)RvUQ8Ao|y3s#(PpN&*9g%WVMd1b3`Lh;;UA zcX=I78AfnF3Rh)W8MoSe_S2||CyGx0pS0kIU$VmR^ zBjw_=bY_a@iR!DUi$x-MkvDw6LWdsy#OED_XWZ&$?&Tg=w-F4G(#4iiIqEw7FaWWJ;&;}!op-3F(9-ob7>Zv%=?*gr%m7!o*$b7;_ zI4hi!$4u}uN-ok&ZD@GzqLQV;$ju>8LXR|K6}KA+Eu@k`Zy*V_RwcLt8*)I;9CKNk zgT2kf4JiSZK&3$A9eSF(G>jHGqePQoDjpXbqf^k4{6zDSUfl+H^;V?`3r*q(8Ztc#YY1?cl;{jMq4fi zl;J?~@<~3~JwIBzvQ@Ta9}rw(XrYC}DuPKKrm;6jTyAsgS0;Hr()dttc=>ahj2|~A zW--);{*{Aznj|2)B30hsC^;jbHLD)45yQxK>>M2A)=T+sfv|4RazzbnyyS&%Kr=#i z28&b9@!X5BRpFfE?e*?HwKB~Nrz*>zLU3z3ILDD9nE>Y_JZIXYNXvB~el;?RdY2is zp|02rW8lA_=9vP#(}il+eDVrk2C<~)cfLT7ZH{V7rwi z8+UNH&!PH{O6TC;cXmrQ0d4KyJ@5y5-6a)dbGbQgZCV9}(L>6r&NmZ~ax>3g=}0b@ zW5&c5PF1s#K>k%CUj2^h+G~q;F)WjhW-|^`_-u zwaINvmzNE~&t-m>LI#){(hT+5cqs(vt z`F7x*-<>4Zb4bbxs}Q?z-80V}f1ONerL0FOw`9xy{K=x|j+DHO+q6-ajbz;H9tsTLcDKR5EH z7r@SXRu(pDex73 zpVp~g#;9ifX2MwWP8>Wae3ODsCws&`qxY+t8C|#Z=xuONoB=rMIrL? zyo_|K66s|veDE=h4bW4Xxs(y1}ajNo?ksrH8$AZ{Fr*JCHC z6l4Zmkd4_q<6$ng{J@~1WM_!yz#)lW!*gYGiF7bd5Y8iK?dyZ-c40FXwNsO|) zTfH7xlYzlC9A_whrC5!}eP~*(VjhlrrQ!!ll&x9w%XKb zS(c=gM%=e1qw|JHqiRTUR1;O-{dNEY)}bQZ$4Myj7d!*TY8h}2{{0%B$|M9HwG#q* z_v=>@8CK(ygZEf>^{nY+{n3fX1E;sOXH9Q!$Z24%w9Y5muleOE3G? zQH~ovhOFB#X&c<;yQzFgs#UaPqcK(!HxF#U3?TbIQx1Ex7Y!FOClb=~G@xHwc%a57g2|!U&nqL>a1~i;!FI zG0CfL%EvlaeBBXZ**8j{`tw$8ztVLFBcWiU=};^AHu6c+3&+&ejT8Y9nEwC>Ekt)n z&VLJ+$k&XAxWU0v-=fwu6Z!h)rzU<_@f!XE{{Yuok+`?FdEDSd7Ekjv%jlA`TU^e^ z=9W@V`TT328&b(5rvXms$k05Jed!G^6o$Nm)k0B8MF4PAQAGzpQHljApaiWgF`IV; z)@@(Y8xqhbvDnz$%O27goO;&WI?E{m*17vb@`|*2)g+e2ZcOQ+)S!@z91~Uy$X6hP zT!=oEEO!zoM>I)Y4l=XU*?41-_hXupduYrfrfV`A(!YFWr+cNHHijVAE2iVEj+oU? zsZL1N9mG~-w;`CL^s5)rx(oxF$ay^0IT$sHI@1|{63Rw7sI;PN?{_Z-rDb) zBD-c}-Xhd3?+UBr16!6JA-VHXSphlf39fc~Y+aHDd8;>mWRa%OP_J=UbZ5!A+m8}N zk|~gYrnca`x4KYLMQ;2W@?mLmB4>AI2iC7>Husk|p)KwnGNUJ+wWKOF*5*^Ab)r2! zU9GpA8i|rP!N*fsdU|TD^2>$+v6^PBdo_%savn!oxztHs#!!R3u4uKIOCCY@tq2r( zKoQcpn;m``$W7oMt!zVd+qP$~BBB)_nRBNmtY|u`j1f(aJ-dKB8ipCcnUg&A#aWDz zl51sYYK&duqas*THuLwY!;%2SFynSddTEenX*AMFXeRE-OKx4Gsi;onV7co`q$^|^ zStH!)*`cd~cF!&(gVw1;F49B4ls!GD=H+%DDwd|OU|RyE4O9i% zDZ?q;I-0bSE3QH7TB#?Yn~PeK1`A%sU=3bQ)OmGg; zDq+(UmZN$I;Dz~mj@0eP1DXbU9<&@|imM1@h$K=Ob5$dSATdo;QE`_>gG-{Cf=SNV*vkvzdS z07>AQeeRN?0`9P^TuG+7F>I;r=~*g%>$)~`)mfaX>C+hqiSiqj zAk-7W*4G|j1a@$GRQ6L{>C>(hxDEG6?ORv2{{U@HBPqCYYn?*tP0oiqJ$5jJ_VaE} zxXH@Z<8(QDHRjJSqEo`tm;8VlrI6-O)*X2w0m zZbV+%Ag7qrVmT;-`2orYZbchN8l^QSBI zsqG!s*awem;{*Z4Q-rG!_ROrL^UfM=O<1yc|`pm7*KSK9w76QqBBW&(@g=qq4p} zQaWau(?LCTBA!C)`?3igMKPjOPNjL{+N7Ex6oi4_^QMUd?f~S0j2f1e^(j3x5FtCx zbDoB!Mi^1Im8|I-6Rr`)0U>kVt=n90T7a!`fRG1K znt3C5`4^0keJPWwOpH;!atH)<{3vKhmMomHA%Hm?Vu_#<%#vZa>66l#Zl!HUEw~Jv z@J&qY#uarVf(=?ptx0Y3Xh!ff%mbbj@I^W}<%wigJJ+bsZ)y@_Fv!Ts#t83L6ao%; z9jH-P&>Xb0Dzf>Js4kYB;*HWADFEJxDWss=bEV|^}0|{oQ_-iQl5j3q_+3c ztfD=?B9FRx{EbZw^mhXp+TC`aN~*8EaxfIH@g9U#3D#)u`GhsQuGTBm9^ZvNc_c1G z+0Xz13^@jzbGPL`g;BSik)uEhDH!)3TDAA21xWxB2sNse%$#gWx!dzEG~M9Ij-%h! zr3X0}>D$(r6l9)y@l;6Jl*thQ<30T0)-RMuHPOo9?Ac-W5%n`Q{wBxQR zx@%lW|f;G|Z%fK$d=?;OCybscqXbCh|UJ$mb+eim;`rqj4Eq zb!8xAXXfLYqOu*!wL=!_Gq)b~b5Mv%v1cJrf=E4h;;S%|%m-@i2Ou|L_)@6#2rqPW zvp8f67~DbJTd&jJsud};fK`u1117Z6J?udsfzU9=6$RW9N971M1q6~9;0)4|ZCs?) z>`7~Iw;@i$xj6az`_;J_VG2rp?@qOwrMkx}mnsw~2P596npus`T&RegE;-2ctBn2I z^&(KbiKJjxWx(Eyrz*?15${*S$0fK&!Hj?x9la{9@KAiCj-IBZibe9iblZ{8n&?cy zuEkA8#5<~Srsu1Qu>tTys_?^x0Jz5xifGWiQ1${WjKIOFT< z*1Cm~D}R*8ppl+W70FHE81A(h7|Q7kxo{8+s}t|WDW8`a+IQcN!MLP@*2?rmS`ORvj z?6O9)OKB=&s$-Qt_^M58OHXq;<4=;#SGg?r3`YybHlCwB#yeGcG?5;jTFu>O!jTgk zgYD0?J6hM{vW2AM#>gE^zB(VE{2M1 ziHz3!zSk3=UV%?asI$y%$w@@1&&jt0eSNE{o6fwJYjkxOU5~H=PuD#wnKoB?My0>V z!j>wBW^zwTmm3zPeMhWm4UwEi3kBSVOPKTvgvq;kKQ?y7&*vZ?u791MPySfj-#1q*^QrMvsrsNU)DOSrYD zaU%f3AA5{*?b5KXd_4^6L~=-tf;PHfsr2+5R`zJd=;v(~;^G`Vq;l<21B_%SA5qiS z^Qm=BT`r@G_hmxy83!8%G24z$IPF(p(WQdb;w((cnE(A5?P8^s z$X(ePT{atw67Fg+(f@?n$me4NHNBRT`+xm zXTM714xh0dRoT^4kc0vO&*}A|+7z}sP{<`x7@gy8an$~GDlnGlZc<} z$Qejn#(|W9`Eq?RQ%S98!YsAmv`14Pl_XnvBOH^Tdh@G2Zssf3c_mrl7(y@=zN!KL z03x;C{?`4OCxtVSk2OdS+mYChQBv^K-@-LoYjc{pk~O#yMS~hP7kSUMY6V&C% zb}*@`xgM6$NvK`Ja##+lw*-=UfPW6Y)oXN8XUTCQsVd6A21ER?eRI~i$t5UWNiSa08=9JR}B9Ee18ujuxQybS)dpT=I-0~Kx(S?(hr!cW7iq0i6M~uv5zx> zjO1ha){G&{g;4;F2*?|UJ^rKIRNlk2%oeui=h~AtEisZ31i2~ zGtT(&Sg!4ShnNImOH*HwxwJ(7Un6ILfck)w|nkSYtOfCHA_2!x#!rZ>?RlxgJF+*UV`?MFF}57#SV? zs=UmLZl`m3ndBbTJa-C=72#LUCm@UxG5-M9q)T`ie|z$$@V0ZB&9ag+#w6;wKD4Tm zM%-tPMQpB<1L5PF5s$r|zl9@dujY>-vNAFA4CC6B$6|zcV0B-d^fhiRMpck9l1?z* z^pZk~#XN>q2d+8&DtmJnY|PBOV`r$R&DeaQE%fWPKw_B;NXQu~s$_z%$-NAFh9;D>s;+p80Rg zlU7Z-TxC`@QMeETW9h-Ef3s9%Nh8L?0DQZL=~o$PVkHf3XW&yAN2O^00A$=SCMSwb zxX8{A>rl(4-@?1z(rusKRml9s44V{6dsA3(QWgN<@O=+jYht7b98_biO8nCRrkDst z0+p$zm=DPHqjxmgLq&j;8eETBh!Q9)aZ12QTLzbSs{4&D<3)gx+cge(r6)9kfD}`y zw6wr!qZFo+fr*L;rK1!8$|=~Sph8mOio2xPKB=l&*vI$hkz*d&JfF&j?3-gs?#T3C z4_W^JWq2+P;H=m38;1w`gM|L4@ur2`y=v~YGJU7YgNt|oiZD6_UI+55u^|=V-Of&L zsq5K6D6WAa2>7Vvm-l3oOSHZ-{AcnM-GR5p=NPI)IWo5MaW_A79&2Mvw=>8iMZs<} zj)JP`_GGr;x!iWB?tSaK@Xn7Io>=EUHU>XFe@e#{iH#a)&KJ5=-Z z&MTXjPBy+Em2t=c*ZTheTK1T9_^l&lKo0H?(zt&Y>CxJ1)>l!c)tWYq@#?3K(AN$V zF;!}~bbA_3ib*p$?N(b!5Dp6QTov>NDagl8E7RgdDhThzaQ+~*@~>YV3C(&~N}l^h zSg8A{nAU3EXvgF9tNvS?e>5-3P8fO`g3l4m3H7b`V*(waat?Amb6quMW?@D*M>i_2 zGmMX?N=Vo+1zV+EzqB^;WplLhzu{QYN)|#fgY~T;?=1paGV6SaTy;l0YL|?YeVvd0{{y3Ay?Wvo<&M7nzJXnl(3E! znRY}GhVpLWiBbgPWP8A;#}K>F6ISG<8tn|!J{T!EiXYo}KVBT>;qIs7;usjH;#Cd_Na#u1OqtKtiz4u^4r%)}u2 z6aE0!W|bF{r(35&S9$uApXG{A7s^%a>{RoO%su)K>sI3dKvB^^QS<{9=VK>&kI3|J zkmaJh^(CaGq^>ilLq#nV0l-m36dQ#UVwVDd60O)aC#t5Wv^bbhC$ZPrx5_Hx8qTtB zmlb)9S(_c2P--mFgFuS_)0MfXL8q&8NDE#}a|}4D^JvJ&C~;O{K9y-tN=}?vQL8BR zFyzx^kG4fonF^c`MRmCq47QL?Fz7zDjHq^JL~%XNS)}sR5lS7KY4xpZy&ZPuCalJ{ zmfwcw6_ckVZyK?a++(0O0sXpGn!=Mo(;QY|VC*^Ot$nM=k7@5vY3NJ#m{#1|F!{$y zG;bl0gPY9k*F;6szOzXB8$^L%|j2ULIXl<^gUAh<)IFD^?9=NHvzU4jpsXJ?mFh>}06! z&cLGqoM(!XE>LEnj_8=fG8|&2j(C}PIIf7Lea?Aa!W<)d^G_V`Mk%F~p0q3OI323` zlc`+7s?C#tYG?xED*Y+Wxg6ASVm>`;Ez2#7yKyHV?&Q-xZ!k7}sAeJ5W32^=d=d1j zZ8BBCnY_%7Jw-|zj!#O6oUr~5+%C3Bn8tr8jVz=ODzZ))D2%`RY2Sp2;X ze@cJZfCn6Rsejx+GvL%xX9#+Sj34Y0|E%| zPM3J(4`K~C(@|OksyRJMEX2cMV1P>dQ;M?` zozZBhW#n;+s6mcEtH|6B(x(m;wv)y>Q(tHyx?|R~dg?@9LOJBasq2ol5Oq_(AOqCY zvXal0BCACRh)SRmu6JPc6%O|;TEQw3W0ps3<3jvI@Kv4 zV#^@M%6b!wRfqXmRoAB~Y9}lm0b#V99zUIBBS^8)B+_nKccIQcqw88R7GIZnAOZFK zYPe^@g<+9{^%QRc;7Bs04W#&PeT1GVEg5>N*;$L0N)~1J{~# zq4`!S7v7{+Ra28pd@v*oj)&5nw9r@AQn^_-fHv@X!KTh-g&E`}l}<tTEI?fJ z&w6an`?6U8^}(#?9WHAbYhxtN8ZkY%et6^b%}*%vt+vRko~Mjbs8V+!%CXN+(xH{z zB`6{#OAveGjw_iXcjQr$As%+9F@c|#xa(2c7B_f6#ddBC8vy%apBm0(Xs|Zpg57@# znmc!GtbnM_0M8vcA4BoTr~)~ibymNz|eINEt0)RBV_$x?Xb zk34@`LgUNcMjvV557QMcSKOqnu;D3=7}q{w0|zIlrMQ^7MjACCx#OX#feR^>z)}Y7 zy@B=3T7V%$A1+X5sm(%@dJV-bNMuM|iUG$N9*5qNIYePXZ{VA>VA3-IUhaOr;0}q&ykUa(}c<&?FrB`uL*?B&_f0aQj_`;?G z6OdcD$ESLh0UY*W81By;9R9S_oyl=lBz6gzHZDl|artCbaEtbbbV$LFjpQx~4anMkU>hGE9Y}+l=ERexuv@R908` zwnsq3AC!TQlny@{vpRY6Cz}>wWILFFxF6;?qdI0NMz6OY!eTTHiVW!P0Z;Nfs;gSsV?-0oj2E_}$yZkDscabu)1+vCRr2N;!~ZVB?JEu6?aJpy zH_N%bHJzQrUR=_$I}9i|D%t1;dYsogCx`USF5q5Wm?GE<`M_WX{{VNtrvkcEw>Udv zAtNVeem%W0SlXtEr|MH?@g1%aNgHG%gWnx1Mw8UdU1(&*;ypO(Y$CW|{{VGocz#(u zfgdpZMNOz`F{ClO%?db^4YY40j;cpd^{8$9EoG$NLw6*PGOU9N6p#;YoYwmdGg4_C zqg9o@Ssexreeu&CwLHFK=JGjfooh;li%lpI&(2j(jx%R5|8lqiXM+L&S?~4%5 z2F2%$3hLj%`Yt7N8wPR?3m=s9<2lB9*CDS%r|FhawUXS+<~sy=NmWu0Ja_0osFYe| zMW&9P4JAIt*31b>a=dbKdN;LY#o_5}CuEN8ytc?W&&)B@bmuin-&(t##J#2Ae<%+= z851m{*mWa5htjsKueD2yaV(YqMlhj+76g&g9Yr~83vsr8XVeUdADJAHHUwuOh#d+4 z06478LsDB%A`X$rMi`9s&tNM2x{bB9@DnJ4MufK30dw?Y(>|31me#jY8Ae)ZnOPTT zVh9)@dW=?1CW=-!EN<>(wb^vQ=V?NX&mN<({JPYuu&DykOFNL+bHL}H{{U4mEVht5 zq0Z90uHECOU-O!hBNC}_h{(&hIzK1Z9sd9-=#4~`yBIjT+@o=A9o@yOl1#C)GepHQ zPd&Y{j`dn?9xJ(IwubIG9wZ^mh)B+R8c(ubTc}hoDm!o9TLd;e`-9$=OS`6o-LuNE zsbykQkl4mZ9lBO7N$X=(7PPre=Gt90MH0Mh9ashloPB+3Djo1yO5bLZNu*-_c2$&~ z*d4x=uJRFswFHkeNeD27{=X&UpZh;UB|zF!l^W38;RCbmQ=<D5bqm~7l1z@C|s`=axQa%2) zl4WKQqh~07Q|(;@N?&ws9_ZhJo-yt!jFyr8qDy%I1Tg{B{{WsVX}fA=1$_<88&kFt zd3TSsh6-_&1B_<3B$C!0#z7V2C+6HhC0mSOdV2HTxhq{FecRQeX9Ny;$n~uZ%uvGU zBSm5guMAJEXYE$Ts*~K6w7nWzW|*bSZUJNE$S_WO1KZxJ-DuZh*h^y92HDedB!?u7 z`*Dg{Ey1(-Ln^Tfa=F{?F;0q0n^kDHE5zduwaj~PIO*%b>Ds5AK814Fr7PcQ_X!bn znTn}Vvvx)}>MB*Uzm-P&ci;E2q$_@B)9YGsYLi220?B0+!$lb)MqH9JoDg}(UYz<= zEv#K?Q^g}h5+AuBhCi2Yui@=NNrl-=z}BIa#Dz)w(t-~^UX_+&S+0?#qUhjnsXM(kQP=Al^_kk@zaWGJ%r_R8e=uJ!23i& zoDPSf&p7w2`P@w?jduX!IX}bRuj)FH+iw)18B#x;Pw|gWtx>fTKE{DJj-LMj{d&?) z+9N2T1Q#m%(n3Hv;PdsxH*~2pET1vYA-mI^ODoH;4tnRBb+bf623Fih2e0W_dYY1> z!8>dSVm{u!)TRVL(uqq2IKf;W)~Y0i83Vn(^aNm$(zNa_BfN}1mA7sNeB2ZGQYfh! z%;lt5nB;{Fy)#!}j_T?eP$+eb63Rf_KQUC*nl=j)f$Ps7ooU%=*LREzFqT5O&f)?3 znl#)Bu*RDoED?v@1a3t_DV`sKNcz^DzuElg+^GY1QrO4kR@*_9txd!iYTHlD3Y7!%s*Mc7 zSmMAezy%BQ6Zun4UbAW#eY>@eLq-z9fWCI@|P%?Nq2LyZ7 z2e+Ci_Rnrnfx$Wcy(;8(7by%(v0SjpI7t2Z0Oy14O(8PG7BF093%Pc;Aq42Gx%4^b z>CIQ4!+K*&B7Kq<0AxH9G9Rep2kDQ-v=Od6sGd(bq$lS>gL2^X0R8TxjMHSdv^$-m zVG83e5tWDcvN}-P7b<2nUJBE-BqrAC>H@qmeUd3Yqm?zCFM)4E6}7#wnZ|!~^7%4x z{>%0J4R_WP*+R&hio(iI&j}eFz4Px{jTNIr$Y$fA1-@QKY>aHRs0S!niX#1bZmIPYEmoICGhT>c_Xv6!>)UA*f2)=sHHq!QEpnXc`6?aUYR$~>U!K)0r8x9JeM&SOmg(-@}6tv>B+)yACP-vh6j8Tdx z7*Rzf02reb;0+<;sT2U(?0f-fjYjWQYz44@l_YhM{#E{D{uRfSDsVvu(2Q51cyUdK zgLfP$zMRNO>Qz2aKAFvP;^fsUF1j1xqk4(rhNrc z(9%s~P?ASbZQuuaJs9>M*0!~a>s>zFB#x}^4#>tjj(-7Mu);SEcI=c) zM*|gD$Yq=4=RInTz3bfW-f+XW*0rr6SmP{kg>$*jVOY3w?r5iUb^aC5o@pVuoPO-1 zIQoNu`u_kb-a_`*OE~BoEIqT$U(+PE{?Apm+pr)CbJcN?{c1!JP4mA=I3WEir!(=ZKBiagX+ws-N@TmJbg#ILanfCX#8HmJAK zTU)XH;K*F}?kdi*66V$^K>(41>}%+$#nY^=a!P7+&Snb(cCy16B2u}J(6BuZ*SEb` z(rztIN;LK^K z81o}SSR=TFqkp=?)1mA|a+kKoIhiCuy?D>{u8U5*TeyJ-w=}wilH5m=fgm3#?0t=A zPEHcDHk4GA(aAI_7ilM-dV)5RDMGo*9s&2O@}ok;5sVxaVbYKg>w==Y6tp?o1(>e{ znw>5O2d`sQ9RM63!kMv`kUFRb z;~;-gNZ12Czm+f(o((*6?@HDk$ea<5PBT_fzEQjCY64ej2Oo`9H@jrmPDNKMkgsD# zH`>6S=A>c@W74R{KK35nYR=$AM5AJpxGUsJI|kyQ&+k zipYR|{lTj@fJPxBfKN5((z=Ui;Hw2W8de7g?d~b}^70h_008}JrM1$;fG{`>pRG3G zn}>X1!8op!FLKD`_HEAO_8=K!KC%p&>FtP`;E&U=3 z@@Fn)-*eGX$qJwbF8KQ~+Do9QxT8^BD3=h2kbyf|KD^T*F z)+V0+03hbJH+;2bA&zOnm>Qn640xpnngt!{0d5mk)YT|WTV9o-AeS_`rUGfyngJ!{ zD<0>mt%n&}$hsVwO%CM(ihEQt=0X=WIjCFxV65CC_cc`|9ho!`N}~X0=}l{ASKL(A zm5J$E{#r=CGJ{bpI(sun;+owY{1Fq#H6`p)6$Uoobrq~^BhFCmH4N5Lf%5ZQ)1fr( zbi)$|rp5|R5<-pls!MCLB+QIB6kgjpxpNr}>r~;&GZDe5aMM#oJ#;V3p)yvi4VM=D zj%tik0$V3Js}}PrlD@STXtdFRuvzy&a;*5Rfj+;!pT~U17X$f5Mnzba;+0F}$qj8a0J|4Gg7}SA`j+Jv$hI`jg zl9a&*ip@>#jU`Q9%-Bn`Wy#{2WK#JAdsGw29j2Df;4=lut}jaQJh%FbTcj_v6Ugi< zqE%hBIpa%Hz7mE$gj0j%!Kx9(DFv0Xahi%7^BvEXI4XNmRh80U={sm@x$lY)XM$-F z>1~Xa_Ukj8LFWo;r%HUgFURijc4f8WmX7Kq3PP|sJx9{5EXn{-V=aO}2B|wX)@H%yf$vhu7=T58N0nB(sr$I_F}Rry&-Im+|ap~*6o zS{4xLE3WU9^Ne(>D5q!*&%dQZ4ExlxDFe1ePL~K{3|j#ERYKM#P26jR&Uhg3c%~3~ z0ydHOkEKXtSrqJbC3vQc;0I7Mp4D11b{e1r+SxenX|EX!jJd{6edDFl%TByKt5Z-1=?OsLBFOpXt6R#^cY{{Sr05EqM_aCkZISg6?7n&lRN z2?NMKc&Y|RTvW&($yJEGoJ?co@%eY~N(||MA=~5~@qcU;EF~O&!RzlYIWo2Be zuJT;^AM@Ivm1JngmI&l$zZH2Q3!I#=7|HMWQb?s!BOsr~v*ggxPg0ppgCuZx1DwBCW_zk^_!}9D$mANJ|pHbI%-9@?Dk9WM^8&>>4=G~I{4+2m3QJI8Dcui-)}#TN1z)C`@Y zsQOhqdv>&iM)Jg-z0cIvtVjy-NgrM+qpQ^uJqRba-w<>NB|I#c!2_@3Rb-0hFEa_| zHbDdsxj#~xgT1;bkIc)lCmt#0OmHE1fNf* zKgyynLgcQ>)7-}|+EO5K9vJ-iVtZrMRSQeYt82so(I;%X61i97*XdSd#AzkzMUvbc zqAO&N{{U5KjUL8DwY^>8Mi@5ifu5Niy(>F5%@avjwPC0|%r0do0zxu}E7P_ro~HK> zB}hwQb08`(Nga>#sT)Iz2a)aMk}%m~RDqC257wc+iu&5o1*Go9Kp(urG@iuz){VPr zW|F>w!8Po$!z}J%kyvg(K?GyIYKy_C>6h0p8m!RVzU-g8qq*c`9CZ3r%X&Ywo+*!$ zB+;s)uwB827~A;w;*#Dw>vznz4|8n8_i~rW`Vrgksc%A()HL4_UEaA-0*C-@(l7u5 zK9#2=dUmw~K`B>@0N@@7>yUq~W?V;PQDQME^8m_ucp2^OS(f+nSlBtSZz9~1a94iU z&+wn&6yrN?DMI@lO|G9~q{QtNpbNmlMmIP0KDByFh|Qu+4bsLWA0U1d`eauaZuJX@ zkWX^hMg$1PFi&tt{{XA4YFlb64WoUvC32^SBo-dT`&D~hg7!^nX=*xrdVb0(o~u|qw(+$r8yoxE~5JcGqp)82Wk z-gzcy(77c`ZU7PM*k`6aYWb6Pv6Y%e9;xAtTG`g>#^!khZNQFJVx!qlTCZ!XYjMvE z7rK7sf4eI#Hu`4(f&T#4u8&dFWrIwdK@pb#uH-*(^vTbswOP`x5?v}EFud7Kt+Bd- zI%bISxmg3cjoDIJFD7wqbEfaNZb?~5Wns@4>OPee-c+#per%Tem=%rANMXn2?OPg) zm=@kht(IvcJAAO;G7NQG_4laeu(s4};S)w9c>pJ8JZCuPx2;s5(y*g7bDg}h)8@Nd zhq`#l02BiNew=iy8~sjeJEwx*=2*!=zpp*`uF_u(+wcDXMv>z6dZT4M4nOS|QEe(q@Kkfu9ONAJt#1t8GVirJSAJPeISuGP z59L{olUe}!Kn1_M$8l#i-lqwk{IK+^8Z@@!QXtHVrAb2k5PJ|0exTH=+|jhvj+*k? zFDgU5BXCOw80*)X=dU#T=Ym-+A$;V%+=Gnu{W}Wj*X*uj*vjrsPXmtQ`tk2lhgE);hU9%Q&*fW9-XuX;l?|Qb zSI5d=xfww1>DxZFryZoN2z#L!lXiFsJnj5$kBz_{7 z01lbsj0)tH&X|`hEE3=siPl)oRpUGr9)^XnitBXGxTfEfImYbuz$59+R2CYFA-hw# zm}4mTU`HJ*e9d%p74sF^KtTC`8&|IEbI%KGtHxirh=M;$Jo zb?0rGHDKyNWXBlq#U%GPHri#vJ3jrJNk1@Q)2Obp?teWLEJ*S*<9duXGyZw5e^axN z*xn<2j*#!zFmeI^0P9wmf1v1HjE4a z2dBM5;p;f>E+mQimCEyuhm%{{hLIJ#d@HbGO5u8+bNKpJvUX-MNtf+!^vSJr07Z9F ze|VVQd*dICL3d@ONfb_P<%c;9wBwBR12vapYVay~uPQ8WxmP$Ud-3a5ozME&Wk7@s zjPee1&-hX82gqAGEwm9ML3})ket+ID&N~y4gNn3t^_n=MF{=Q;^a=)hf-~3Fux=re zUFfohg~&JuCqCcdMXluNlPQ&&AQdvi;~W4v$m>Po*1>5eZ_B1biQ>0)49DgyI}g)7 z^_@POc?+(`a~1$3lDR(pu~crnLvba)+2v)G3zM{hg;Zy$?r68y;1MiM8!7>Uo3f|y zH8$*B`j1c*D){4>=`BF?A z`+^60oo}>@m)SL=LpH`Ax^f2`kUb6op+~qmb|8Y}y|}h}NJ0~U+b~NXQh%LA_BOT! z$z_r@$sCWwFs8*Nz3ErCyq#2^`FLD{M;Omf@vD~ldED8W8<>W2vAI*9uczQ?j^&$H zG25-fMDk-TDlub~&r&hXY}(t}$ON!Y6c-K#BaT&cBR+!%`qnThrx<3DB~*L@t;vkz z)O8r|QrzmWNb7LQ`2~zpaUzV4g<60#q}8Ig4;|&wh5&`u zBx$4{D4AnbC)@D%`Kv4K*HK=mjW+|2GtGZ6{RT&B&T(=@McC=@85FEi{I0)qE3}0k zt&9>qDi|(pC6K@d94R691D||-eKA%cvNx9AT1y)4AhDC9du{c`I#o#{OJ?%E#kI&d zE0)KwW#j`~`lC_r60FM1jIgl(09*3`yVEDup%;Q^_Qa7e1e_G-@b|5aHsLLZ`&oDq z#sUs;p69>mS6;@#L|>UgIouAw#Qg{v{OYZy_Ln zu3K=kNaQExk|0+fQ{U@bI*p7rpaRjhzIFdlX!PRz22**q;5yb@ybR&BlvPFpq(Rv z6uC$PCU*I9%rbG*b;dmgY8d2Ye=;~(H{gQ#O{Y2FdVP4IN%RK@vyiy(9gL2C&8bTX z2kuQC?0zE$^sK9|0cz26YbCAN^omWb`8F%iF5Jl5%SR(^KR)t7>&9_I%65R!VTd3R zAZ&E)pL(@cNS8`1@=LuJQ?k$6bo-dV?qei=V~U_fdsVb|gKz?6CnIn;U#S3d^rl?e z+DO4Jtu5u;xjuXfNC%F+YSn(|hexwKUlf(C08nx2yX1l)oCG4Cns_ZO*y0bEzatC57cU0Eztf89d!+&=pmMJCFix^ZL0!9>- zP;M(1X|LSHFP5=1D$Z4) z@)I8X05CdmD^5vuMDs_X&dH$bUM^;m<{87Pmp3!v5l-w98L&zoxEbVe*1DU$Cqs(b zJ1A~txsKKo6e|KSRc!wN%TtVul1a`5XKEfV)Fii$b)!oUmQ~(N=W*Sgr#uXi#b;gk zruK3eNRZ3{QzQdpk+cp-#~J$fr0BV|&Cq_)M`me9s@vIl*2E|7zFfuz8y=YBio=>a zjZ<89m3Kmsj34$-aaq@Qam6%}+z=SBXUlR9a6mN6J$^|40M$h+t7ia=jCVOT(Bhi2 zGE=!}ZDX|5z>s4HB=$I}*Se}Tfr2u3%-s7ZJl0L_p>-9@JEP?Cp}PamTB4Vz)0rkl z2Y@yn2jN^lSNHjz#?G(1WO^Tkyg_lMym3o2eUlg?8QbVbx3|4@N5wHlszZid#DW`+ zxxxK^I`h3cM3xnJ*fJi5pLBNQjtz5H%V^b?HLS%?7dmT{>-8@Y27t*H8Z{@4+&5pB zKBY+?g>V{%t1GOQ(M(KERJIQcRA3+b{dBrz{IS`!t9&YH&AVYZ6m5OKHngVUb1J=8)#E+8MgA9xSq6^(6wHKc+}F^3;N`#zPi zZ!!c&LzviS{nP#x;O|D?J>Ll?R&2sJ?X-;QfN*Iq;eoI z&UvKyyAfKl>BX{BPA2u~UyxT``*z;4#aJfCj(NO)zQR`VvDS4Fp{&g#YYBZZ@bk&9P7n8TI6m0q`JpF2#41fl?J!0cqg9<+m zKb>n@T(rGRB9yA@(^B1xURAttqu-b}25H30% zD=SpgpuO_go>1h9hU(sVBEtd*9jh5_XL-D{pL&#}m6@XCdZV|}?;*R5c5{v@^R~%w zYnRZ{OG%7ma4TM0nOgg2ATO;KvL;JX#;G)aWq8=*Dn)Xh8bq_yC&G`I@N1}^6}nsz z+pR?olME7XLNSc~G}Dt{xl+{Dc_esL$ARlvUPSX=J3C}!09Mi<5b!}5s>oDIL93{? zxtt|y*rENer`p~9f_X|$jEtJd)I3jnVW=!{s?7;Kd)9xAEQ9Kn*Aa|65DqJ4bZF&R zWCNfX%_lbe( zB}nC5juJwC~HRG^*eni#$)-E=7Qm)UPvd3ac!y3IPFOTB8p{|!Auoi z4n1nXB0x`X3 zcDLQnf5xkolgxz)mM1wF#WF#(<*)(btSB9M8SH8qvPK9cX|7v^rtJNthwOl=~28&h)BT=$)%5DqVFSc z^yZ^m>Ry()WH&e??w&cIxRH#1E&<8s2ACOJI3k!cwT{qRrfTj*u1d27K3jv3IH$JR zqWLgE^%X_836;6&gHl60qGKB?AH`9LcD#85=FU&2wIZ?klpL;U zo7tNV7ij2eT(!AMI#{$0;sXFVAP--qS_*ar=kch5?@@wEj+ynT5^ba_;1kEU;akDm za|tW#LmhZ{SOTif+jtQkHG|G%qMDTfQ zZ;08ILzcljk8Wzh%^F3#6;(a+z%`cbGLaiFIqZ8>>miQVGbR+}SQDB`pxrW8llR#w zHtos4>S|4lOK^7WI9%{gYN#32OGu%YAb_KgD&drprZb;kT2Xi0ZCId=01n`$ag-qS z=~A3|pD-u5rItYHf~*Pk9Euc3bCzCI@_lPAri7y5V~;z75y>6uSfq+YDo)d!jw*x@ zlFEz=lBK-P04LMyQtWkH6&T1M^!)wm)Y%RQdxjE^EyyY9+*QCq!41b;X0x7D6MXF1 zCj*X^aw(5Pu#T7qr8;w06ybDODoWtv^QH*{E&||=nW$uTNd90!CzDaz+&eo47#)xQ z09vk973@S{?Q%~zlI6dIen zA1I zagJ%xK%n6B^=@ixb@%U2Ktlc8(4dexGdfu<*6YbvZ#aVLFnWDyw&1*(kYU$>=zYaq zFss}K?melE0XG59Ko3t^&ylBc`IW3xxo1DR!;pC{q=AF_(yWCUg2YM_&--4#jY|93 z_U_y1jB!j7E4fn^#s{h6@;&Ijq0pxt(0N?j#sc81o@Z4fp~rtiM1N~HGRbjphTo)M zDHtcHIqU3c>*Ps!Ce%BE0$6aZpHEZ8Fo$b200dT3y9c1G+jcZbT&ESpS4?EMw~XAu zAqo|@mG=gldu==0`S!NZwBd$Kg9HKftC3j1jF$|drBDH3!!Y$ewJCy3qNA9^Z@c9i zvO9teL}28#DpN`5Wti4WSr*L_Pc8Pmaex%*>IM)=*rgg^%!GTG$gp7c0a6w_rD*6btFA(5t79%S60 zINq(?52wEspQ*_O{gt-+qGFSeIaDrRxg$JrMzf|_3x}9QUP&M?fS(~C;D9=UeX6~- zkzuJ=s>L(J(Cv1PbtI!V@Pm$dsA=qIt$UQBwX&M&?XJv`nA_xzSx9ZT>KmN;fm(L@ zZ`o9=Q%dlC;Er;js9MbBH7f<50N~82Lr*gjCbug$vqA+fDg4_(&Lft zt|hjGW?-ta?Kxeik;ZxH*R@pEBNJOK%uaWLUOsxSeh)M*!1pz877H^NXyzVcs09?Z z(sD2lVMXjGP7gcpbX_4Ypqx3|j>q$)wb!Ls3{&~ihb&xf z+!!BFdeO_EH?HQriXB25Yo&@v3X;Ivk~ZM=&#iPiE~maR9?((q#EZ88bSgR@f30$s zI_wtrYZAb*Aiz-Is)OoBWAE=!5pf=(moeV4vkt7%NWiE$BW?$3r0hhM&eG>ujwvEY zVe_GLwWM4X^eUs&dKxsz;);8TPDG4$x<)b=9mhQ8xb1268w;oVCGtxXo$KY}aLM3f z>rrXfx5(dOo=1jNazx%{K|S{m;`}Q_(sv=n+Q+4=klV*C%#yoA6qRgbfPFE~;YnuBn$bJ!h zcH<=Vt(4Q$!NIK!ZBp^4~jJrq-sm}+FKN=S4u5FQn#|6Pn+aKoJ^6~>H zO3%ZZb+z16Gs`Ql-4i%qJ^FE0ts|P=*rca`1|3JrN7L)y=}kUdGZ`I$LZORqJdw{# z_4-otACY4E*3|7jKqn`jho`8=HJ>Jv`^Nh{(TyCI{o>^3@U57ng61`qTo}rR4b$7L zH6=LC&JI+9bDyPZ;}bO5=H4dJwHYj);lxs;1hTws$zJ4-^U}G^8&SBjy8YCQ5>OIA z0689*HSI>(4Z19Gs>Y*kF~&VPt~O5s!5*e=EhpJ-TX&VWmG%eHg%%D-SR%5xwFx|d zT(AO9;$D7Ho|P`GXDTn+Ekel>Mi*icxpCJ6zH3U;O;=sA1p7JrnBb}OAor=|(xYMX zTlp>-<(iL9vbxeFX1WU2n?k2x52*F&Sz1Z?8s05msk0rdM&A=# zAa0wLaDKTQgISHI8(Zj^XC@{jlp`gHJ?ltn5U?uj(dQj{R2N`eDwK5(w{COyf5x?O zi`K?!Qe8#4w?vXTVUYqylF{u0Ap7?9tn2+g3&7197C0(K>>PFcMPG(3!af3!m;fBF zwJomj1C(e&F(q@m+~>7KqM1>XM+BZ3y0p7zNkn2c$XCcGJqCJWw$!|yTFHYlrUM^u ze)Z96wr1wsc`X@`<9Q<)P;-!aWLG~HnK#-DR}o3`l1>Qjc^}KAWjM{d8o|LUl0jo7 z-Nc32RTORxPT`LK0Hr}bk|0-5L#kjt2@F29yJ>3;ox0~CmnDXA&wr_?iHh13R$rO2 zc5ctgdU4X5k1TcEN?g*@V+u_*8ZqT;S9ZgKI*(pC2c<(cfp%Tw^AbRQQbF2Jw@T=Z zkVW%hkjBlDReS#chtivO8HqP}gb4^aQOD!$QOzqC%C2&);qcI*Si{T?PWHl|VBL?_ zv!b(U;gRKy7m^uC#?MY`u$~#3A2c~CKqQF($mbac(zD<;!HNrbmL|g7VGbJ}pPf>l za(R0k-NmD6%^ddfub7Rsik?-EPs84;kFxPNd~Ow+FNKXrj4z@y((z$Wwqur2v-0wxQjXW8R=Xlt>@ZFUE($X06;vSdfBz{62S+S zVpMeGvCD>UQ=HW}0-r2fqVp13xvl}oadU`Y0yAooZToRZSye z*1YZ?8sFQ@w$sG2Z0hKMl?Sik^u=@*-Y(J^Qa19yBWYPn1|;?59tYPo%{p?u(X3{z zsl6ec8751K-44}_wmPuRr~I&8E5*nqu5ZaW%nEOh>63P^tP8>r`$8?8_XB zoDIlu0AFwgUYN+I%8(p=!WdwG_38-5*_#rf0C9kM&u_-Fxn)#svn+@LgYxGjjQ1G# zt!24uXh)ZEEMpl6z%qmB`1@6MvWDSRq>f1y!6(Wja6P?hsM&0WBoaoAk_)a*2fwHF zr*RIrmF9@~vm>t=Y+!@v*ufvIFhoXK3{pnJ=0$FLdiCS;sCJiBDVdyOe#8KE$0Idl z#KugvO}vNZV-W;*$iV5^fFzLK`5}PlpDVjCAoe{@Jt>9ejZxVd2mxgwiE=v-IOFIl z(%ZsSipufL*UFsdBc^#BY7u`sf5*&`u>)yx!*LylUW4$an27x7%Od%Vo4PZpP=5pK zNj&r0?G5EV;eb@*KS9$!N?S-5QJ6yn$6y&|Ah-iK=L4^&8ONWFDy2!}@zax9A{4bHhVLOa3@HaE9eU#f^QoaGNLl3(vBwPO?{~)> z=Y!OHR5OSz+3q*0sb(Y*gV)lk){@Y zTMEe|7IFssGV%`|_^r$D1>2oITevk~=V_D)AtQbVKt*!YsTjRkydd7jPlniqoj-sT zBkD&rrFg?>tC}x{FNT#B#h{AnIe=pD$PNxV5OIP!Ree&%_RJr&+D{J|%f>+b`c(79 z^)r+uy^d<+{PhNES;#NfH5Yo-5=#%?t;-R>tT+eGD|WIXDYmV z?VIKRe*u+hJ+Kdott<(|Qjh%8r*YshrvPFFpM)Qsa|cpN3J z03=|36;@yL%`)aNa$;c0d*J^7fvXA+*?VAhsuoY=-%9ebh#g9>9{hiWYlmr6yCV?> z=~-@5v%Fci#XDkXhg1A(>-DQ!7D(_$RP;50sD~H#2)`tduwHwNaDIlhG#y$Sh$FPP z;lV0|{{SlA5l55Wj>O?>^CcPXL3O64S&)JUTz0JXvLKvuitFdH1&cz-&NGqM+Oe)| zr;1nDI*q`Nm5eIAu5VJBTG+#ANZ^iY2Z)~4u;IuaWI%fR)RI^aEm-5`9AtH^m0NCQ z?C-J9Z3BZ!u$N)Aa%*S%JH9{q^&HloN|^z`9+iI8J&APMR~sM7fqgio3;`|gO7UXW zDM2JYYOInSqzYEKH!h_4!1bpq85;t#B4;vVJRX&)5AM?^s1-AcMa8y9biQ0z_3K>y z;sqr36}f#nqHlBBxy!l4()_=4R`_(xYRMt?$UK&i@qz|wJE@G%k@r+>6(gONNWIy! z`ct0U7K;9^2j^abyN!{-Tixx;6O&1KDSx?<2m&=e zxF6$E=>u$!Dd@a?O=_jhIIf6?q#HU*O|Wm2^oeuz$4}O?6;w#ifw|6D`kK3P#v3T& zC+CyqZ=nAG>(wRu)p)7hsP;MN$44&Z$ZF8(RbuH@M@r*n!>FQ)LkcN7QAiC;Wc=Og z+!mw_+p|zYip~tAanx0*W{YMcY!UcZBWI&w>?A3)AS>@#@>*M5FbuHLPo{rZS@X7+L0= z4PKW=nLgnSRHTAfTWhHXwv6K~nTv#@x(w3OGfvG=ixC>OhvljCf}hIp!y;N*&NR|aL60Bq4DU@sK;WC+}vi_Z+CR-CB?$!*TuR>iwz zD-s6>6|HLDE-NrD14<1r8g^(9@M+Nu>8JHnt!)0^IK_oPKp=YgQLHQsOx={9IOj)^-}@?}jAJX6eN%BwDE_ zyFD5S6Uk;q4}p<`S=wfxZ>E%lWeV6TYnRgQB}0KD55~GXJA-b5MMv7~Dy zdztA+xL(j4QOb3qukpW?nn$Vm8NRb#dfOXY(46os;PUYElO=Ja;BT6 zMQN$eI-m^w>zVN)-r8$ve{U&QjO94s`x@?m2<%N`>w0m#k1NeglY-FJ5>kHg&bN$i z-r7k`swo&49nEa$8o%4(K5S1Dj=rB-%N`$Zv#=wnu7^#uRkR3KYpBLWXU``qt<5C} zC1Xn`A0&akwTG|XT-n<+j|+Ky3$JQKis~!RmB~weqDab%p($1OS(Kw1 zTBC!}_4H&a^MVQJO>`4mtk%AAADbD?a+;QnY~C*xDgKV_$lLc=S6e-W)|sboNkpiN z(E3tz-l)E0?9P5|VWB;KU@=nL>p|HUaA0eS)wKyEk<}Eb+)XBrczpEp8@Q!mC8oJn-$Ay*FHo7AlX(Ml;^0dn=MJLZq`ik-iXd(bfS8U za)O{9Gfq|++zoTSgaHHtF;d((F* z;Y~*89D~ht9QM?(8)(7lL$L~SGNzzXPSD4LQ+?b5#*M>bHrco498&BJh7E&^RE1TS z931wj@Kt#n3Z7EAg+(QXdvvGB2|QzptmUvIR>!Xy2Bh;y<&NR%D6+MLo}|D6psB$e zaZ(dht@o;kgGC@1U)zU^h;A_ohoA+%r(C5W_sJHsA+ggM&nywu4Du zQC)!lhv-@Qt0y$WkhNsFoFvB<$9 zqgc#i_i`^iv&8_q#5Wy`yfT5GrAX2s8%nNy2WoceFCm<&e4vI0p{0sK@A54&SaOc> zf*6j?fNFbf%2i)1#Ai6^?V6kFK820aWj3(eoG`^X^PFcMl##hZlAz@E98+hNV!?92 z6W`Xh`!_QCRwQ{qPDtO52&j~yK-+VkPAQ5q{J7-gXB4ItkqRFD)ZmIqxecWeWD$eC z!A{3DS)yo>##xsn<+_ijtwL&hndQ4=s@AS5pY9Pv>pBm!3+oYaG^17LyB^rnOz z^T*|iX2ZDP4o+#so39kaeb_h@0Stm9lO=*Pp8e^v#M_ex032rnnt#hIitd|A5;^{K zxWH@xK{?|*dscSbyOAs^k}@vc!;(D#_N@I)t~Tw3KwR?7Mm@(qzO(l)m{N(_GEDBh5E#Zv9R6K6HN1ej zDMAi71b4^1X2AvWYGoWm)@SBG2hI4_zY!}JaH>5iW zB#9)9C(O7!74AJMSYORgFtBAg#yQCCP>2N36?oYJC4lrjt46+LFSxBeq!%!3b_}HO z7z_jJk6!hXx>Wjn*7DlVlppJr@>)QBJ?nBfvn%A2@{Pof4OEiuIIp48iFBG+*^%7_ z!-K#F*V?g^Z)VM-D`;1D%dDSs|Dv#3neS`I$>7INJb7w9CYMxaqn3+k9B7pOz-xKSl@JFGr4;5aDSCs*QTD&QCX}m z6xv)M+L#4bKf{ic>^+OO5J4KXpp_+=c@jo>860EQsx{5E)znePY!d1?+s{Yoy8G$)DJ%0+Gb&lDbv}(u|gOT$9FnA;1(y{z4uW8pSBoFdRGqB8g+x^`4#ZI0g z({4A-Z**2y42Cg`{HLfV^B&c{oStVsy#7Mmve-jwGOEQ2p-rRaRR@qbleG&9OkhW1d$p2zxeS$FzdOLuQ50!Yw=c=NP4$j7%8b4tHU?1@=Oi~Bg@8eKmC2ZD@b`yx#QWEA9!ONRmSpKlPw;EuV)3+ z=_G)N*90ceIrS&4R=v{fO0xvpjOSw&$Qp)I}Ju6}GxpLF*IkfrP_z}qCK*ujjPKfWtKx}t#y z509C8klxiTl%hCS5eU!&wNEFn=qWU=G~J8@x!EHb;E@kT`Az}%sNtOiUTN4BWBZHF z2cY&9(8~;SOOjXuaC?JPZZs=7-GBl-sU?u%gCFA0YAn#MjLS*h>O!X2CgHR;c_18M z)2`x@L`E^IGM|xx@}O`tLg|r2@_%REOTIiSA?GdXez>MT_R7G_ZIQZrh+E}Vtt5EwF8cXWlsINN-=4f4wM{G<8^WGyv~dzMoG?8|=lDlqPm@cbb8BJ? zYltnkPRPt~2XXTC$n0sBP))MoZ|#-sOMc`P+{Ih?gZ2C?R(29c5Oi{d8F9BGra>6u zp^eoQK#kcpf;W#(@ax~9s*Gi_6O?+DuXIg1OD3HJ=gLf~2?1U`yVZzn;ku1k;AQgw zW!!KZ`qhFArY9vC2S9la2>vRZCBa6tK3haa=z|RGVbl^jk4mQ@b`5I`vtPC)5z&XbTyI(v*@?634cE%fbsl`=hG9yM2Vu^tYn{W>9 zqN7fmm#t-Gxf*_+EoB%U^H3daR_H8qiiu_v*&_vOJHnRksK7RNk;@-* z_*T{RqQNYC?HDWY#X-URf5xj%q}oe2lMTo34h|pg=hHverBa&QT%#b=0?m;Nh~ose zmfbygtzAD-fUMG6-OmU>IowFW_4GNcsp5xXe619PaoB=8?!`cwe6gc^tbxV??l^Bl z^rw4;tah<$GRJP7R-&>ybu)k$@~6JPA!BYd(;-z1(m;6w>Be}kIF`=p9B+-(H%yMT zAD=S5?=iAHbDCU{mgDA;P* zoq3&xw{aYQW|Cd7V1T7}jP}SqzLc-7JKG41YA|;=2MSN91HZi|N-trmuc_)VFOz{B zJw9x0+z9vU#Z7MZuw=T(tjtwNYycX(mfOU4_T=rjkh1_cZ#fw0&1%{B#_9!Bl@-E| zncNad_w}fBCD5f-FLTfgBqOWHL}cMcHs>E&vu&rF>!oF7j^8D2Coi#79tJ`Aa(z4f zYm~6@SKB5)AdW|83aE@gB=OUz>rC+%isX**wCiS$J8%SVwE<}}*Ks@mdiwj+Mx30v zN^^~_ZtFfKw$Ru6H%CN34##q=rbCXZ!H7Nj_OB|NP?fKI-#>HY_aHa1&pD~@?@yMS z%fW!nJWM?a5?p^*i(%)rspK)=jd6Q{E`+d_3lZ|I(|Jx zYw6l~h7+i2A%Q>Yqvt2^$LMO^y{46-O@VQ5eIfaL;I1~F`#~MQI;W^zz0A@PAoAM- zrbat^^~GwtnYGZIx=R^SWE-1k{^=f>_0M7|wZQWkQdHn&L0%5u@ax3|M3(YPzdI!g zySd=~dsKH9?R5VDWP&o%^vFB`jzFg0aV33*$s{}cnP!acJ^ox{x%90YIBoo$tVcLi z=yE;l5%kf#sg6*|_wv0xGggyKU|j@r5g7|7P#52h)hea6Dbu+It#nfT(%G|&WtW_v zr)s2Rp5{O%U_ky7a4S_b*dUTcxK>tE(By6z{v7rCP>|b8Hqh@7JYbFo=TRwKwF?p3 zw#N=)O^bn$po-nowP|fOnV?e(3=oHg2ftho^O~V)rAE%k*md2^h%tfOQ4X3-#IdZ> ztD*S^P{Z--Sjk38%+fbT&YOI?E#s^nbhdIG!A3E+JrDap*If?ln4`L|zPf=V&nYP( zzN&cqF|rOoyvCuC$|-6OVq9O+o!gW$nZ)=@IGaYkAJ0c)u!ID+~|bX z%;>Ikw7Co~Ev)W{81p2zMPu)k$2Em@;jJ!1=6`2hTd5cyXSocd{TO9`8tVM`p4i0a zZyP}pWHx&MMOF(OVWe4I4^U7Je=fC*YR)}Nl{mhKA^!jfYO50tpb&wbml5NiOsPN0 zrMJ*;V+UZ4V5_*8pFDjIKQ6VMkf!FPe zBQZdy=2jg=Z8aIj=$dM9c4u!RH7}V3jkNJ&tqaYdLm~3t9f3R_z}G80ZEbcK zWCu9N?A?#!UYD=g{{U*PqXV7AV_l=rfKU1QR|Rz#o;jg@BnlXQJrC!RUWOW{wWP1P z<<^U*QT7%sdg>4ee6YrS$NBzdy6mOJx=e@Vbqq1+MS03i=aaZ%RpE!dbXr!f@!9>H zl6C{PKAx49B89bzJ8;$dNtzd1aC&=+cbRYfl?&WRiN*nD13cC(#rwVsj41_94^!9r zRwexARZYvB50sub>%~nxJD%>vRIa13+B&QjzGm^UM&Q8Y5m{2bwdASih6n~is~^-> zC5^%eKem$?6SW zR~t@EV^=_5b70VkOj$G2Xw?FF@0N@i>u1Fd-f4wLlkg9Vo`O6S^C#74vOlYxSf6HI# zT@+rD^fRcv)O0}ZIC6+j|!2RQ%!_tveUrCHN@T0fmfIqEh=oSzC zobwgOn0Y?KpsiC%N?t}!ShPcRH=lCuI&@#4rYBmO>JKf+6%2iA2}#M_jVmi6>^-W$ z=~d#X#XyHqNt!cAV$n&ODS>t|HMB*YNzZzN?F`aoc=f8M#DVaWs^AW^__Z5%kVpUu z4sl#=F6W?{v7rLqT*>7qI3vGG(6G>MW0l`{A4655)-6svk`el6t!UdxGa-}hy?Tz+ zYKNf(#$d`Z$@{Rm%>%)>h zwQ}Cn&bvc}tfh^_W60!xDv$d@Xe1l6#Wsa=N<%iEcoa%l9=SDSGTd__sXmpSv%TAH zDS~*bKz)^WFeI9rb}Q6;mKL%nA1SJ`=+3FV91&2-c_TImz%^`1A}INY4BhE!}6JbG1#&1+fRM7a|nVtQ29HZecUfGItzXyO;zMl!>`jL8O^4Nle*FClYK zv~L;1sRp-GPiA1@DDHzbQeWZ%tmdmt!9MkIc4Rf7k9GID)h{%uBD5~RHIptuI2_ZI z#-d)fpXLI$Y|ch&l(NZ}=Jl?o%YZRSJA*~=Y1yWVcWQSg!seVQl;OoYg@;m6Nk9$; zotj{!pa$ z6yhdFcg#k)i{CX|nAw-;Ypb1e8LV0D_cb=I37PtB<=w2JKzAPbuAVJb&) z&16Gp0O?bkap_SiP<;zkW!&o)?#l8p<;%pWV#d zb|*ELvr(1Nr#o@8Jqvhl4@z={+5jCZg-;ktr9`m?$91i{FB92Z$NsZp_g3Pxy6TDa zX4jR=4EM+shvbj|G3!uU>JU!D4l*jl%(JQ3M&VdAnz}Vj-poR(B;H2`uEH0BD;V67 zHJaumcRkX7_6#G-gaj2(kZlgJ?*2d(-EFeO4rl8G?%unM5QhO%7evpzt}Oo z$ah?+HMMVH5;72@DlwmGag>-(l^ha0}-p z%M!(xIl&d(=ywr#0lY`WBq`9-2-V*WQ$D!|3 zF0WqRHF+XnoN~O8Tl4a(GnrPh;ydIUhXvL;N`-0#c9QUfmNw?vVS10d} zO0>mfUBjMg2HYEHakSL3lND5F4xr@ZGSrMVbq8tb^`a&lxd3CmO!(W=H0^XN>O>-R zKvkHG^gXGsF+=l=k=zQI7@j>TkLqx9Nv$**dWq()at|HFNXU~e3Xj60F{zIkKjBJ> zQAQ3;N>8ay+o6&~syGf!qRPf!{Q@R>E{BtjOwj23mPm@>N)pz+*V5X0emN_s%N7P-X!AL8|t5v+7T@wa~jZM01{*^{VrynyNqq zHzT$w_ak&HvF_)tJ5)B-@*q&`asAU>7EU%WyH4QIAVkWYz~obwS2BT+MBT)LRH{b*0GO{&#ME$SZhm4*f-%ky zT1SLTnJPK;{{RYhz)nUn&}WJkMbAGf+&lIZ+yJ29k&aD5GWQukKpk`Zsoui3 zHs#>$xRN@Gvk@f$+(QoJ@l~Q`EAtMW$9k2{V{N0gYZs-=q_wdp+LO-NxEIDq6+Z-$ zM_+2swgE^CIUEYLBRGipXP(&=ah28CGL4;)I`PRE$E7uDnoO)am@iS=oYN<8(cluf?l{FJ`i(A# zl_fakdv-h;h8ZPhedKN@Di~ma=zVD;nWTq;zypF$f5w>`yKZMt*(3%XzO@(Fu3g2I zM4?hqga?6)^Vs`Spg|hAh=I7}xW_eI&R%3GB9#E-brmd<`2Z@rKm*^US!4>NlxOShIlF}NnDGCi4c`wesRza-t><90)+v&>Dv{Z zi@1U`f0%LCk}9N@YFU_JfgpeY8278~y_fGUc*ptgQOO#}q#u!iA2PQ;S|t?1GHA_` z7gj}xe4{0@2hIKmH3CaJm)Q$O%Krd1@%Q-Z5BS!kv6dMQz=QdZPfCfRQ5zNnf4kQL zv2g5ar=gn|5c4Q1xh%&S$GJ4Fmh+?w%0Aw9W{z9UC2Tq*~scg(~ zN}o*qdV^XThMf2Ed9LT>!BPf6_WuA1p4t-|E56li6DP}!$GPM6sq3kC(?b=sTa|)H z$Cf33m?QkyJ^FO6a^lkR4MWbB5^?emBb5WWZ))_W=XgM&z{0mok6&Y1+J%qXHbSxk zyOKc3BOaoON0n$0o!yzn>e@6`H&9Jzs?6(==5!1&Ln#9WjVDCZEj8FKF0I!LOO_)k z&t9BYOQY%2+FLwD7Ej)nQ<9-hF@_y|`0ra_2HK+zM^*nPa%p|%Y_a>7#Yt5em$wQuVPbs zmFyv~wz{4O6;;3nM8P8mo-0A^p^`}ED#WNEToZr~2aigQ01TMU;!ZLrC9A4Y^Hr{NXc@wZA|4EDJ@F3Yc$Pi8cngK1RO3y3}>HAeQKwcmWDwI zBoEz(5&XIL2e08;F)KR8OAnlNP5}H*^s1!Xh1&{5>KTimkdShC`G#?Q~Y00W=L(EHTUTE%tbx?(p%42tQ_I^*e9 zB!La$$CH%&(9bxDC5_)1z#T~H zeg2gb+KHwBm1whvR_aIiVvCz-3{jPZN{$8xBOZshYSIo}NRo*8INTMm8G*_+20cCM zVY)&j#y}h}Bpg;*iss%6hK}WqIPyxxsN@!H}zBL@BM0}{{V#5Awy$y zV7m@fm`THP&&oL;%A>ck*SyJo$$1Ur5jG1;9tk+<$6AkiCFw2AIgIf64H97p!vt@B z`TTwAcw})pk256WlAT6zgN{EM=JgNwNbMyKyQGYbz{i5Ddx6L4P{HDhhCv0~M=Ial zA-Mc1l-BJM;?~Ds79F-Q++#gia6mlgj@YXV==SbQU~MNoc>cA>+-nP|AWM6dg;3`O za8Dh^cpZ9E<7lS|Z57TC3`x&8_Zh6^RVQSNjW+dcwB?k2qTobIXN4T&py$0!1I_l8 zNY#W+xz2v?p(3kW>92KhJZtmC5yw&sWONzhj8QeT+I8ofD>5%nnYhQX6@-&|*xg%e znv>Z}aTxm>$Iz0v>V0c9wBp)~er>c$V;SF!4CCpF-P5iuf4gmKQ`?ika z92Qh1bGe5H)DL>rH14^PlbcS=;%{^*;k^>8kg||*w=3>5{VH`*llhKYBpyip1#X+D zO}1WOKx`7j@F1Lee?-=_7^5AXIHEC$JGW}B4X4{}5>_mwn1{v&(@D0LqgHI?C9!y1WDvN?BauS;RI*M)~5}cT7)0NbEa$b6nlTo65$y z+t{$=R`6E$nT+Lq5MIt@kc7a99H{ioSkfY9nWP?G&^D0#gZO?G1a^~87z!AGc>yg6`MMLVox^HD0pSawl%&m~k~f5@BABLy z-Q9x9jz=m#07=C@YkLjAN9RP!0+XLCFdYG`3%zn!Jh(SBg;95zz%HbY#D7}7Z?3}I z6oSG=B1xBpKzd|UyV#{+vO;cU^A_nHxBT1p0txOj)|2}%5g?92?&^02ZZp^Xcr~z# zZxr*!(le+5x3U7BoE+69)lwb7Q5`|~ieZn}2dCjnnU2MMGDz*1i2*xGg?+_A^#|$s z*0drS?#r0d%s_m`$zhJUUf)`wJeG2KQq2a&BP^@(hGX9!l}fTlEN)eRTwu6tF#iA? z)HYH@tGjDiV=}|Pa0SLadU5HW>0JBTUy0u2#;!4zc+LU;0Bf+T>1zaxQEr`7bBvs0 zpTi=qfeP+}&kT&b^#dJ`*0OHJrE>m-skPF@XFP1DXc6vSH*=N8r*T`R*52rBjs<*! zmR#*4u17pqKW?#F#j#A1Os6}`ZY4)!lU)QCv1&Gjq=YLEmE#T1vE%bK%~C4&MzMVi zZ9q$BD>Nh*P5>FnUfBJ3sM_v7Ev8xW#~FM9v}2G)Ijs#w2EBd6Dq`2PTfC1$J-LqgU-`%04P%akfNCOeG#cBy2uiK8x&7bURh zt&gFs)RHS}gBm#jKtD0Y-^={stS#)M`OD=psl#?SBc>0b{&g-EV@~F8h;++wW@m;1 z(?&NBlNlN05F5xVEslrWBFH234NAhRdqT406u1k8RJlcfLDX~QyNnYi1EyK1JoQ4eR3+|Te(*9 z#80=Q6y(%zX9Syp1!N3KQNR_|WMj2k=gkT}6P1W_2M?1E35an~q5(j|nA*vk)JdX;5VY?FiB zA6llY@U{T?!Td!_CB#hGAhB+=+PIaGY_?U{wqqZkwN#B& zF*xb%R7C3&1sj_^a!yC%R|we(PUY4KC@Kg!z|TzN^fZyg;ywE%+8b-_1d;fET5KUC z2-5HAfxz0|Q|nW~AOwwv5)5SZIpdsjirOg3PQ%2(2FUTkoHjux-|6jCuH$!rafZMs zI&tbfeJc5aB8E1`)Fd$AV;^2dY73Z5>k$Ae1^HK=2jY0EYfCaOsgVqsVjHhY->_I_ zwRn``MBwMStVgvd?i(cM8@)|BD5XUzg|aeE4l`WSqUEu)YA&V8;zZmUMFo3gRcm4n zqaH@kpr6vUEG#s;*e$K6xi+^lIY!Fl6WHUoZ`P_^=@MDoO>c0Bvc|w<90TQRw zGa*vHRwkzMgO_U;q^w0@r`&2y6_gwU5H95KptmRVuR#_uTUkd0ON5O=t#h6oPqWP{ zHUl!M{s4dV>#SxC58+#wpycNWNAgxrl?}+Qqmw= zN=hjO$5BZ|0dop#9ltSdv_%*QS2YZ>$jyz}#Vx!t&2X`)bfwUfRS0>d*ly*kS4;7q@_YIB3ke)_s z3u~#h`wt#%;U?ZP%Dqo&j!T(I5wIYy6|xDpgbQM;xL}jsvtHOV$IO&&Cz2^>8j!S( zHsQIe@n6k_4CLdnsBdGow}E`81?r`>)9)dZB9m7`PR!Ukl)^>NfCg4_e#)W-jF6ko5`ii@0k$`e5q7qVC9P>>*O=UFf)M{zq)si}t z8fY}Rq%m_#O$MD!2s1`$GtDMx+y{e7X@Js`LjnoA*FUHZYoM2ty8*`E_tTJhK2|^sAalU9cw;&!U-9w z5?r$!4Ak7c5q^8$LsS}fk+`VDZ09b23Yis9U{bK|EOC>|Re%(96%O#Cqic{j2A#sj zwWRKim2wF8t@|xz;uFps9=Wbc&5J)Kw;>-bH5AEmXy_MLx0Xd_QjO5$aZ?DZSf_heyrW+%zH~>PDImK$JYD24ZNL-kH~8tVTstwYn4D$!{cLJ->C7^`z9SuAwUS z@`7{sSa3x*S=#8IWv-^8gmuV0eJWVgo=Xxjip#gWXm%~kB{y&giQXDq~(u7J2(H zLcDjS<JiVi zinmk1=cuV8l(@j-6$7Z-oCC*xDbRvO6qUz*v?T5}(Q_;1fDc}{q;LmMn4UnW3rWA_ z3d7Lwb5am4>fwZ~;(%Kc!m{lH8~x-lthD2c%x3REbky7(S=HDu6c*)Vo5qKp+oFRVrHm z^Nunq)O(QS*n!F4^KzhZ?@I_jHVN8#Vx@HffjxSCXaMd294~$wnOedYC_oL6 zeQDVMlFA1e$TZKGoDeZc(ysuKp4493i_ljhM9Cnb930dL`+s+gcL%W*EQmIZq>S|y z8x;?NaKv}$Y9)KzxZ2hwC<79`di`n%K4k|04Zz@zYEnS}Ddcvl@$Pcr!WAbgx7*f< zK7)y1vgfXN!S$-5IK+(jV}YCw38#gSNtVN}JXDONwn!wON}bBsuyWC5N#Img${Qyn z=bn0sNZ6?bFjqX}^Hw$ik_JANX!+gX$r#&PM2 zuF-95g#?q1d8GN4!%i=9%49m653g#q!BN_%WTP&?22NWwWJ?;d{M?>`wSz`eMWx3~ zifo`M2L$Bf=}iyUo<&LbyVj3mG|FaX5^TWEdC#Rqbd3K1C{RJ_4L)Fe)3I{H8UFzF zQb@(Kj;c8N)(=~zZk3v7h&i>1@wlS!6b#_{Q@rw}S(I&HFue^i8JlvxIK~g4_onZN z;T#R>c8s4|o7in!S5yq_0Rw61IIPv0Sig8~AIk&gLO3V+jw?dp99pmkBe-FljPf&C z)7XFz85l0@#OIJJIo|pjLfuKBW@~{Ik&?LFvtSXy`=^}e6?K~4X;=5L1{@VU5Ig-V zJR_KV)pPO^08xT*>rZw`L&Yd#l?3HDAo?HYQyE)ulx}K9s|?aI?hL~}c;kWCQcpd| znjN@k9dRbYcPn=MD>^%w0*@${2cg~5>FHD3IBATI6foeor`EJpdJyULEWFBY6M8XM zAAF1j{HnUx)GDxZm1qJXjPrt1f*6oF? ztcBaMBB_;boPR2cNqn%y$H~wRPxAHpRHaL991nBY(5`m!KqIKdHoF4Qrz#CpAvs0^oco%Y zB#Mh{yisLFWZ(tHGtaQB$BmRKH1ch7N^Ah*>+CBqFda!>Wfj~WXAG9JGTy>N^H)!GekEr zJ-^Qt1*Y(P!?9w2nNM%W+LvU4@iO2>REAt|-s94tw$WCz)NPcDZ~<_UxcPz;zXPE@ z{{UKiYOuV9F}rtQaslT)!lm*SAKpf|P%ujIflOjdG|05*ERs_a zC|5ZH7$f>te#yCA8hccuVXFv?$K|)nA^VQUBj_>Ks+a^9z(o*5ZPK?JagH&^T>k)C zwKQ@x(eF<%N84~bT%fi6{}Y>PpOvIw^9NkPbefsKxsOY z)7%mK=^7ynOM$e78`PYJ@AG=|S_aZ7B;Hi0VaO!ocljM@ZgfF#s1jC;f-%lP_QhrF z=Oa#dqdheVCe$R1v5$bt`$<4Yx981XiP@}OahVYA8!@%X=YiU)Nv2MpC)#3XX2?8) zkEf{5<4c=sNc{QR{Y#bKzxc8Dee<95il}p^W+`&Dp>F9W5p7VsfwKc~Q|s5YShKdk z`P6P6+2<6AiIXm&x4F(6I32T})KhG3f?3Fx_RW}nK@^`Rap|AZw$qzaEqQb$k_2N5 z>^qeFq#UU2Ot^tseZ?DaZN!ZFckSs@%PP*%ByS`~hGpB6f;wlhs+ZHXnu#KI3ZxT( zyCcx|r6#oqr=bGcy2rP0ZQQX31fO4SwKBBvE&_nqATVrW+^^QF$9o*1BycezW1e=O zLs}3n(-_Kx1EAHcFB`Gbtso{{Skj=eU)f@UWhn0o(}n_Wu9_Ro+;dCljF%mn83#<;SjZ)6@D?`$Si> zWX3jTB@ts{ScU{-k}=8jKDA2DTj-&hDIgLNmP5a8h!-qayCp zNW6^7u*6s(3aN!T{5pO$gL|Ps37X1j0}SP4U`alep??&6R(9NyM!{q~#yaMy-pwP= z8b)%M+!!uF9WqU4%-OFjY--!ZeQMFj4qz$)*hW6Qe>%H0{iV?nd8l21A2fVqb|PKt0_iG%CGD7DSUUDK~ z2bfnpB9nkX&mH@E)FuSGc&_A);$$FXImZXn(D$lS*rcm8YF*^ZFlBR`bpstor!`I4 z5|!At>f3IioEK6zMt)pmA5-6<`c$4<+iCLswpQFrId^m`9!^4n2790WwPVGkNqN1D z(wsN|5s{pA&vHF#X)JDSp@@|`xm*Ux1K&SNYNJZqgQv{y^fVt)j9n%lX607Pw$M1w z%Z|L&&pOJ+)zO(4|DwL#l#Rpr@BUy#~I0G zGLjhf&19Flw1mg8N`wVVD90ncPLdkDb}n0}lOAH>WE+4d7#~lqQ`8zbZbWg2MaDPp zm59RN@%{#+ido}jQz&@UWVD=dfIvQ=R!*S)W0Yho{oSWMdE-3du9Q{DsV8CtktBaP zCv|n;?j-Za8^ID%u$f5F{b7!N@+ftx4J@ zP>tkQSmW5mfCH+P82m+ZQ`{MqP<75v*1L(}NmpVO2P6^(Mo8dS2No9LH{>W`yXl(F zo%JrIv_{Hk#yJxuwqSP7GyLj9ViEBhD=GPR4m0>3_0XYIwv#H7A~yt%RC|F$nrcrG zk~xDAca_ii{OdVM^)!lI4st6cnPh1oAfV#|uWCoq!H5{J?!!DEx@$r^We)O1B+-%x zI|kv8TD|rgk`g~HWE=srxxnPob7gXzl$O&%;hr)^FbNqIEOE_kC?h^x*bg2^+%wRM z>m$>Nm_*8i^&H~|>HR9hX;8zTH6mb}ST{c@^#Yoz#ObS?r0at;MBJBEAPf?|rA~Tc zou^3*_Yt+$=}LeAJvNTtO5M7)Ng3TqKvbMD$YKUN_Nou3M$xM8P{d?#FbBV-KWU)3 z3NIwq(8DqWSj#rjMsg28bLrZz!*?{}WK9t=NB0WsAoc@2ezh#R3{7r_X6Xm36%4)k z=}oqVc;q%ua569>8$lzEKDA0otwhsLLJK*r4dX=VERw5WZ6kF7pfv2!({xdx(< z#@=1jaxnm7p~w03&1q>Gn6N#SP*NG}_4 z&q~v^@hz+EiT6i_-{rw`}yTn7(Cm7OcuLTFWe(0gT6- z<2`@4jcXWn zGL*X(=DP9}Aw^S;cKy;Z=~UJcaUyv@joHq5{3^8bH1cjeONKwDO;e40b^1j}xPf|}%Ptvmf z%q`^q07$q~zwa*0=RNAo8e|NGlx|PqW(1S?RO&qq2$N3KwB)#sU$b1Kff)+qNq{*g zrfHW+95x|Q!|a_f!=VeBh8-7x>wy3*h zbW-JmaCdaBnme)N_3d1OLnMx7j1%*Gz?>0QQvLkCV{iuq z4mur#ZoWa-MQ4*PTC=3PXkk!~&rDF4lN9HkD@QbE%FE9xmBXBxRUvJfL%tKkP~5PJU`BCTwqh?VKLx#NTf1m3R0qarbYr>&T3t-1k{gJ^ zg~0$|iV{?|b=(15{&k(IthN#_*~ujKt9mDqc`+CA2*~Vs70)_N8^WSyxz=n#5#;V} zohk^mK#a%9z&(how(w~d`jVL4WCU~y->JhlJ9IFoSr@Fv(toP%@TORHapX3Nt}t3$#O((x}O%KJW~Q=Y?H?o(cEep zWuExL2Y+Fj%bxz;;zc1paHm+M9iUc{w6UvZK_wQHC)}}y;5nKLqd;Qha&7+Hw^tvIa?K5=}uhcmo!C4p)-v2pv(_?tD-DFaLq`kHCKL5T9q7n(oW%G zW74b1aV5Klibh5q!KoTR2U??bAR~$$fn65g#dmS8*_C7-pkUUWhl+IfkxY!xx!~>` zR~J7u41=aCH%blPsj8_Yta{D0%r`0wQzV0^Ak~y&K2wis@+jq&95hlg5#3HJUdzOK zmA=qr5~%FrpCgW_T*>ZwTDR#)haFC9n6dFD zQL!7T$~Qq7S&%iXVnZMRG4E0oGBOrDDqIbsjHo&NgHaMrvr>ZNT;FDguf)_3c9BsRM!!YNahj%b{=*ryIKTC%sCf6~kdq zwNx(RFfe|(2R~Y!U6po`ob$zMnUh3-0+|d6^&*kuQm2obzG;g(ju;S0^revC7e-;m zJ*dB6vly^~*#`!rXwRL2jl&rk?N%~Mt^%A>WFIn+2t6q^bTsV5Ldxf!y*R1XO}?kn zqEunQY@XEY6;N}?^{QGTTe&!OBRI$OsLxy+5t?9poE+oW(tt8Z%>o|kI3uQW(=_aZ zw*r_LY#{X%s>f)^Bv3KFSw8wz&MhwFTr>#gBf&*u#VN)uCbM4m@)Kfy{ zX@Z@OGENV+DnwwT89i}KA9tBEx6`FHj?;{dxX&K7P1uyKDgOXj&N6)}yPqY23_^c1 zQZpQuE4vuRDj6RkvVw}#0S>Va#0<1cZ zl1@7MA6m+$_B5xp%AaZr=Ej9MZ0}Yh9C8V(A%An`eAsLP!>`x;YAB=8De;gNEFW*>Bc(xr`<;ITN2=xG$oz#~|(s@V%sSL!7?q2xE zdX5{#es}C7V+3*e8ho>{hY-n$2_3W3`gWpHP9UPw25b0EI@ZSb3l(83yg+0fu^WRojcmo-jm+r3cJ*eBJTLb4*!$nX-`vOy!guo!-3FyE1i1wq+5= zwVVzxHxImhsf>W06$SwADNDmDk%Gs*Mnyw&0?BUbuY$uTZzDBtMRY>nQmV-`7jjBf zS`C0If%5~Nq~^61ROEuW1GpI(sxc^ZEDl$0F@u4MmN^(l8_N%t(hLx>~l0Dc5Ab>g%+r2e5NOQ>x z@{$gD?@2`_4o$5^NSDYeKXx;b#&cQsRw;FnG-E6Ga605xj8chJ$jC)FRypU}2kB0b z4y2F3)i}mTN=-8w+9Lpbm)iIvB;$^l9ja!YNPbrvkeu#p;15GnsCJPC#2Ms~+<*G% zCx&Ec36K>WFB}ifr6!hzHk;I>0?(M;m84eK*c-Ovo_mflQo$lhz((Pma#x`o^)#bo zZmz%wVgnS2XGmf6P zsv3oa7dHuKGqg?=lzyqlKt_7=^{rT^jAd0B!BTKR3Vww3qhySCAVG!02R-@6H4Z98 zCeWTx^7aNKrU`Cvc;}A&I#O9hFP8fyJH|@na6vUC#^eBv-8zNNMnBI=E#*mMXweBE zbMtf{{{Z^FxvJ9Q*2H_<#?LuY%kuMqgX>k~h)M*K$j1u1i0{vQRg0LBn5w8&#_Y&& zNbUVD8P~ggdRBc9-m4r z+{kjr%?IXJPoO99qo=0!;`zeu19*FCWc7U1oO|_>FY@$+=ALPw~J%|;aF}S zfsaw^(yg0;7WqV}<&?=e1a<07W=_o|h}jlTSk;^_}9OP#BPS1abO|QDK-QjTRTe4X$@|&-m1F-Y<|{(V8*| zbs!PXKt(p!QM9#VkisBx%Dq6(1bY1|o$qvXuZG5?(h)1c5`OkTPnfH>J-UxiTAt=- zwuP10u_{!%ag6jJkL6Wjy@p$bk{R5>+haS|0b2(d&%QcUySNw2kIs`U*aI)LWDZX~ zeQMS1bY{{|Q$8z`6KrA0`LmOPeR=Qe%_o@@%l3wbV#MysAsHF#kC_;gWW3Y3P-;Qc%H6tq!Wk(!! zOk<8}yn(M!;DfkjM^1Cb57MBP%1am+r~1S5b;!?b@la^2S@JDzt-p@h(MOm~L7$Cqv$3mnod!JlXQ$Sf5zwW^}FP;cJhDQ}#&2CMT zDPL*n=0=tmaxr}U_Q4%;GoDB5S=RbarEPxk7jm&KcV~8Z?dm;!D_Rta33sf8o*)J` z3}Bq&^y^YXtVZ(5Adw3ykbnYT8RQd+wIoA}Mo-%9{Fx!Rw>yd4-dG11_V&+e$DdLu z6PC=8XA!IP$NvDTw{>X+zMU+B<_UV3!B3nLa5?p^GkLg?Bge`QIIU?t*_B1IHFWsW zX|_b)x@O!rj1?WmYkj<#5h4>D45;}&Y~$CaI&)bPSwQhCM{r^oI75N@_xx*dbd6rg zt=1crkx0l{6cPa(9Dbc@XD(u+7ZTN(Fq)pHs`YpqXaUYqt8CG}eqkpokHem5PTL8_?@@^$vzyG0 zMUvc*jmaIleR!>w(P6l=`&?7uPw4LZb`i^?mm7j>jlB_qX zVnA5$j19OXU=_x3S*`Unce1d*CYh)dBp6(`%0T}BIR5||mJo*3%AKy+0z97G0PZS< z{0*qwIkhW+!TGYe=Lg$A&Z>Qi;?1HjB(P1NoRjk8QqX9*_SZ%I!jc99Z~5{^*O5*C z07%qsl31?|uHZzDGlP!UG}s7?lQ!a{kN*H&UXB}Z*e8`gF=ozkGoNZ#OJePP%2EZE z*T|94Wl%t34oUw2YqvhtZ%;^NjH@vlxEpvm_V3b?O9-wd7{bQAU3xI}%}YL#w$c5n z(n4cp$z8yZJ%t;Sbcac2bu%54yFx*1IQzK%RUA4!(_F_T%xSn|4oGDquQfH6r7}i} zNM|Z?T%7ujeLtzGjrGjsmB>iWLdX}K`iiY>pqdi-)9rW>`HR?)2n6^1>nZH#f>m=L znHK<^p8VF+7*_?x_8@QvDtqx)kR-OG0E*xOK_e`nY{aYok7Cehb+IL|doOrBI^=(g6$ z6EcRKT8T}d)1+8c01ZNy~yis{CR*0VLmw1y|hWmG>Y8O8|j zk=~`ew3bMLg#nR(+8E(-DxBJ6s3wj&9YG%A5b?_tr8b*sjgdUFM0M2r`P=hwKV-)Zqi(U{%b$O&I4o;q+r>)NTyP*E$(ta%g3 z6xPuw186X@2OM-KsQ&;OjrC~0V;n_~W_)Mpf5wehNRSkl?JDfcyUTBsf&P0{NObFq zZ!Ud>nf}^lf4+Mi)TGbF^iwMumxMk1Z1Nn~B(GZQlcAJ;@mp`u+v~-4u8ExfP z$3Ox3y}q>5Z*c>cG5noLQ<`GAULiUaF{v>y%kVb={c8M@m9@yZMlH~l!TdnuG_bpG z3>{=f$T5S?2Yhy^jUmQ>GQdQZr4^D&m{VIu~FNwnm!8L6J-W+8}h-EvL< zKK+eom?A`6MydfMoRx2({c1Nwk~~McH{17doQjKByWFP>h;ppS+>9LO>MHP&V_bs} zkDyV&Ir{hhRZXn}Fc{38+Zd+(nn;^&UB07%Obb%LcPLm@bBq;FynBzQy)`Z^hCKM) zKm=t-bK}mRWX%nmz7>dD;1&8 zXdnj%IQI3XPcc^kdsQzrx`Wc48}DzLox;WFN=+y%as_I_u(w_StaO?l7BVDZ|jT}^T%GNJiLL0OSqu|TZUm)epo zsYuD+ioRsX$|5vRi!e##un?T<=zFm55@qH*0|VSH5ZqY#Jsp3_rN-QZ3{z_*0A? zf~>`J3>O>97E(VdTAmG-LB-TBuf!Y~C(69>Z+hZLWgNp>_~ z)V2>UPndhu!bq+V<`eY-s6}X#TnBc-o}<>aUEsBZq#Tp$>r|xHsgUBHjTB9Xn8V6E zo|&j;v6|dwL^$@TX1S5$RYut0@I^H)ZoJG-Fm?3BZm}{*&+71Os=%*RBkM_;)i-w1 zpDt9v$6--Dg2*>O+#2YHCNF&sdC-!2YGuDl%D?-h*4*~9MhPfzJBq`;`-e5Ml6x50 zr>TNm`PlcZ`v%Gi=Ua)ZcTaDWP`4X38A0ZR>_Xh-ZzpDufyGm+ z7i;^|P^#v!H%Jr`c&U|1;)INzm1;QZ8v+3t^rxyG^<&Ev;FUMHUcy49O-OcU1E-tcOTxxfIrFX*ATBn(R&pB86ZLS2AE=Q&v{3x%4K+tWw~0r+uTID?KDQ zr>h;+Jj3NS?X@e2Kh;d@?Vc-A*Tr`>@0n3$ACazZFmcUEv~;Sq#deO~+r_pMADpyI zf4n%YA$xB=8VOOxGr_Mn5P{7{z2j`7GLhUIQfb&~O6RHhz=AXPc{rs>LyibF=Fn^U zjjQexZ|p;ijFEV{wk!VBW~EL^>Qjtb(Cvvm$fZ(Ldg8Dx{6S%RC+_Z*N$mds zDIc9{M>s2u!MzBr6-3>MblO@Li;{7U4JwikCyInP^`~zb>zcNR9_zRj{t`K-!k$b) zLEv<#gM7f|rQ8DZQ)PRO?$#xaZRk%!(-f)|)b37d7@S~$Y3xZ{gUuYNtw+q$&}6nz zI-CFvVcf;3THUF(e8>Di@+*HC?}LuiZ5>W{u4}FD=+&#D`$zJBgcaD>-m zLU|Q{AcMy^u1$5zvvki3e(W(&y}ugkCDNnv#F0;v{3LN)t0HH*sFoKG70MXHkaO4?>7%=fc)ZBu zR^zCvjPA7}apigzp}c$EC9jBK~@pn812po=Cy@GDMIDn zFL3~$a7d>RQZiXV=bDNs#zJQ}>rZJ02+rJ&F(I6rQ8@r9QcqFMD142lCV~me76*Ib8ScNl7udv`SP44UWEsoxm7V$?SNh z=j9_kX`8Xv@HJZ#xhVv2Lv`v8N`_dsGPd)TInFamjK!D+80|{g0|XIL^+Q@Q%KaAy zIHWv`^%g~p z^AL^uyl@T_4!=)&p>sSl42EIyXQ3SS$Un}oo3@8UHPxD6sa4tS*&!x1 zUgdiA!N*Zo8!o*-Q^`2zifpc}jEsTMfz4E*yCyShLmfuhGUG487wMDkJq=iwaD!@= z3`Wp;B`_OImiObv-Zba^e%eYciauBiprEMloEfA~Wtx_27GZ)&_wx+Qcp8l~*LU$VLh5 z2tEBPrDl}w0Ry34nJRPXS;gN{zSu@;&+OQ9KbhQXR2?GC8W!AG9=< z*EY&F#lD56Vcjuvo;Hm*rH9+|BhOwB75x3H4AZtCV)E)gR_Vqu-Z zu}Qk)Z&@VnLjm)8Q<6aMi5D%L?m^o;RJSP`MBttXBc3?=)LFZ`m7=n-0$fg#A^SY9 z=3x(IQJz}|^{s@Muw9GSgU&JUSTIHQTR$e*10-sEz0P?jvGyjf*~>fGB)2gOd2@n+ z_W}6gwuJ1A=L@pJT`_l!Q0)#-ot%IAz3KN3%201r1+&TP$4Y|N%qZdATmaQTD!=Ej z+xk-{a*ei9*!~a(;rO1t>iNCflgh7QT+U{d(4zpS3G2sth@_rer5u@9Z&W8CPBHZr z6}r4-nH}93Lh{+*b^K_W?iF@+Oa)#X1G$u+ZgKk7cTBqzLo}`m$onK1PN0MDk8*0{ zL`NfAhRm7Wx2{3&P(<)7tr#CR-0@RIGH_I<%65=WcK-nN{i@-9q)%dISe2FE_h%t+ zTfJ4EB6RZHpc&i=``?XQxK(vWDhLPf4tc6=1cmYr?ca1`f%W>;&2&UZyCL%(BYlLi zCxBNtsZqqT820jj9DsjJ)T_QThDP9Xo|&lK)67L9BRB&+1vMLL#N~a6Wpn$dSoaOZ zPs%foZ}F=F<}tHsg5CK81M#SKv$GV!jE;k-sJ_a_dzHkXV}9J^dsN+~Qc3PhI1Q@sq;fs;>P=QnQ4(lWqA!?+P)WmJdV|~X ztt_6bj%Ktj+QTxicLab*&vpkqAEj9lBl8;!agh9uGn#pxGZbv4N*-IBezj#372Kt8 zz#N=@w3HV>b7d&RXn_H3rH(n{9)sSg?NKPfDyz!_+z#U%MrP8>5tJw!v(Da0^{C`*zGRA{V9V_} zECWVxcHrOxk6JEdXPPVwjB|>CR#%5?vKbC= zNKm{3-?1OgpDS7mnLSA7c9C8bkQ2FABdUyYaatD2Avi69I^f_D>x!cwk{BkEOkk-9 z4g)VhGmbI%_oqW{#@&RY2oD=c;1BEVNj8|;O%q&+Cy9~DU=9;KPh*;eZFZtAOCgYL zE}))ABR`+!Y6%0wa~{GJbS_tRz(*Ma>H5@y`arKT$00@Q#tA(#2d8}cQ@?Rru{@?l zQmQtnT$7Q40sQ(?l6!WwmQ^8yeOwLOaq0eh)l2KZ*7DCB>XOGEBPsJ8#Eka*JNnfd z9Z+1wacOlHL#bf-19I_@aZOIlIWDegD~4e@6E~WnP>hgq&OrXO$G2uO7I1)_xdR0L zKaXCt%bRA|9CniIiZ;*g$O{_*(TAr$tw${K!6az!ADm+@@#i3pnFNmCtrt&mTd>B` z)>#kbR+&NY@Moz!!nfP=Cg??QbHI*(EAjTjmU);~u>71xXdv zw9-nGv~jvW%elEcWSo99oFmX`a_VKvr&$;_N~KhF+tB|2lUXy#FYe@p-fS^aPBlno3DYXaBY;2p)wbGQw$PqhZy=q;4$?U2YL&*53^tQ0G7^iu zf($Vn^&ZtOn?)*#i)9J8FLoaYP8fM)0shd(dM_@Vw2~B&2Hn5B2dK|namd9})3qnE z2(vS^dB(-YROgRu8rY9owRxpQiKCg0_uK{#1ZV5V>r}Z~u$|Mnjx{;tj!4Ne2EZrJWX zXS~(UpG4B_B)^E6+GhiQmn2(8aq4TXlq4YBh}p_7L^;iJHuqBL+LBD<%tDoAC$Z-l z_BGJk>akyZiSqHegAvC({Y5BATg-JMEBOWHtRsz#Op-{gk1URZxzF{dTP2>eYrXCE zA^@(>3aK|0q))7cT`H`F{U^!lU;=L5l9n{iBjbo)W_Rn7s(Jv;p>>@LkZZ&a2bcDETA^{JJLO6HV0qd?5_ z+AG_*I1L{h`X5ZyyFEusm4}@Kag@Oi7bm9~2h*o|f&;b&^5ZOx#xcSCJ!bM>JWU1AvgoCeno5Ee`?2X9MUL~A_E48vHUt3wQJoOJFU#vZ61AE z<*^N&MWQR^Zpl52}6j!pwhqcDvv(Y-a<|8nm<}y>%I?MzCE7ppXc-R%UFRgVvqC8+Iek+}=^# z#j~Gk)VQ#M<}q(EV(oxjfJy%VYa^kov!EncGEasX$`#Mu`hFD>gXml)t*EW4LT%Ny zGm*8J9Opi@eOBh-W1c%!Ms*?Co9>KoMP^58`hC*0_QBM!NVa6|B#fLNL0tj934bhW z6O`BpkaAd)({IpzG)4*T5}#rVxMo-*{{UL301N@>di46%a!DrHo+tA*9+>;N?Zt02 zk-TiNuoyVsoE1M#;J_Zp))B_ed(2;d)4PNh3)g-&$qv9+}}R(c~=Z7AEi z-3L}xQXS9mj=c}#T=mV2O(J~Zp(NnsbpH1r&b4LMQXM;oXF2(os9<=={OY7qLL?FX z?Uhc^)6>?S`jci+Z8~8VV2jL6#B$t~^c9zLrxkxY%4p*!mBGr4{9uekzUBsJlWFw2j-aSZ1F)LCbTSj@(8_1+qB~7>~lKU7f6}xRNqY<6Eplwm<-2 z=e1JR?XeszgCsA_k9yJ)*HR}PG&7)+Y;3!8xZrV;DbOhsAz1!x*z1mSQADqf0Un1H zat;^G1=xnW|^&x>w7si31XI5j@{cII6U_Cs}kC3K^Az*vHl>u zWY%4dza^+oG7%|^5gBvGC!B*@`d^5lYt>ELjK4Mpa~^+yA3;`{>PuZr`I_~d7KT+F zc<2pA*U#ncCB&KMo}Yzu*EaFr+N`lVG^GjUxxhH&d)85a#J4JuC@M0NU>tyYAAD24 zW*R-wmM1cxz>9DmxFqM&t=ryAsA3QtuLOqaTB~Op*!`d_yr_BJ2pAsVjMX)@k(tbr z6v@EI<7w}n^;Trv7j2}5Xvi~}PeLEFQce(Lm;$f_DMs6xryEQpa*`oT73_2BaZ=lA z50V}TnL2RZo};xsWz<}@*ld1#$%TlR&-c2KQp*%_KsLmx4pnovohT2&B-{B53BB1aZ%{WzKgD7RR{Y)J=DAhs(I-vA1|!_wQAh z$rz24kM{7$SR%QV zz=kro?A;INSK_(8iT+pGS38F8Nc6=!lFHJ{bpteV#9ec^q=P?*G*!tiR!EUSQox>b zf(O=$cMBt%WhJvw$mEI2CDiC9%?8v5ZE;0;5UF4T{_zwNgUQobgE#m28umE(Vk;faSXN zr%17`9Fc)l8_e0ksCP4P$BGck5ZrH_iwq#9TuB=<72s54?HD~Ow8dSphl;c-8k$VY z45X$$wYe;>a|s*HE0VO`9G_b0Ev^++P>g1a^d+K8du^+Zrlz*AcafacxSBPOa2cgD zmib~F9<_Ev-IV4t+c3jnb64%$&dSckj@!igk)3f=)9?HA$o0ux|IPi7q6b>v0$)^`%m3O2tAvuIEdqYH?}u;#?fo zo#%*Ex}p&nD#r9CsB#K#{;W869(1BeS`RZ;<2>)}<>H*sUbR zN-!LE6sd5ea^rCIsV0HQ0JvP$8;f`$884RYigr>bnWF2tmmeoi^?E2|TXkbU%7046 zTgkkQsUPBKiuyp_TcaL1p~0c4CT+FTMhs=c{c5|}*bsa8M8j zITf@q5{~+q%MTZ}hHgz~-;AlQtzx#mD-x&>G-x#Ak|C ztXXGMY2!=Clr79jF#hoQO>J5DzfYZl$ju2q-yD8*=fsRqF^aBvAz3rmqPd22-4v+f zu2r*E7Hp1B2E2aWSz?(ysT~O*e65P^BVA4y_kEzcV<0fjDN3_LjWo`s2@;c^mmFfO zhvK+c{9E>Ej90L-ka4_n4R6_NmUoMor&jjQG~row9O*uW*g5ZtX_1ytI)hM0Fg$}& z?*#Gg`557fhM>O$`*B1Ce z!63k9Go0c6ymRPWYnIBYg|NT;r>!G0pNl?>Qub} zKqs0VyxUv_`P6bG85NBkiJQ&&&itJDO~CvOH&MiuO-plfOQkR!i~$^ud(t%CY9oV; zec%mo7x%Y1ZP%9ayoyI$az3J#)5IQBfmoCTgY$GW)oFD@_6woj#^A)D=bu_r3I@pF zeidbNrW18rM<0NxV5{o2YLIR|5(vu|cP~df}=`|O& zVlH50PKhFX%6`4YTeZ1MkQo>$2k2_kP1u_>u1KtW&AA|UIjLJa4&V(lVyj>g zjOWvuQsj(2Gwf-lVq3V$Ec>fp+_SG4AOa^pltQ4cDX9{9PThT;*6kWz|J@n(iQ&oG%GG}ay|Og z+1#tE7BX>wPDe@r+ZYA8?@<%e>r9cEh7^yfs&2@XnRKZjcBd#f=eNC3Gn8Bdmh{hB zu^fez5Jr27s7W$q7K@0$kCKRc>f<|-28}fK=-6;#;;kfTq?P68NxCr5a$?5YR z)iz5m-k@oMZB#n~V@P)Mi7w(-gu^4n;P^ zksE^|{KONS0=bV1x#yzSb9mnR z6xR0KhA_117ncg0VB~JV(Q09PZYu4^7yCqd`BjK)YT5Dbpsk--%~ zbrT$qC7+oKzHkRBeeqoCtBW^uxt51%rq6KjNS82qMGhC|+!%WOYSTG$$MBxUuD{{;vqc=Ad;;U9 zPd}YR8yirC-g*1oD!WfCQX>^(3nt(|&N1#NOURz(BHRS50Re|z{{Wp!X_S*lm;{DQ z6f2C9M#cfM9que#Ixr9zeb{PP3_)^IdENTQ}oM4kt zINezl30d8oN@(|SYe=PCkw|Um*ckP$!YTZX!bsJ3xo?nkK8GKB>r7DJXxe1mfPPY= z2l4*^3U88RJA*VVPI!=TeZQ4NQ$~`PHsidnK1Y&AmvG!8R^S1~dT>Y9rEj~F30(CY z5!2e3xoe%H3WRfp=hzkgv`Hkp*hUztk;xo%{$BM>btdAEmuXGhfrmqn)6$l7kl+VQ zbR>+`W}Gg<*xaqj_Uvh1W_a013-e>BIT-2n?NfDO+1!b)UL-_glq^YNcOOyHkIt*W z$t2DMa>jR-+@m{>*QfYY@!UrwjE{&_1 z{D{j;!{*8D+cl&&vScphBX%1(_N?LZsuKSIB90HYsWmIi@uL)MjBV4Z`+*fk{00>SQYDfPs~9qdwUN`b6lc}<}aXEky$pK zzj=pI{xw|`!*tRV*sqf(&9slhy+OK2ZVJZ4cT!0M1oA3Rw3Bid%HErD4?=$vO6V-h z&2jtXA+~J?f^rZ40A8CN;yMf|1og?_d(;!j6o3%tkXQ`#AXa=gh%U%8LXeOOA22Cq z?g%v8ntBOEG-&?-ZCIV?Z=sFBEAzKj9jer8zmy#q5?X=?uwtJ8`rwWa`F-n?AG;Bt=1xgXf#WLjMYKCOodW@cXaqm^d z?819x0Dr=&`8%+gI3R`|ppKdL%})qxbdDlH zL{Pcg&!rH$+)}f86UvApIdBwm3F8%26h=q^aK!ZPf5xVgLg;t{Bb5g=LIgM{$xZ0$*ym=nthtq(b;A`?+90how3>l;sIrsoHVWao&LIjli!t$OLw$ zZlvF%AF#?yS5LtT0A;oPHc)sVVZ+LmjhmpB+byJs|8xL>g%yGICRCN@`H`;cYMI-GXtS=!CSk=e(23&|T~ zk~6tTZp0o(KY*(j(g4aOk&#SgUu%vxa1ML)s<%&XZEB(9D-p=tqd7PLoR7oup^Xa{ zm3<0H74q*~7D6z%$4n1=^N+%+Y65#`qqr8(4DMNpaCVc9y|Kst0IH`JQbFX(8AwJ2 zwh@v_<0FnfhMyg@7jaJ;x&gR=oNeFK6VG2>)S5$AT9qu}yt@Sug+=+*xyIJVKzbf2 z_ERN|<0a}8a6*6x8_)N!Kc!o@n_{fe#K^3HN+RbN;FSaL8(avryAhM^Iq8OU)(BprqF52$OCBUZauTs#)8$;ExeWzwa!Bor50dsP^WY z9<$`k!Xk|tpf0XBEz<;6f@{|CMH@>HLm?v|0;3)H>rEv0CEYCwNo#1A&2A!Qa;y;N zqmFUcq3QV53%hlW-*HDm2G$RPH+LUi4_dK*dZzGQ+7}AsCmAIF0F6tsE;nNu-roArqLG)VZVujlm19iPEo_mbRaqIv4*cgHfcC8RV*_Cq zh3I`NM#RfBaKmmlyBvOeQFm)0w6At7th2J0LN{X@dBFbw9x19|M`Eua-P0UarOusu z2+G^%jskKC&pdYTSu<)I$%X9kDxIw&X>k)o zWN6dxjPTyr>G@O%Z2^rWGpUG=m>w`s>&0BtY|36LwC%HYKy!@u#SwanR$F3vr7bj4Y`*BW?b zk~k1eyJUDA1U>W6ns1dMd(D=#wf@t2-tZ)*7AYLcKK?r6)1K9=_t8T$L$eK)T0|2Z!_U9R^T7JEG6wuo| zOFEH~;jq{p |TDQZJa$3tZJWf_5jd0-&pH~AjcW@t5IuE zN*BqVGD#qzY>-FcQe0}VSjXniBZY3tH)Q@5wW8I`ZzSwwJKx(9C9`IT5=*BfA7B3f zT~(g_5=_YpFU%RT3gC45R@^rtTc*2$@nnUR28^7KVm&JD!A7=rNCby&0`Z2ZQEgoo z-JOnK?QuyeLu)dej49p1{{Z^=6)miZD+r!FvLgi{)H1F;4}Y)Hu;#W|Zp7tcSvZyXMG1KTT@Nqfi2L19FAXcF4^zt{&}ie zt(~Nb8dQ&a4a#FuK^e&Z0QFS`w$x(WkO3-%;U!&%@FRmud8u8+8pSo6tckbxi^|}1 z9Dh2ir@AK1828e7w@A^%7BoN@6~^Cl_#X92SfW@`YiVPAIgUe~q#nPONvmB)cLa*l`MvM!>nl=u;Bc_=CPGIBWTVng!xV% zVIXog;-0pRBQGN*cn{jCtQV3_Tt(&KgA<$q&2MapS966A8NuT}{;Ie_`;$4_V+T;v zyr{lMK;1h0rm{emId)6TWOn{ywDoKAEz@~mL?am7M=pK;01C;T>OvHpC_Qn_bjB(u z=aicvlHyfE7-CemLHG8n5L-Egi2$J-@tTU>FE!P{+!gXvp4HUaymK?h90666c0mLJ zJ9<<&-(jg+a~j^xIPMlXpoiUtLEH`lPnl0eFx%Kd)+R zQk#g`3!Q@m9OagAF$Z?*2l>|N}WIjt79^5e|JmWu4N-gww@>W;LY>|!zDk<~@RFlixTmV;|xvEta z_a(zZ+?vIe-MB^%8TX5RQ+&2}ECA6_SqoGDl54W+X!Jgm! zRIH!wF%?%1Q>!-jmhREDz#)&xxjN(2)VCIrTgE*5bZLRc<$kC73cg7d%+ zfZvBhQoZG_n*>ogg~@%=1tpsuh|dDHt>@Dtj8AP0a--moKZG8q^s9}Yq)E7(?B8f+ zEg;J`Cn^Xh>q-{p(TR)8Rq8PLJt|#ZOHe-1Z8+KHfMO?tF`hrhi|H)d!6ywQMcXQk zf_Ul=^rv9vWMb;{xM70oK_qviH$HeK;2$uz2R;4jth$YySZKV$Ncn*{!S?p2i}aQ? zk%rVHV3f{HD-Qk3L6ShL1RGnXM|voU%ds%|MaRvBUhYhBTjuQD;nH!ixGwgnvJkm82PGyHB;rtsGkcb%s4fq zb~AgFWls30);4Zx+}Lh$#Xyn;&T1Oe(QHncMZxBvmL2^LGg65&(uVuIRNM^tmD7#c z6&xey1dP@wr)7=I!lQQ&f4fiGaDs9jltWv@v}9zGK?2>B1;&OSwD9sP;Ew_O>)+ zib>c<=Qlp4rM84yviaLxf$v=NOMf)4DwDBK1Eo(3%`nHBLF#uJ##E%XHH}EKrM|h1 zqX=UPPo+@Iu&J3MFBtpWbgb?2xn0r`w~Y1TwUYS7X7<6A(2l;9n^IerntK!`yALpU zvc96GZBl)KK^-|Y8EZop)KVU;BQeQ49DE0G0-qFF;7?0#TseU|00jzfw- zp%FrrC$&Lw6Rt?YvF<8WnMCMjgzk(H&r#Z}3z9}+Odhl*6 zzRBf9jW;>2%KN~V3jvveg)D($fM>)YfikyQwVF#Svv>9_z8g6MeI}&cE ztKd~_^x)a3XrglT(DfNK-Q3Y&E?dcqi1Yp7{Oi>71EhBTYOJM01t*d#$*ssYQ4d!i z&bw`6EvX}`5wRC(9YLs`isqD~cVb;~(%JVRE5G%wX>_+qgT~AUs+?BDo@~U*>`SoZ zp7dL^kxHP(9eaaZ+|6ut@~diSS=`@4Y#2z+SF`dl^{X)Wx(MW0uOfKK<8b6v=5n3t=Q|M)GcloF;0!2dWo(A&$WODA1h~rT*a-s zA_Bk$J!?WRLgXsmf(5RyjAO}ZivG{lrg9?*FAtgEZTWpBP=x+BNS!4**J7TZ_L zk@DTh=zZ%Mw->3TZ1g%AKYwWPN3;{rpXE{7FOZugj4Km^%|mH-9LfRiI{VeBS9%hs z>CHIG`iZM(TSATZh}+tc(MLm7Ax4bwa65{0_ZUw36|XwwyF^*vLU+qTRB@W44ZB0U zqhoQ&9`wY%FCJL*??gA@pOOk^1d7H}gR!hsky=|zXOW&rL2UIk$@q^5 zqosFJfg=K;TRz>ZCtk2y%QC?TX!;)CN=h~~Q@)JhA$E@987(eKvvoxz74|jg76(vU zKQc^{)P`PuyjKL4<{QOjTu7%l73lhYqvzVGAcM4V)9X#yiK`;K_p)5WC9Cd92nQpO zMP@FcJ+y_ASBc{|Ah!qbHM10O-CeVsj+s69r|OAnE#+Ylm6Zty*yr0d5$1Zf9_rB| z&YP+YM%5=HYYeNP9B0<8d7;#lJYyp~8sl_rTFg99*~uzRESPu6;~s{rX}Ybn z_9&utl34I0TwssStz#L>Tbj5n4Y{wxajAZXsi|z{ig*ZIZLAG)Rr?x{*d32btKqxS zW-&YG9`$jk`L6a8q`5RY$pau-rB_*lvnkKZ(?8==k_v7l@GGHf zdmPV8Tt}I_k1_lpsY0^zz;Zn}UhmnTT8>b|C)Dq75%Kkp|2V%uZ z;En}WW^|JW?swh&D(2ZRNYjPGMlshM@kkw&h6wMFDw^gt`AFuS=ASTUJ4br1Z57G3 zrQ-YBpHI$`GVS-UJ+n~nR$S+gttvjy0b!c1qUb(i;BE?i2sNJDi~vS+(y(XK%y*9H$YLy6z!=y+4l7zl zbZFIw$_McGs@HEKF2)%QN8I7R4#u&llSZ&@GDN;dNF1<@(}x5Nu6hHWwNh;+IWLP8 zDIW}mGH^YzITa+)J4A(4F*xcmk7HUzl%N5I;xYj^IO$ZEI<&e8zDIbG#*YM1+ebR1 z1tCXM`qgtS$(SjECTPG0K*SPz_UT3C#8$UZ$26*}er0Csj`bbdnWB+*$f5WQ4d=;ptq{YH=&g4Dk?INW+du_C2a!56K)`#0<_P!V*1r6_|>G^-GCfRpv7!eFuM-aaVBem{S>y zkCcOv`PQ*Bb{i5tbn6!EY-5C!!DrG3L{B7BR1*P3aONZb?V$6mQV z)}}~llnTt!A&po2q+=OhQP-M{#Fp1WUF4(jhdobkY}SErqjvGMjA6P8hBxzF)RB~J zs@x7SS-7J`B1MuWk!|00@|i1+Ir{NW`9A6qy-!Yr;C2S0o&rhQNF8yWGwV=A5iVXr zH)rpP9-0Xk+$hHNDh3Y!0D2lw6o5-~2WTTd;Z@^#Q+H1*8~{3+kTRxuKR-Qj#a;9y znI|EFV6w5sbIyG#^o$xEyy1s&n#+xqToi2X$Uf)W-mIjBpyZv(+-I#+9)!&go_K-; z-?tbYas29=8H1uW8F^>LtwvAo}Wr*o{;7yQ5Jf9q^bOc5;_C9;|Gs_(w4}5M#~&?WRiBC zN*+HE?^#-&l)8oT7Roa(&O;1fbT~avt!VFWJZ(HGj58l!>*+~xAc%R9B#c#Xa=GI? z=lRmIXlb-f1TAZ6D$`f74Km%d_bT%wj5hDeV~vBZJ?hWePI3HaBnHa`MXISZ^t}b~|8u9)g-~B6en}xX!~S_1nqMAd1M)qk=}3@;!|_w{kQ^pXP8l z{#mEoO&#T!Ln1OrK6uF)+m4)cH5P+mZ)c~7jwJG(_DDy}6rA#XYh^35CmStJnahF! zb{{Yq7^iX=7}x+ZSRdl|s(x&XwN_W-*PM@fht2b5aVh}Ijf8RdXV$5*Qn{$lxxE+A zcc^#ef{~swbIu3Wn34uXF~~L?V1tlp5=U+Vu+B(1#~zg4q6T28y+{mk_=_|gNG+<9Oy2=wnwNj$>Aj@8}rpXsfZMcDFh<7V*M!x4^igV)=R#;NJ6 z6qZV;BQVUY<}7d+91z{dJmb_=o2ztKKFk9$Hzin;j&q-{_ik z2H}GPz^x$$wIWkbLYBP{n$ccGPbcNd@7TeK;Agn!^Q>r~neJpui*|UzmLqO<5sazN z(;RihZ0iXWvBTz}4FsZ4Gsf(2Phv2C3{^X3mf~d-he?%2X~7ndbC3^xz2ui;6?!;FldE-gw=w5&xVbS)&2$O#NN+DBhrKO;}P z(_y&NVwN^ol@12v#zuYcM>RYaX2I52rfA)=ULwJ=3F)4nLsH$s_Yq43yPh`ytfcdi zoB{{)sAZ;Qt&O$h5JNKvb=y$U-zSU)Mx6R%%-#OiZTUu=K zTge!PJY%6eZU_GWtywlU@J)Lpm&&S3Zcs+$Vor0B{Jjlox>?)DH`$DZkokr+ec1o;`9-^`}dxyMeWw*9fMaXB!+)1geA&N^i zxsEwiix%4I2|aVir#r3p)DD!|lO;H#AI>F$5TY@H0sU%g zV=Uh*l40GQn};2Mt@(7T6AC1_Mi>TA*ylY+tbtOp6Rtb$xRv=p{6-P|{JO2Rn>9+z{W_V|Pvv0^C5Ufvp)qOqZx1VCi6Ko)>5t4cB zQpUD5pz|$mE#*U-b}XX5#>zV(WHXu zE=&?hhLbWY@N!24W2IkKc>M1>%R5w*Ae@jrN3B!QU>49ivkx)IBm>)}S-HH1)m`oz zY-_<{GERP#X?vz`;&wU9Yw>HWM9Ud?WE)*aC!hYmIIS7=OVh3dSy>=S6kwdLI^>VW zs!4e8YBJ7+T|*XRDS`p^>OQ^dnVg%nyt-nt%DaXKZh#8SN=aDPl{ax`hInu1PHJ%lllWGym8jm!AdXB) z2TknXFdt6farw~)?_4IIEslV*rZzFc=Z@5ySB$6xj!5;a9a%3$!p#Je5x^>hfTJBp ztuoid2yH|YhG|!$FMqGUy>IOm?94rzwb7|@ZDA`av~h6-OZF(2wg{3w!3s8X=4*8(XL#_2aRr;%6!Q(nb%%)zV617XaH2gkOX{ETG-ozI= z8%uMEXJG2R5Ag&2+MdTqMTo}0lE9IZf)Ax%issT)3}g)DdaHEDHFC~dK{!~PcV;K2 zJ!v@IkDAD#KAR%EQ$*k_cxO_1&*kewtG<4lfgSG$UKvV zTyk@sl#=Nv6iSUNNI3u;asAq~w(Yf71hX$5V{?(#jTb@c`jAf?GCK)T5OpUb=k}~| zrW=V~4gv#|KHk-*d0{Fp`5(+v_m83Ur&3dO&bDZNJx5sY-tE>wzDJ5#1j zGf}XTXycVYNYMxbZ}sn6(MN48*qJwAVC0;iZ(7#5RG!dApkbGc9@y*ks)};f;*^wL z$C;R2NErI#*V3mpH`egEO!;RS+S&apbm>EEu2h0J80g$oaYPJ_84MV81G%Y`r5$V< zHgoyAG5wg95%t>D3tY^|5uC75f$d!l?V*-bL~Je>6A>=j&dJ@RPx|{wCEguXQNHY1XkAaNQnR1_$Dx=jv9i zn4``mVS+^>64B>1r{ZlIZx8DCnq9~G%V|nyrs4c8_>3RMvtndL88sFvf_E_VM2g<4#;0i1= zo|qiuRME)tM}l*TkVN+qBvD3P+=WF0mSeyJ@vmI?f$+jF4SYM%^$SSU-dHG)Q)C>u zkmqs#0CkSh>_t9;>UjiU$0#}Cwd9&9A{#&ly=0OxoO4}=!&?hoPsh4djpPYEtnDI6 z6O4uiHl=Vm@he8)rxl|ftQ7|FxQg8Pi{J~-1!`cSl6@+2e$8-4ApZby{{Y$^y~pER zo{<_)u4(Yfq2iM6Bz10#rNATdG}M}Q6G@vQSm(8791<%q%F%8}hI3tq#f>h*Lh;GV8F21zYY@xe>ELufLMnG>d27e-Hw{{6x912;P zWe2}gQ>Z~FmFd>KIec00=6RYaycEzzSgT6}gL2^GJP?0cr{X_{x_mlnc%Q>p&wHZD z_tE)y4sprrjPP^F_orc8;|2IwBjOaoTLauY4UDVsxP)kF^uB1L-8R=FnB?%ij9M_|2J{It=jC>t`;y(z(KA&}` z0@rpjF)M&K9Aunvk_b3BBNgV>(0TGKWA68cpkVIKar|x z#HVx0-tdGWe(QA=UD&$eb6$btZv@CAQE~5^{m|# z_BB-++{4qfd+AR1Rx6H~s&{WawaJQ9WL4>!lfk|sy1MapjbkzMAfEClq*KwAm;x)- zd{g32257z}y3sGZEgi&=1SVgX2Xcn!eziWte$?@^DP%0G!Rl(cy4&)yoL8pmx1I{t zY!)3WMS{X%AZC&>GFJy9kEr~s2zWuY3;TP0IxjKhV5&36JKWhv( zKsts1_0O$1+B%jo9s%{QVw+Cze}VMmv%9kzuAr(6slfpI=YT&mRiwN4eXd)=m%2*n z(o8mq?fz2{_bc1#2&0nPHZFVgJia$9s#`mUQC8u-fg22da(mMFhQs?GTD;Svlg^F@ z+OmO-;{b!lv8-7mCOpQ($U5esqeRivOL-!{mL>U0^v`OoG|E>S1Fud-Ypv0I10~X`>zSw>XY0=itmuD$8Jj! zdgifT!JZNE?uDpN;jK1(4_vWNFxop3l8$l4NWsAw7{Tf*Tc$@VM~dWPjQdl0?lJ9N zo8XU!+FZUKlg0iqx@&C>5AI=7RjxV4ayh{~069Lwgo!-J=RGM1uU`KEg@f?YH#U*{ zK8>t%k2QpTVIH_V{{Z9Js{ShQ28XJ6UQZZ!5@{u{ize>H$t?&Uc#QHf)Tz%LgG>)9 z0+$qk(&T29z$m5SkkA8z3Yku7u4-g7fRxlvPu)>S+*L)2#4gny>KxMmC#6#w6q$r8H|YBd`YmWS+l;d6FR$CP(oK z^vKnsjw6PV3J=4I%CfpPqU_koEgKF-Oki=xG=&6WH$k+XwWk7xT<*vt1XOPV$&Nnn zYRS`fxwo|L5M9ePt3#m9oBB3VjcH zfg?rvumcVNB|+N0xUP0T5!{dk%t$^*HH{{Y(<{c9=EmF|v+)lFFF zV|*?*fPLxg%3{FA58^74PZWv_PLc!91R8vKP&V*6;;m?`Nl@9_wZc0l93BocS69nY z0DKX~0j5hUA`>6p102^j_ct)=TZtes=~;7f=xrGD9bzh>&PP4zWdeALo(Ua#)=8b3 zJ=tJ-)OOd?eXUU7#Cz1LzC!kIdyrV^No}hOgZw0s+N1F`%=a#+INEd9t#4&K?b!ey zT5Q(#(&gO)WOXC8TX)nHto1qXvc~o+a$Fe#<0pn6LMsw2TG}?*G!Ae`^AwDV>*ckI zcs#gB0FEn-)HJ5HorH^wp2n0c{vzbtS{E-h<1{{8Osu4IVaTmd4a5>jC24^`0Sv?g z^u=>lx?9b5n8*r2ZaY@3&F!w7`HDGmSE%D@&v931tr0rC^g6b^NajE$R`WScr>Lv( z-EVEDjCD1^X%a^@+g{G{M+|u+ulRe{TWa=~$2OC&F1&M`kEK#>F6hotO&bPPUihlz zl(=~iGRpz;z|L{hQnv2c zIPd9Iq;^cAhW7eXM5m(`2i#_dE`XO(>PqAMqKYK zgrn6Cm1JxxKG*8LhZN~jRQZlrkTd8H)6%GaXxv3HCf&-D!5*Httuc2DiXV7Y$X-S{ z^fWG3H*{7oTk0P)&?}yb$a%-steBQ7aDebQPo0(1M%;U3)5>AF;w(}y#wE-(h(bLpPOv|eEDsNkM@p7iy` z#n`~MPy7q(QlPJL-A8K=d4brDt}@s=l;r+2^iZ({1nmHf0guv~%Ac2^>N`_M?%26F zIO*$JTEKQ18jQ>&j1w940lRI8IT<-4ig0E{$P9Duo;~SIi5z}wBM_r-3b`Vq zl{Qy3g^EVpcgRQsEOCSUslU5s!b(YD(Oa5p-CD;2TuA=_xnZ~*a=`l@X$}3dfKQv{ zG01YfDfY)SG`fv8_9qgwY6BL3n*#)A{{XK_=q6HRO}yY_ieOb%F_w&>0FA!?0M?S` zA{iZ6s03toG~8W;q^=PeQCKlmUACN+00Dv1IrgVKiiaTl z!~4S-r5!d0sUemH=0(_89z74W9%Bjii3T{%?u7kn8;6L6GK{A2>&d5XLb*UTHcuv~ zM5P%<0mcdEzI}Zvzn0*#7cb68Jvw&&bk$&G&Poyp0eQ&(02)~3lg)7Dz}kI=Guz&l zj5Z}j1C>xn;) zBnm%HohrOAVn&p%$z0@>Y#+zgriyiUXv|w!9AKZ;nKkXK4i-t_Qrvva&;I~gouj?Q zceRTs+o59IgPaV0l>sRtXKBFW47ln40N16++aZjO;g_y>=~2dy0x2vwA9$XF-!$wb z>?=l_eBuCX704Xm_N2F!A%2@8mpNnnEx_m4(6UG^PTV#+cO0I%?^LbaJl6)@pp`-# zAsHdNjyi))H5&!Fk*^|1tQlA#4Y+_h(<67w&63V=3KR12>FL&>^Cb|1M%Tv)pO>ct zJ!o5(U9TWi0P+XTk=vRZR}xOffRL_G79@_nfcB+laT^`skN0|h8LF_{qsO@Z?S~jE zj(^Xk3veVX1{pvDHKo;vv$-Q&I6p9D!RiO~q%yfhVJdRJc}FaLYO3A_YzUutZLApx zU(TRuhneN1IaX!)vN4}=+Ol%ZjN4t}T3OWwnm>l-0h67}Mz%oG?Ijr<> zScPzm4%8r@o2Gak^)#1LC8UoU2Az4>Ng(=x{VJlw)}*jqG>Ggm7z{xVj(^%1r^6yT zBAE#waUtqQy;73kG+UN7j~K~y7{SI#_NPc(oDvuY1##JF^9z)`S~0sjTRF}LN{!=< z@W%yl!(*uQrWTLo*uOXXy(oDC#&;FjgSehJ?@ftZXpKVoV2z;kUW9e$-mA+KEyFq~ z7~uBc{W|?BWu7Hak(_0C!5uw8^rpO_S)D;RAa3B3?mfAzotdJ#DTKOq5yHxc1-!t# zw_q{Xr&^iMlWLD9SVp1A+mpw7R!GSUy@Ck?{Ego^$FTOR9WrIW`LelgpO2@tB!<~V zuLM^fY^xzj04NSHGBN%~y-}S>x}3tsEy{MJr{&H^LEEP}{GGWCAaVHjsULh2G=>>wDoX>&ZbooB0sU#*EKjFh z3kx|*+^3fr0fc~nqc|Nu5Pp@kmtmQbFk~n|Z!BkT=UkuL=9%Y~2v#;Kpe)!RjAx(A zHL)8;ZGqY4nJ~@eJ^(leIs7YF%+66RUd!|QERv`kl5jy8BR^jL)mmq{Sp;_eV&~>00LuQL_ZjsR%Ui3+ zu1r$K9w4Nd0SZHXG4Ixvmm0aKzbFPp3fcLw@5VUmRd1wNq4Re$py9SQ7y;;e{VH!V zJE#}TjPkp%daoRD*R3&2ODeaOEN&HKeBg}acWjaM=cm$~Sjj6c z5=J2PQ`8>daoev-u_uzs@}z1)9i%egf!q&Xx%8vSec9=f8w4IZXXts)*0V|6w$|o$ zpBhF(qH{FN69i&5=QzzeOM`Th1+|J+1Iv&zY{?w3{W$GbB(-!gfJe*Kq6Bo~uhWW- zARgjKq=+eSSV+x+M{KV{kLya>jXeqU{H>@AvZxtQ3wP_+rVp>RM#g2ld&46|2vDU@ z&9vlmfx!fK_Nl(o;$&aCtfduz%7f^Ab5pEE!>BA-PSDP|_ZjSIG}v7gJhj}{F{-1n z3S8%I-lG8fnv7lBM-C`^Y@QioxW06Xck>1up*R@-06nTnVVF(lMdhs90DZ*mBQ*_#A7^)T z0PY+9=R$h(-mY^JyD<3>V0GYCa`zWEVx{e(T*o8`sT_cCKm|KBLm0j^fhlNZge&6yT2et5-}UfsjATjAtD`!jiSFJ$56wu!iPr9mjD009l?# zrDbY1R@zmfvbg8v1&9FseSe*7TSnH_D-n^LfsCF>9{#lj+;H9xE_i?;Sy3lx@!)f5xq?oOXrY!Nd}lRw_rz z!#N-W{VPE(5?sgu+TSV2!N~1OF+-H!LkcSmkt|VMm}UceY~bU+J@9>MbK+J@wSi#Y z58aje7ROS!sV^0+Z<^=K{oANexarS0$4=E+ODHZ%u^v>7(ecCmee*@y(F;ebC(}a3 zH`=ATCMg&M$vG>WjFat}v8=o|351w^pfDR(wh!s+T>X{Q)w#3`ot|ecfVj$r zJqv8tRx+y(DUNc@(DcV2)}5x@HJi7b2Ef5&etuFi z2mb(Gq_BIHvy}u)(r(J(a0cPflh>_9Bs1GvFl20~=V<+NRF$%vw`3?{;D$0BG z$4^Srj_FZ^YNgnYFgQ8nRa4%~Q`KD!)ZurvE#~tZ2LOT4eL1Y#YnJmNPcSPqfM>7e z{J$E}ozzZ`Au8o|<8i^KLt_|L^CAVaxDkw!JNp_Wz3Yif-LX8gn2@>vhjHHd;Bi^CV&d*lyKP3Xr$n{9>B+3OiJf1VRt}#|t zK={Oi<{YT+_EGJ6vX8h@Y-KZ%)|{6%^0+R`l<88_DKu5n1FX#viEXwO9(jbcJ+5!0srFZKz6V zl&@G^LP$Pd#~VQy-Rp|ZGY>su5{2Bv22Owdb+LCG7YKp(F4LYqm7O($`GA~l3xVsC z(9uyg^awPTr8&mgi88C>CnVygSw-5ik(C32JJY7qBr3&7ZZVv9sZMnf59hy-OEj&c4Kkv;4%Dj-~j8Ryrv zCmU=vE26L2LdrLh&pdk3R*7lKzCdHtfBLAZ)K^6oR+eCh3p)_1qp3ZGdcTb>+u`?s z^e+k7P9oIiWxu_VTLds*!~Xyu0DU8@&xIZvhg9)z_N~?hhLWFYm7DJG8QKri1;5}m zseSRD{(ITvhegt)gL?>Cc$u80eqF~saa~6$L?0Bb;qgy|^j{uWD*pa4ZG5ZF#~A>h zqXu8aYstXE^Ir4eKN?5z3;RdHI@OJhq*u1B0MU|HklX_DoDM77qth=nZ-5#_t%ao5 zGyR}9mkMrd2_GjF=2u<_@mvb>f5JVfgiu+S+nfR1(?Vtqi7R&q$NaTV{RmgR{7&$n zhjq^q#dWKAw%h@_Pa#5XKDf3%f_<+$~gKlCA9#qpcNI`*^UNG^2E z9`^FwSt1!Ah_Z$Z`57Jg6qwP-c$dO{9?&txglJ<$1y=;_;g6snjdE8I z?Tj2&wB8c&9+hVIclsUuwX}HOEU*<-$-v3_kSa|pK-7FkEY`YRzuGP#fu}JB0hv^& zIL}k_4k~S-S2lhPss8|lou-mM<(%L80j^`mL;fr85Fh%8P5%I)8uY(~J`UFO&lQbt zRyf`Dp=$57^!;<>;4V+ zejP)_66>0V)YCLb&CIU<0C#>4-2E_H{sJqa@Gp(x@pq0qL!@g2HlN}(WrikTe6p*B zQRqq!%|%|HSpNWpJ@D^G)1kDrxYo5cH#c!y0#!jAAFgQFTb_HbXtzEe*Kc&2v5BoFF_Zn^IycaaAI7?Wgvb6aZimPGwSWB<)zf@g zvV+849??8~ryvP=62W+*_#d6Q`Vh(ZEptB!f8?g*gui z*yzB1eMM$`NhEwp@du&2Z{#bl_;KU=Ded6!pNm4xrx_60T+9CeF7BJ&JX>Xv_EIlpB!o*_6=|S8y#nSawPmqoBq7u{{Y#mL-u~a@y4V7 z0ASYt0MW70)LjoXX*SO>2Oqp|=4;lz3*SBcuZeV7)V-5g`J^6!j#U0*AC+*hd^Yi& z{rr)|;p^DSJbD5v*S;{^ zOKWG~n~Ql`DWbF9k|Dz>0x}3ajZ>Axm5Y~<>3<5m5v=G*ewv4fb!hfU97~0XSxSO^ z09IqqB%YYBH}L(IE^U@^m6BvaJ&OQ;Ltc~`4~yOs7f~#6c(+SHB|-9wl=k*Lzq;IX zHRpe6Xk-x($toNWMj2Qhi3i4NG zo<{0x+jX5bFN+=-w7=75g*-!JAD2D5wmiY#=n3Q=oRDjP)BYWJwBEg`&~A~*#`jRD zKj3-C>q)D-F|Dq2{{RUDw_YFdZjE*GSjlJRfZY|DT}k%f56Zm8(H1C{SzC4hHS3z0 zxA;NPWQc3AvH7Jl}bATx=19JZW_Mevf!sJ(_cq;Z= zKZCw6)-87n#*o4pdaCVE2>NehUOyb7J|E^Ly)WT+i0m}^Z}bgpgwr*2*v{t)kAl3O zz=MqTAW?S0$sT6}q)w`%p~Yz5-XF91PainzU9P?Glf)V=+=jx@^*ch@hI?=siuT;S zLHcAcgl2{x#(u6nFmsgzv+NfB@8B{{Ya% zc7GLoA>tid;vS=SW1-*OTR4Ygu)tYPBT#wzgF^&!ek$<(k>Qx_ZZtm?L#)X&vdEDl zua*GFJQLK{Y4AGwd*2ai0_2$*+Q__M`j!CxpU%A3Q}C~dbc=Pl)HHkROK`zF*`X@A zJqBw_;r{@NkA%EsZK+MUtsP7kDx)XMY;p&32nQb3BQ_-0<k4uJ0)lZN}W>UlM@ZEXER`ExGZsxgdXTwUj`c>ick!{!(P27+N@h*B} zk#DsTY`s zDp`8q_Zjb9CxX>{A>f<;02SQrlIrUaSu2jCKghO8_FB!;*8L3?O+3i;e~3_N{{R)N z(%xiUCr=+>byoRyoCiPf5*PmXu2140hug!RFr976t&Q3HRleSvSp3R9ji11Z=tsou zN_2ONNYKd{&gc(6ty|XRm*P*uNi`<7hAnGFnVsT;J4|@w0DBeZ>Xk0e=xXZB;G;Iv zEKHJZ%MIO*b6(5i{{RJFc%xahlFIVR23vnDiQI9+Z%s~ejYmhmWrD7k_5fAkF1~$pm#k=pG=O`EpAvKlG)vi$JVU3cYg>mu z>(qMs_6_(oUYFByb+)@6S#{ytE5Mn|*{F%N)2<=-k>Ja1JX|Heh&05)B%BG87|+mv zGJ6r|YGCA(L!4sIorOsJ$^F~f7hn9}y9?WBe@Ci7O|fN{k(DErma01BB%E-G7t+NAOY z0sSe#xa(Qnk}$$ODmH)~)iG~+X@7bXU{(+S0B6Wvi(JNd!&zP z7}`)CYOZTfv7)RukXNVcQMn|NhrLGx;y?~?eJV0av0!-m)i-o#mZRm~usK8Xbrpkc zJl7szoG6ojZ%W=Q3Fit8MxL&m&Vbe9! z6`{{3sZ&~Qx@jZ(!nujYQ`?!w5ux)^`v89XxH2gM<4}FQcHu})P{VHwZArmHDU43HMEL?E90Q63_P1FP%B7E0CYcSGfr(L$ z^~~WU>~5tNiQsX(;{yl1RKKa0giFaD!Q{AgU4Pflv)=$A%@x*S9%5c zx*T<^y<);IvU$4zbJy!vv@R^rg-f)5AQx4N;LTHMc$>En@J-I_KWwoXB= zvctr-(v^xynkK;i09}ow{{Xx?el^X$ngcfAR~+W3%WUfS&P6oU^d?b#Pf&{G*yYfa zBaOU|u0>8RVv~T}=QuTk;dypOB9+O&;QChW{3+$`K(5E2>6+FuZZ|QMEh`Ua9dVrZ zsMW^)xb@F^!Hw?H_{5%QPT`1Q{{Ysla9?kH(y9LdXey8xG7-T9ROxcEF5I80)!rZJ~$;?S;9<;0fp{%Nrx$YzW=+%{o1*`>;A=KGil<wn}Gp zd>yBe-z0r%2Gk%~1WzCZvPa=lvJlw^JG!2nR&~sInG7;)aCro72kJXiPU&b|EZ(K| zY{i5i@t(Zqq6@nW;I1%mI29L|(Z~h~z{%s~{Hf_Vec+Tl@Bz+6PK9bcq*x>cb|NwP znaLkaQctOUo;4m>ZP_0qa0sZGQN(#-5f1II%AdxaDwo`(fS_a^!2bY+8l>zRbt9Vk z-fIwc68sRL1Jke4s81}DE+BBBNZ^skr@fm;`}o|b>Pj8J)@*XbE|CwIv6jZ}q*fBU zdYi!|vAt)hPVyvjGDw*Ln;$i4yDuP)2*(x9#JjC6%PWCM^XlCzgtBJ0qu?ihOgX<&P-Fq}ri9C>PRJx4s! zGZGFSG0##l-=#JrP8Hdh?oy|>T91P}wpa{uIO3Ntw*^&5=s`4?Dh50vh zRhl*Om3FSsZ~^D__4lY2c@uQ5r)llfk4}|2xK)f95UA;#)p7mE%m1DJ)T?B|g2L}wNvHDQs zpyMk@qoZo63K(uO02x;xpTqnr_M?0j(`rli*+M!v=bW7V2lK9EJc-Cm_RpnR5Vr8n z#di#K<29O_O2&?wZsmz2mJsb7%&J=;fc$-Gg39*(Tg5R~Ly$qi2Bf-2Saya78OaBw zRa7JoGllw8CS8%z*lG)Y@uk6UJ~QSkuL^kYiqLsrj!2wGm5_-1#bNT~@IU=kg{NAR zs6`=G0aWvh4w$XEVO^M3CNWzew6i0$Gq+Y zo&#`k^s4Y&3DbO186r7Q`?JWZm%6Cagfa0eV6hF5M?HV~)osOUMVodsHkE!NTzBWM z`83CmGO1Nv;E=?gcK#Ka7ONfP#v6o0ickO?kWZ#~treLXHxbOcqxnlP-Hs|(EVdiw zj*K5HV{aw*?jRk*DnGsXQ_BWi7}=N}KgOb#aBuiyxl^Rbi)s^si#z4Rv^U&1ryLp=dM+&&$@;$#Q84^**JAnTHCaGCjy}Xh% z&LxslxyVonJ+Y3|oNUL;tZ5f)0y=_50mVT(JY#=lQ`4WP6opih;!~2ldi5Q-{=6)?C3^6GYE0CSKJxb0DgD>*>q4E(}!2s3Md}8p*NKIl`3WbKmR7H0g@TCh++U zf*E-Wk4|d4Jcez_Dh0_5BpJ>*qTypfnNr_y0Z7OPb^yt!WM+9E%awelP#Cbt2h)yu z9qOQvB+`)?T&P3y{J1`q1^wN^!V=xeI*_d~Oe=eP3uR?ViE=sh~q8V_+u+B5>?f(GRt5LK@-8P-jqW#4rV;zTlgX!r|O9i_|PszE4 zT#WY}3H?7Rh})}7t`&g<6vOu%ark=mq|jWKciJLZEhTuj9tlzkjPsG7LHw#aJDbU_ z<+iv~B>>$bw!&17yK%uET6svMx)K3{$>G3J{`anFBD0mAcMi%OO0L6(PTdA|VGMf|bTIp5*8H)vd%umT$TU2R(EA`&M9CExf3qGP~d|_ZyW!=jQLuarsrr zB|{ksavKF#jFai@PAfq@%-gfKG8JWa0k=nylhcvT0Q9MC?i|ia$c7AHh5NyY^&P#9 z6B6<(l-yMUp7_pl^*ntlDH~(PQaH#5B|sTGbA#(q)Uxz(Ka&`d%Sf3j!#~To#Vb5# z@0HHe^DxgJ;h*PJq?^b^b0?LS0b98w;|87?9Z);$E?WpkUr=g^CAfkMn9wq9RTzm+ z3zN@ooc{n?lG+&{vWh3U+*N}Y^%>-2`BW;dc~@?nZ23=Y_3KX!FOpC<&73LOz#rCt z`IZuI+>C?rvBr9lQY#5zlQ?i3jybAw#~VM&(jkpTBSzzs*8+_??3n(}&NCS}4x};p zk3xFY#wqSho9Iqdyzz$O;xoAHJPeNhqpez)yQtZKGxHKNf$dZ-B@x|1$9`Fgs)LYn zq>SgMPSs_lljIQ<$;Lq)sc0JLG(#A;EgT>=1{=51nQ|jDEKd&fB;=vdJo>w#uc41!Nt&RTwqgfr3k#E6&S%7>+kJQ zt-6`~=z!YT+;<%GrAjs}MhM<@u zvuu1isa=`CVdzCYP8B3mnGQ3?Gf8yY3!oV-la}Ru!9BRCYUpW|Om3D)k|H$9Nr_zU z-T3_~zM%?$vkXQYV1k_V>BrKvE)VWwD$DYm<+~5^X_4-gWJ%C8N=^?2;1%2x2wOEo#Axx5UwogObKd7jz>1<{Ny!F6kVbJ>f@mbR}>!_u&xO|?7 z$MgKFW@Jw*0EGnOx6CnE#T!YO{{U^0;idEA4;!4G*!22zrddwkJBA@Q?dzStg*~mA zS;WAAe(ybfaZk6gf@kA|!6%S8^!(~|xlOAQyyE16Bx=f>^1wEIKc6+BZE+6OF|%zT z5Tsy%(zC{p(32sP%#60?TztTF{CVwGWdQ({P^nhIB@Y#+ytQO|uS7$4c@5Kuq(l0z?%`!nu@6j&ZYS z1+b*`s&=z1k_hBGI)!j@r#-*=^)i;F#yT2dmgz}C2g}NzQ&nJ+WSeB8m@<#b`lB;8|Hg8<@U$0|-YaX+ECSS`x1eiR78dW4Vax z#W=EB5x)VtWbhG`hWH610)m498Tf! zkQ{JMdRD%mmiJMa(eS`-`A<>P2C~kd6ic1>$U9i{2D5q^D>C(-n%4!4bFz`iJw|=~ zD@*LR@WwxSjKlq(>q(_vMAoPjqT}VjJ#pU^sU6CwJm((1mAqn_Fq?NWT3OXtOK#_H zQaKo^H+P66MS;~aa^=D4>0Jz0kxs656)MF}Ml)6Aw6u{(DyMfn2R~XIK|M-wyhbu2 zm!}{G=z7w!E3O#`Jw^vZSbCnH9lXfaLuwzGv}eCeRWz3NB2_L9?nfs*t2StnvvTsl zq9i55{nOt*gjESPNqHBZjD&JXT=n&+Ep=ItnwsK&!D8Qeg|Mh#7e zdlch7RosJe1ULbD{!~?{{E3}e*)j$*(uyp?=#JZ6)ogV8n+r`s;tM;B`OwDrSu!wl z>&F$IB`fr%Zajfe9yqRp1Wyj3b!U4W<&@Gva}#ckSlbMz)Eafpseh_oNqeZ=%X4)2 z-z=vr*ccfQ>P(CZvYB`w^&Kk>c+NiUHdY-du{R)$5h83mSP(%Si0NHx`0K_hGyebyKBfNv zf4}qu;#q8>v7D?Z63zBd>vQOt! zL81BSNVvsI15Q1AR)Us0OQ8-`;7LkzQC_$!&8HB_)XA zmm>!U=uK75DnPjzpk()VmpYB)w|BF=_cJ!>C6MKq`jb`M0BOKr(mfz7Ug|fRl(5Np zaPzz{tO)LMLN0c$d*d}ek*#Wa9n0M5ck|g!>E*PLw$fK1@qus6MmwPp>%7UU;TWetTA4-2M@1#$T{)gf8d+pJnca&qKBS&aP?}>LxGS91 zIOidOQ;bxS&SYRn=~m@*N>*a|u*YzLhs?*NY0WA$dv@|`2&j9-m5xB>wU$WaVBqu= z*47i;=`=4Hc#}!D@+>ursGv>+Y(uL30|ScB@h^*YU1X$shNE$HwB#$d$`7)RD=bXV zsX6Lujq;XY`&a|si`fTJAfDz6*sm?7cxHjbk;sP(j1EBu)K@^i5d2@iZ~P?Mo`37N z{{ULKs7Xl3>J2!=3Wih2Jt_(|^$!<#gIBlvCZ()i-P`UB<;^BnBcZHJH<`OW^w}i} z*j}|7%*xm!lS<<{9WTZD{{V;A8dj@uYm=5lY@zomaz9F!Rq^MFb=Zs=mbrB-3^36l z5u<%VfuF{?$ykm}O%lLh^BJ=Kl~=f}V%%;Vsm}}Awyr!+d3|FImCmC)w)WWap?3LW zz`(%zaa_c1!OjjdM$yhX(4@rTUY6@WFmk;1J!;OI;=Nx;l0&B7Tw1KaEQU75;5uj5 zsr>5@sdmR<>rR?h^8CC70;bEUS7wrGl1SSGtfxIk6|JmaYFeAeKBs>@ z=O0XyTx?P}eCD+!yP2X=t&@(P)eaqtQtoS5YuEZbrsGb&p3+ubjPSnFN1>`RPj_*B zB<#&Bv$1z(>dbj2vs(OMZa_V8R;=Wf=3tPy2eI^`%r1><&lBpcra@yp^wQefU`GSL z%76?E4%}6JHu~`0M{}n%&|OB`l33der_>sa^wOx$aQm1ZYfnIy-re7HiifZx6)i2t zsWs>J&E?U))Lwh5h5$UW{KSFNCaQh9biG%%-wg5cuNxI$eTeB@6L^{{ILaXtu{hX9 zaw`^ip6Q--hsq3hVD_lLQoN3aFB^EuOK}VyA-N!o5Xyyz{0NNJJ<{s3%-2^}O>uJP z=6M*iAH>rw?p`Pk@Vk`#;CUjOb9U}R#F8`h;8k;X6@$*2--*zG-<3f%y@mP2@G7_W zx>8Ma_X*{;Rfj;;UothhCCAEs=^WJGT?gt_o5S{PAXp}4C)cGiPYGVCZ;jFYs%4$i z=3KTrW2Ib+Q@Ua|5~w=yR;0*qiOtWY-P^OcSO2y#MTKHw{`A8qr9?@^MoG_Fb_*6&{$=`#?)>0m zjY!C37_U7?`G?e3bTIM7yb*;M#aBjDHzrUNou?EkkXRFyG}ORsVDnE@D*4Y9DH~i# z35qNNc9B`OMOCE3?rr?!V2ak1{F%l_wPPmvCz#|6Fvk?_W-Hwqh}mq8n5i-|RZ;oI za7|5|4A)9#4HWgVKeF5t>5AiIW6gCs^OL9X{qAd(JetO);D|^jk07zB(MD=?+f#c5 z$|li|YKlvCPSv)XPo*{CR_#liW)29Y2CvI$$>OR!B@J3>fZWrS8M{(Og`5mly}h8o z;;u2dE!fYF*^gSi4Zb<6CJ>au_SwxsQ}|R?^x@q*xi5xfn0P~;>+A!D5`RF^=gd_k3G~3V{ zvMb%$33A}_20G(4&fY-DHz|Rd>m(q9j`f>y0yNp^dV5pZiZ0@5O2I}jFe`tSg+mj; z$f__ZBx`^;_QhLSFgn)M*K;b8*Fsoula^uIH2L-wByirfTX<&2Y*WYt4*084RWP!;P%}&hU+wEKflZ+G3O3X)`PeGVz6`J>ve10d<1xUH1TTp6UwO9Bo6q)~|p zdXk}d($OED}&Eptu!$U&;!?lM)1HKWAMcxqL{YwRID-4B15uQ|rJ$>nM zwYC5~MryU(UPQ=2MiRsU&Orld$G$2DvW9)`d17+BZ8`1@PO{+TfaG9+c&0Rwi7~eX z@sc`IvTIRYt%}zIJ9bo&*%61z4_y6f)G|i)%mIvu>?l0$C5OCSX89=Y%T0N1G)ZUtFJ+;PWWtwp(Z;N@DB zh8qAmT;%aoq9(_zNZ4YlyeVAw$E7wzV;koUmB!ZSYPgc(I9!;LNlDH(V~UbXjAB4O zMf>C1*YKlD3slO>rj7YlAz6Jo9@N*ihTT`~3j$Dz{Ac=BJiD3g(wmgiyk6Ok*Y{ex8HtSM97Lj#$_sDV^AEG4ENbjS~L! zQ2(@DKibsI}U(O;My-z`!8(q+_5tlui|ROEA?Ur*~& z`Q)>Z7EPq_$T;s+wMd|NmSzAqKnn7}_N^q{u8g9!)NZQ`pRPDsnf5S5VxT;%_b-=0M{rcZ(zK(ry3{IV6g*XSj(&hZx8pJ7>cgJ3gqqFuoBYza2dc5iG?Lx4(wV~&Lv_tLqx)n*nbl7mXRT9}-h{>$ zT9VsqQX7Xd?v)Dc<*|&Oaf;||uOgaBEz(@eAKpTxNc`)Zx6)mPRE&ZfcR+o)u7cGW zBM9el+5tG{^Y2>Ia_VJKN1kCc49rPN!X*PW(Oc2Zccco^RxwGK)}bZ z_)}!s(|Jq_Ze7^NALpkPJf(9K$J!#D<3<}8=W8ZPj11s*t6R)*tIzw^ExCe>08dW8 z)}xirkYrr5j&ZyAbM5^qM^8DFs045hABU&Qps%SEan0qqo>MHK@Kg+KJ&5(IR?PnZ zXHCW-j4nXHz#Mg^e2b4MM!=&ycF%m$oH`bbi{y+2ZkY7^sn}N?FcL;l;>wS_G1Pxb zng1_NjR+-m+W2A{A&BZdG3!*eByoU4 z6Qny;cM=ecj1y91By8SZ&59clkDKX^{CZVZyHA*tw7IkujQ#LN z19ZoKT2>v&_qUd)U0*B#Blwrs^7N-Y(gFNQwEqAJ``F^ENZ8vL4xv|eM>!sY(9|Is zK%hBf-M?w$k3*Vz>@7DP_Sqnv%h;XLuu&v`#69!(a6he2734D+LEc_INp-*&=tsA` zH_8o&*|7XE+D{c6mc}OE7+^NzX5fc{j}^4>60Az$VUy$wY%^6hqs0tFy%&rW)CTJ$sOO>Z1g z%p?%)U;j4J?0 zJYf5eT87oEib>w=w-OZ!2N)P2az6@=?Iw~UsKY0WgVW!dj@s@w>iz}xRV8foNyl){XZI~ z*px|-N+6Yk4*2ID=k%)g)}rfVk; zPZ5GLK5x7ZbBy&B7P8c|?#5fP&RIk}EABEW=RW@c{c4@$XOLUENx1(29BYL>{{TvD zjiOl$1`f~sN3YOTr9>xrQW$bM=B^3!CQTVPYvwqLT(}1+sr)?Flv4TZRzy{G7*S1; z36Y3?2S7(^Qv&&dK;%Ag&|r_J^`~Ylq4K8nDp;==3_Dh2vw3ahd1$ILhTD#Pe>&D| zMyCvL7rClWV;q*al0tR^hAq>-KD9H5;UpSV#z^7PO4Y)7!)qQ!25X+S z#ER_};LE}iamR62EvA`bD=8b4;AetGI8UP#;jPi4&@q%ad@vX|?kUB9!pMEe&NEbF zzuPv~QcE4bCp^{6ERqb5n0Limv(*yrw;HRox{b=cMh|~#toKn%GR8?Pr#na^KGi+c zax_FEk)Gh-)dK{N5|@<7rARxw9>%ks_AWNuv9(o5z)<`U20%59c@LMU2-WbI;dA{f zX%5r?umpOZ1yf)J`F4$52N@@v3~~6>v{YH09Cs+?KqQWHj-xfZWqs%7IRdPp@y}CJ zfg3O>z{eOIRT*t@aAZYT+l{S`0s2)WdIX%DalJAWTaiI)n)jy(^=X{`jCN3wM!ZW!QUsHvX?dE>F?`B6&96LvW! zC0jKcHsgvNiQrPkp!FQp5=$8YaC_6x4nU~NgPe7zqLrjlEG#%muGa155mx-bPFmaJ+4FYZnUH6;jy zgdo&P*f>2Y$lJIy$x{vpsVNM9y^wgIQ&<{Z!x*U;=OEO9z)?`=H091ZQUX9pG>whe zG_mv6m4NCgfX%cr;AWFQHYw+UNC@&fQWHu!Jkl^7r~v@)PF&I)g%}j0o(%v@ncLQb z#&b~aA+b;7^F@Ge^V2vL8)LmWse}yXkjd=Aw7XlOsU?eKj1}?)S6ma3+Jejp$vo8v zaq8zk+h{JqV<&0n$#kF?+eO=`iF zCEn)*^s55cM{FkCK5o@C_T{8$6nvi53n}1W?V;!;sLl9LYFN;`iUI3QSj>k5wP-_V zB4l?XJ*mZ98xrOSt?hXjbJD0XDO?kl#b|0OxL0P#9jheCf=T1G6WmeQY_Y1Ul{sV7 zQ_@!01_uI|s`GH2X<15edeoFNaC5Y-b5>(vXCCz=HANN#n~3RF#jl*LBb*Fp6yvCv z)YR@c1PK8-!&UJ*Oqn1c9Y=9kg;+Q!dK$d~3Gf)<`VmW&G4nKEhWgoDEOKP~^%X7D z^O*9IvwaU0gs8UHMBsd7x=g@Ib!6}#+!Vuj-qm|e$u33uEMI!}fVms8RhnC-w(1B4zvfIm<*?=S0 zr8R_qYuyVu$mC*`hjM%}MpGjh?m4LAa~a$mV0%)|#hFIHc>CF^j*W(8Vz}x(XjcOI zUn99fjfUW3r3U!H8C(;Np}SUW7o{5n3Vhcw+{^R)q>N^cx`&}^5of67Bt=K+8Fd|@R3)~!w>Xw2 zTzZPM(=%~sjD6wtuKG3$W{i6vt_6PZ`qysES5I6F{Ge8l*^8+)yrs`UgI34{VzN?W z9s>Nh^{cQ<&(zeyOJ->(z0mmyj5aw2vF)x}N1QT`mGO$!k;&W$;<913F-(8cC%1NzR$?*fS2I*?_X(IDovPmK)zexLC#j#Wf5gsi}O@SWbxpK8L z+>{<>DD!d7X^gcI;M7j9rkyE6mrq&om5LeF? zrxPdwvl>mj(>~j_x@pZLHjDRj01hi2TZS#xmTQvrRGgfeB`cK}j%O|2kx$GiC>?4F zF2hr>;-QA8Zk3`Tm82D1j%w7ERn1E&2r0nxQG-qfpk$n8rh@Y3)aD7^KVW$LsBbyGg5hY^w#(-}g@jvy@U-MX5II&go{3 zLHoHCf$g4Zyo^Mg4!!DtV2p!}trBF^Zi? zBhs^@zS|nJ$MTV!uQfVc+t2qV0lf*qu9|KwXv`+1eNku;oYaBOtyTfC*EHoPJd^m= zi5ZTcGDORhoK;w%^2j{#>sFZHb4(Z`8LZ_CyP8IJRwa)cNclti}un)Km`h%ROBuREEo>hsvSFIRJ)EiuBfdn&UrmRjai0QIU=Zc~+qB(o^P zuI0y3%`e)Vt{HH1*wy5C$l{pLBd#z=_p0TRTa(PY4HK&X3t_$K!y!N)g;#4V9EKyF z{DD=ZnoCDWgQ+e^Mb9ROD{dCP#*SM!CnMgP;CA5pilT2NM*pIDfzKdk=Q`40raNH_2Q21hZ5${S^j)J8}sZI0^n;D866YWiM#Hb@6_7xnN&zdr= z(2VA!G61FkoPhO%{=t@EJHxPLkr-nJ_ka^;(EXA7y9Or@3qz(e)fG}uH15A@S z+l-E#znvozoaFV-6$h9A-IeFkrB+{Y?LyQZfU**d;kTXL8;n$*X)BSO1L@YHa&QU} z?hPb+iVT*>IqOY!6YfazZ&Fo4zTPuXZIIiQX*QP5c?AHNt8L~9SEw1-Jz4^Z;OZQ$eE>r-#q2OnG-wC;#%%vfYecllE8Z2Z7BasL3;sO7Ww)jRcuO5!@=4K!S&;% zVKDNhkhWRDBPaO4@0!`SNw#L;!#_-@z{Ysuq10_+mNMmnI&viR`uft8Zig3VV-8u_ zRFU7Bm2R5hGC)WBLHu8BRl=*sK)<)))z3sz!9(=6I7=T9yIL6cM z{{YslUBE`fD>wruzHwaKj*LKFr1bZ#Yg?AMiIPqxQG#>S9`&SU(8^KjPWN%e63-xY zU*cW4+wO5vvx)6h<0Ft6PhaIwOA1LA*97sjoc5($2r&zQ21YU5Ro}T5u@th;8nH0s z90E{)K<<6&(x6zv2HY2r-MvBj)Jp1>Y`~M1$ua_Z{Ye50L#0m9rIGjx~p&VIP3XUY#?btMrM2f z#~_M$kT=~@KpDbu!R?Ozw6C#PWcBLaoaHjeo}<64j9K)zalHvp0ytZ|R-pYf~mSwSi(Cjc(h#t*+4tdeHUqRf6+jg=%PV&go5 zKN>|@nI1PP$~lfedFR%lF-;kbB9+w!axfdy9cn=_j#Mooe8hq}6UR~SOhuu(D9A3y z896-Wh^_;v!k?535;31qP_4>^8%TZ4fESz(dRCWlRAm@B4WH7PC7(S+ib`jLf+|PD zCjkEdDuZIOgj{|ds$aVK13kLcB3MjZ!?ho4o|vfJSVUCgapN^B@D~G)`8_Fxj@%BS zn~0Q#YygG_oM0bctuhvkx5OkQn7xJBul zSu2d5l%Yll!6kqvjwwAsXf_kN1$P^8bA!$eIiEOCU!UR~sfCz~f;SLxkxeocj9_55 zKaDi>ur;X!w;M`qVo5uB9A_OWM3~6DGN=uf2h-DZ-p z>^YZz$Z?LC>_^hGugb>3LP%G!M&syeD) z#D*|j6;h{wd*}JmLp-8sgrFt?KXsq(SI4cm$Q>Ssb^S6pGf@y}Xa1F0>! zg_JV_7!BF%YItNaGqRA_I0H3ZNaV8foM2UiP&rTsIqO#vBv=PKmAK=zLSqW2l5^`w zvSpZW92^0Pt1Qk4EVu;nbI=N@G$^J!JIiEe2RwHbSsF)e>`J~0@zbvctTf6a+)vXL zQYi@qgX3x1NmG%}ABAMi5v3I9!AWpWr}L=pXCi+uAR)mdbsqGx!o>EJ}{I30HHHgOD@2vz`{2w#eXT<;Snmw8JAI0R8Sd(^Ppt9 z)WwQ zx3wT75`YNBAsNA@1p=hrHUW-B00?k#(9_9vIi|h}?*@pb4Z)@bxbECrn8@!<6Eut# zm@|y16oJCXrAIWxSyg2uWAUc7!!)X;j}#k>W7oY`c@Q~bIj69mpyJ4klw`_N$^WoglV{u-&^r{|dFZY>9?zHq} zX)@v6y6;iX<3}iS1dy2}A|=}!9trDLZ8Yde-7Au-#tla_-fNz;tpU`zd%Y|FU`-P@ii9@!N~+7)*WhNfGTjy2vwo}5uEv9JW3jP*UJ8BRBYV@BxQ zebRbUuC3yl2;K<`9^f984f+>-s8!=U2^x-s=CzBoS({p`4IztU@&#Fd>^UZ@848S^YEeJRHjk}m8(!v*V&t-R zBZE};<(vXIG_p_f5=Q`5d>8^rQHjatHLI4UYC0F=W7LkdI=2;CCLUe~H7F+_lUhe& z9_2k?;=#V6xxj0o)q}}oLa99T70!;l)>GUpD<~qXO1S2&<10|exfGBUw^P-#Q8JKe z%aCfA$b9Y_Cz{^5uLL<#SVO7UFmk>OM#u;;?zGZ8uOSr;{?lWzkIbA?-aJWJ_UHRH{1DlKPUEF)%AvkxIR~Y4O>)ZXW^V^|W+l9?jezV2 z*0jFSBx|_g{{TZ-3UMHza+xu|p&V7EWo|<$`d2jL%f313 zn$e0_CK-voVbpXYx;?vEv}5d3*_xoALs2^}?s6)#O)POUBW@sP4OC*+tz|oA4GV*g zc^{o=8j+~9Gn5=2rr;ooRpS*>E10GkidA9$$<0U{irHAr+_$^e6y3*mbH!IKLjnn; zmGGp4il*#|NU+2c){LBSRpWLsjra}To~#1!X)SdIiweMG1Kysp5zy6O{NM_ZHCPse zQ*cd9Xn9+ z{{RU*`ew6~6DdiesIn2dyfU)w{uVq_+{~aH9jbGMskf`JK9rk)UJ1!P>Md;%cj{Fa z-cw>u7u1evOsP3grFj_~@lrO|QnE%4eS6kD;@a7=xsl@y(;l^(ZpEaHXh{bwpnGTZ zt0jiqgIw$vA>{Jy=2=Pp=^&rZuf5O61ktkRgT*+`%FI%Y-OWweP;u6gPtB4(pGv14 z%1ANh=bxt)KbQ#5VOl1c7O?kYoUS_aOvo*?41<%;NBp$K8Y_n?!w@+t{_Xy4?)#~J4|rJ&=e>=DnWN_tK}%YoN|DUcuCg=}Q=)|?jv zU=BGIDKt}SV#f!eBZ>q5H4g2$IOO)Dc?(*mXJO3h#2&rAl;XlXE^(TSHb<=mmpys( zqy(jufDbJ82ZzJ1hCvreqo-~S9nj-4y zhF%Y)M$-jg7!%0jHF5sX(#Ry`k3BF=R+cw0f>e5wSamuU!?C@I3y?VmnrQf&u7x zKc{LEHAD!Cp+{lG1co4v-KxyVJj5eE!fD1Zi}E%;Z1l}Q$c#uhL$yN&%6B~EAM@Usc#8ZmEC)M4 z^!{}dJLP1Abn2`zkbkX6_t=@{DRJfbX9Q&*pgqXXtxDmF@S*XNK*dJ+c*)6D>?x?~ zBq$`yF+4R;fi3eQnG0b~aoaUvi3e%|2TY1ZAOJYePAGB$0>!y(91ruw7SJ!K0lVdO z1#^*;-i$<8G2MZXS2!H{`qRJwa48+Iq+^me8KjWzTaRL?!)Mo?l#jL7pP{H#2`a(( z0|aBK^`NsUV7cj<()tSv8}E$XNosulPss_!EEQ-9+faqz%T=80D*zUHh6=P!nO~7xb~!sd2tc6 zF4K-r>r>Qy2~3f(-g|tcdUNSX2AU*HGcf}leX2lFf{;NSjZ{#i#~BWJVYjtL`DMpXr6Zsu6M|IdIHY5R$T-G3 z(`2EJWDUE_AweG~9G_}Nkh2xqepbQAq{6e7Dmgz;ao(Ogob{Dk%ycW zMsTG2b*T$sPDgG%=*9*?#Wx*9o`adf0$I2m^Vm=}vhGk0MMUg51QNeY=AN5M3=RiM zTaRP`G7AmZZp7583W7Mmq*4m;NW}0L8;5gLqhedQ>;>#PR6#-K1cGt_{vV|{y8<`| zj%WmtlhTHh1lbwdWD2C9!TE47X;B$uZ+HWG+ED$4ubTM*FtmI307>KGjP`OVt({HD=yNJ*kf#KL4n-m`JDG)#+ETvj{Fb{g~&9lJCvtZMJR=V&Uo#bk*1gcV+Do= zdFSw{B~9Zlm?NG&am^5-EMO{}0nU3-VYWGCU=xh)05(tg=BwPmWqhQWLHAR&K1B+R z89yQAPjG&}%8}g17kUmdY)*anIayv(M1%-hBsf%qi&Q@Qc+QV*3zH7MHMVaDNPhq zlp^$?(M1CsItqJqqKW`T>qzubKoR$)U%N#VfO1p6f{G{r^rh`Z6aY6$i9Y$FiU61R z>N$S#qKW{TFZE4PFH=Pn0M_bgqKZH?_oNh2Km&CADltVA0d6kTqy2EAilGT{{;>UO z3A)im3=l=}Q;fKaCVp13uvX^i=YH$FQP`)1f^` z{{Vug=^YeSaq3eMKh>Is05-n^trS)EBz!$ur9XCxD@bf-Ey(9TEPw9`=I%e^>R*hWU11X z`cXwPNR2j%DoP4`eMJ;d27-OjQA^a(MN=e%^r+1gQW%4!J$g|^08YgHlyhATpZs{o z^rDL8ud+HRZYTV-6{iRKvqcrlJ&uH9UVq2sL#F=#$U**;QClyB%k3j{ebe-=Ht&nM zf8L^stS;=FK^ik8praKz>~URYmhAA2>|37aG*MlBPJV^++v`gG57LS%-o!1&58;B$Mev{nY;ec8V(^#P3|^h>y;L z+|flt+_kZlr?Ux;7>+v{=%RN!a?E-4qKb)^9f_q?#&(SDJ*r4qyrJ_R-RPo-lFLg; z{D0@xiYr7xB!9*Jd(#iyG*LqYM&NE<1x$a}{uEJ34nt+_^`|dtD63nL_CycM0R7PsWJ;;G&9aadaea;r{@5Q%Buzss40POgau9eSaE5 z{=6RaQ9=O+@Y4SP38IPseL*1kg%nbj!;a*G`cfhL-&!c403W?iL&5xLqJ#`c{{Yqg z6xII#3MirM1X6%wlOsGD%j*kg{P9HC39A9&R8@p!o7=IuolD{;$XU)S)aj)N9rh|wCGP#yxk2|gmMRL59Vm1u$Jbr*p^TAUzI*SVtn(~iYbVWE*2QzvJcq0Nwtzc@=!9{!z!Z6jFxla@h_40G5qN{{V3QG*MK^ zTah5de~mUV`;WqkDoY57!*YAlt1(6Sk3-&yDWNg(b^HxVdLN|}Q(F@E5+AuwJ%1V~ zrnVPRul!%`)I^=@&#e?vV?>S9@ut7uPp|Z%ifl+1_^LRM?o;Z2ofJ?p>(TzSCvU=v zDIpBG{)Uz_`?UIsD5cb;u{?jp^*_pi(uyj`WI+AuU*n>Rhq**;{=e|3qWj0|MHMWh zJpIQ10DJn output.init` +def main(): + im = Image.open(sys.argv[1]) + im = im.resize((400, 300)) + im = im.load() + + for pix in ( im[x,y] for y in range(300) for x in range(400) ): + r, g, b = map(lambda x: (x >> 4) & 0x0F, pix) + print(f'{r:04b}{g:04b}{b:04b}') + + return 0 + + +if __name__ == '__main__': + sys.exit(main()) diff --git a/tools/requirements.txt b/tools/requirements.txt new file mode 100644 index 0000000..c519fe2 --- /dev/null +++ b/tools/requirements.txt @@ -0,0 +1 @@ +pillow==11.3.0 diff --git a/vivado_flow/Basys3_Master.xdc b/vivado_flow/Basys3_Master.xdc new file mode 100644 index 0000000..938f992 --- /dev/null +++ b/vivado_flow/Basys3_Master.xdc @@ -0,0 +1,159 @@ +## This file is a general .xdc for the Basys3 rev B board +## To use it in a project: +## - uncomment the lines corresponding to used pins +## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project + +## Clock signal +set_property -dict { PACKAGE_PIN W5 IOSTANDARD LVCMOS33 } [get_ports clk] +create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk] + + +## Switches +#set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports {sw[0]}] +#set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports {sw[1]}] +#set_property -dict { PACKAGE_PIN W16 IOSTANDARD LVCMOS33 } [get_ports {sw[2]}] +#set_property -dict { PACKAGE_PIN W17 IOSTANDARD LVCMOS33 } [get_ports {sw[3]}] +#set_property -dict { PACKAGE_PIN W15 IOSTANDARD LVCMOS33 } [get_ports {sw[4]}] +#set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports {sw[5]}] +#set_property -dict { PACKAGE_PIN W14 IOSTANDARD LVCMOS33 } [get_ports {sw[6]}] +#set_property -dict { PACKAGE_PIN W13 IOSTANDARD LVCMOS33 } [get_ports {sw[7]}] +#set_property -dict { PACKAGE_PIN V2 IOSTANDARD LVCMOS33 } [get_ports {sw[8]}] +#set_property -dict { PACKAGE_PIN T3 IOSTANDARD LVCMOS33 } [get_ports {sw[9]}] +#set_property -dict { PACKAGE_PIN T2 IOSTANDARD LVCMOS33 } [get_ports {sw[10]}] +#set_property -dict { PACKAGE_PIN R3 IOSTANDARD LVCMOS33 } [get_ports {sw[11]}] +#set_property -dict { PACKAGE_PIN W2 IOSTANDARD LVCMOS33 } [get_ports {sw[12]}] +#set_property -dict { PACKAGE_PIN U1 IOSTANDARD LVCMOS33 } [get_ports {sw[13]}] +#set_property -dict { PACKAGE_PIN T1 IOSTANDARD LVCMOS33 } [get_ports {sw[14]}] +#set_property -dict { PACKAGE_PIN R2 IOSTANDARD LVCMOS33 } [get_ports {sw[15]}] + + +## LEDs +set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports {led[0]}] +set_property -dict { PACKAGE_PIN E19 IOSTANDARD LVCMOS33 } [get_ports {led[1]}] +set_property -dict { PACKAGE_PIN U19 IOSTANDARD LVCMOS33 } [get_ports {led[2]}] +set_property -dict { PACKAGE_PIN V19 IOSTANDARD LVCMOS33 } [get_ports {led[3]}] +set_property -dict { PACKAGE_PIN W18 IOSTANDARD LVCMOS33 } [get_ports {led[4]}] +set_property -dict { PACKAGE_PIN U15 IOSTANDARD LVCMOS33 } [get_ports {led[5]}] +set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports {led[6]}] +set_property -dict { PACKAGE_PIN V14 IOSTANDARD LVCMOS33 } [get_ports {led[7]}] +set_property -dict { PACKAGE_PIN V13 IOSTANDARD LVCMOS33 } [get_ports {led[8]}] +set_property -dict { PACKAGE_PIN V3 IOSTANDARD LVCMOS33 } [get_ports {led[9]}] +set_property -dict { PACKAGE_PIN W3 IOSTANDARD LVCMOS33 } [get_ports {led[10]}] +set_property -dict { PACKAGE_PIN U3 IOSTANDARD LVCMOS33 } [get_ports {led[11]}] +set_property -dict { PACKAGE_PIN P3 IOSTANDARD LVCMOS33 } [get_ports {led[12]}] +set_property -dict { PACKAGE_PIN N3 IOSTANDARD LVCMOS33 } [get_ports {led[13]}] +set_property -dict { PACKAGE_PIN P1 IOSTANDARD LVCMOS33 } [get_ports {led[14]}] +set_property -dict { PACKAGE_PIN L1 IOSTANDARD LVCMOS33 } [get_ports {led[15]}] + + +##7 Segment Display +set_property -dict { PACKAGE_PIN W7 IOSTANDARD LVCMOS33 } [get_ports {seg[0]}] +set_property -dict { PACKAGE_PIN W6 IOSTANDARD LVCMOS33 } [get_ports {seg[1]}] +set_property -dict { PACKAGE_PIN U8 IOSTANDARD LVCMOS33 } [get_ports {seg[2]}] +set_property -dict { PACKAGE_PIN V8 IOSTANDARD LVCMOS33 } [get_ports {seg[3]}] +set_property -dict { PACKAGE_PIN U5 IOSTANDARD LVCMOS33 } [get_ports {seg[4]}] +set_property -dict { PACKAGE_PIN V5 IOSTANDARD LVCMOS33 } [get_ports {seg[5]}] +set_property -dict { PACKAGE_PIN U7 IOSTANDARD LVCMOS33 } [get_ports {seg[6]}] + +set_property -dict { PACKAGE_PIN V7 IOSTANDARD LVCMOS33 } [get_ports dp] + +set_property -dict { PACKAGE_PIN U2 IOSTANDARD LVCMOS33 } [get_ports {an[0]}] +set_property -dict { PACKAGE_PIN U4 IOSTANDARD LVCMOS33 } [get_ports {an[1]}] +set_property -dict { PACKAGE_PIN V4 IOSTANDARD LVCMOS33 } [get_ports {an[2]}] +set_property -dict { PACKAGE_PIN W4 IOSTANDARD LVCMOS33 } [get_ports {an[3]}] + + +##Buttons +#set_property -dict { PACKAGE_PIN U18 IOSTANDARD LVCMOS33 } [get_ports btnC] +#set_property -dict { PACKAGE_PIN T18 IOSTANDARD LVCMOS33 } [get_ports btnU] +#set_property -dict { PACKAGE_PIN W19 IOSTANDARD LVCMOS33 } [get_ports btnL] +#set_property -dict { PACKAGE_PIN T17 IOSTANDARD LVCMOS33 } [get_ports btnR] +#set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports btnD] + + +##Pmod Header JA +#set_property -dict { PACKAGE_PIN J1 IOSTANDARD LVCMOS33 } [get_ports {JA[0]}];#Sch name = JA1 +#set_property -dict { PACKAGE_PIN L2 IOSTANDARD LVCMOS33 } [get_ports {JA[1]}];#Sch name = JA2 +#set_property -dict { PACKAGE_PIN J2 IOSTANDARD LVCMOS33 } [get_ports {JA[2]}];#Sch name = JA3 +#set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports {JA[3]}];#Sch name = JA4 +#set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports {JA[4]}];#Sch name = JA7 +#set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports {JA[5]}];#Sch name = JA8 +#set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports {JA[6]}];#Sch name = JA9 +#set_property -dict { PACKAGE_PIN G3 IOSTANDARD LVCMOS33 } [get_ports {JA[7]}];#Sch name = JA10 + +##Pmod Header JB +#set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVCMOS33 } [get_ports {JB[0]}];#Sch name = JB1 +#set_property -dict { PACKAGE_PIN A16 IOSTANDARD LVCMOS33 } [get_ports {JB[1]}];#Sch name = JB2 +#set_property -dict { PACKAGE_PIN B15 IOSTANDARD LVCMOS33 } [get_ports {JB[2]}];#Sch name = JB3 +#set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVCMOS33 } [get_ports {JB[3]}];#Sch name = JB4 +#set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports {JB[4]}];#Sch name = JB7 +#set_property -dict { PACKAGE_PIN A17 IOSTANDARD LVCMOS33 } [get_ports {JB[5]}];#Sch name = JB8 +#set_property -dict { PACKAGE_PIN C15 IOSTANDARD LVCMOS33 } [get_ports {JB[6]}];#Sch name = JB9 +#set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports {JB[7]}];#Sch name = JB10 + +##Pmod Header JC +#set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports {JC[0]}];#Sch name = JC1 +#set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports {JC[1]}];#Sch name = JC2 +#set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports {JC[2]}];#Sch name = JC3 +#set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports {JC[3]}];#Sch name = JC4 +#set_property -dict { PACKAGE_PIN L17 IOSTANDARD LVCMOS33 } [get_ports {JC[4]}];#Sch name = JC7 +#set_property -dict { PACKAGE_PIN M19 IOSTANDARD LVCMOS33 } [get_ports {JC[5]}];#Sch name = JC8 +#set_property -dict { PACKAGE_PIN P17 IOSTANDARD LVCMOS33 } [get_ports {JC[6]}];#Sch name = JC9 +#set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports {JC[7]}];#Sch name = JC10 + +##Pmod Header JXADC +#set_property -dict { PACKAGE_PIN J3 IOSTANDARD LVCMOS33 } [get_ports {JXADC[0]}];#Sch name = XA1_P +#set_property -dict { PACKAGE_PIN L3 IOSTANDARD LVCMOS33 } [get_ports {JXADC[1]}];#Sch name = XA2_P +#set_property -dict { PACKAGE_PIN M2 IOSTANDARD LVCMOS33 } [get_ports {JXADC[2]}];#Sch name = XA3_P +#set_property -dict { PACKAGE_PIN N2 IOSTANDARD LVCMOS33 } [get_ports {JXADC[3]}];#Sch name = XA4_P +#set_property -dict { PACKAGE_PIN K3 IOSTANDARD LVCMOS33 } [get_ports {JXADC[4]}];#Sch name = XA1_N +#set_property -dict { PACKAGE_PIN M3 IOSTANDARD LVCMOS33 } [get_ports {JXADC[5]}];#Sch name = XA2_N +#set_property -dict { PACKAGE_PIN M1 IOSTANDARD LVCMOS33 } [get_ports {JXADC[6]}];#Sch name = XA3_N +#set_property -dict { PACKAGE_PIN N1 IOSTANDARD LVCMOS33 } [get_ports {JXADC[7]}];#Sch name = XA4_N + + +##VGA Connector +set_property -dict { PACKAGE_PIN G19 IOSTANDARD LVCMOS33 } [get_ports {vgaRed[0]}] +set_property -dict { PACKAGE_PIN H19 IOSTANDARD LVCMOS33 } [get_ports {vgaRed[1]}] +set_property -dict { PACKAGE_PIN J19 IOSTANDARD LVCMOS33 } [get_ports {vgaRed[2]}] +set_property -dict { PACKAGE_PIN N19 IOSTANDARD LVCMOS33 } [get_ports {vgaRed[3]}] +set_property -dict { PACKAGE_PIN N18 IOSTANDARD LVCMOS33 } [get_ports {vgaBlue[0]}] +set_property -dict { PACKAGE_PIN L18 IOSTANDARD LVCMOS33 } [get_ports {vgaBlue[1]}] +set_property -dict { PACKAGE_PIN K18 IOSTANDARD LVCMOS33 } [get_ports {vgaBlue[2]}] +set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports {vgaBlue[3]}] +set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports {vgaGreen[0]}] +set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33 } [get_ports {vgaGreen[1]}] +set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports {vgaGreen[2]}] +set_property -dict { PACKAGE_PIN D17 IOSTANDARD LVCMOS33 } [get_ports {vgaGreen[3]}] +set_property -dict { PACKAGE_PIN P19 IOSTANDARD LVCMOS33 } [get_ports Hsync] +set_property -dict { PACKAGE_PIN R19 IOSTANDARD LVCMOS33 } [get_ports Vsync] + + +##USB-RS232 Interface +#set_property -dict { PACKAGE_PIN B18 IOSTANDARD LVCMOS33 } [get_ports RsRx] +#set_property -dict { PACKAGE_PIN A18 IOSTANDARD LVCMOS33 } [get_ports RsTx] + + +##USB HID (PS/2) +#set_property -dict { PACKAGE_PIN C17 IOSTANDARD LVCMOS33 PULLUP true } [get_ports PS2Clk] +#set_property -dict { PACKAGE_PIN B17 IOSTANDARD LVCMOS33 PULLUP true } [get_ports PS2Data] + + +##Quad SPI Flash +##Note that CCLK_0 cannot be placed in 7 series devices. You can access it using the +##STARTUPE2 primitive. +#set_property -dict { PACKAGE_PIN D18 IOSTANDARD LVCMOS33 } [get_ports {QspiDB[0]}] +#set_property -dict { PACKAGE_PIN D19 IOSTANDARD LVCMOS33 } [get_ports {QspiDB[1]}] +#set_property -dict { PACKAGE_PIN G18 IOSTANDARD LVCMOS33 } [get_ports {QspiDB[2]}] +#set_property -dict { PACKAGE_PIN F18 IOSTANDARD LVCMOS33 } [get_ports {QspiDB[3]}] +#set_property -dict { PACKAGE_PIN K19 IOSTANDARD LVCMOS33 } [get_ports QspiCSn] + + +## Configuration options, can be used for all designs +set_property CONFIG_VOLTAGE 3.3 [current_design] +set_property CFGBVS VCCO [current_design] + +## SPI configuration mode options for QSPI boot, can be used for all designs +set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design] +set_property BITSTREAM.CONFIG.CONFIGRATE 33 [current_design] +set_property CONFIG_MODE SPIx4 [current_design] diff --git a/vivado_flow/run_batch.tcl b/vivado_flow/run_batch.tcl new file mode 100644 index 0000000..647dc29 --- /dev/null +++ b/vivado_flow/run_batch.tcl @@ -0,0 +1,30 @@ +set PROJECT_DIR .. +set OUTPUT_DIR ./output +set PART_NO xc7a35tcpg236-1 + +file mkdir $OUTPUT_DIR + +read_verilog [ glob $PROJECT_DIR/rtl/*.v ] +read_xdc $PROJECT_DIR/vivado_flow/Basys3_Master.xdc + +synth_design -top Basys3_Top -part $PART_NO -include_dirs $PROJECT_DIR/rtl +write_checkpoint -force $OUTPUT_DIR/post_synth.dcp +report_timing_summary -file $OUTPUT_DIR/post_synth_timing_summary.rpt +report_power -file $OUTPUT_DIR/post_synth_power.rpt + +opt_design +place_design +phys_opt_design +write_checkpoint -force $OUTPUT_DIR/post_place.dcp +report_timing_summary -file $OUTPUT_DIR/post_place_timing_summary.rpt + +route_design +write_checkpoint -force $OUTPUT_DIR/post_route.dcp +report_timing -sort_by group -max_paths 100 -path_type summary -file $OUTPUT_DIR/post_route_timing.rpt +report_timing_summary -file $OUTPUT_DIR/post_route_timing_summary.rpt +report_clock_utilization -file $OUTPUT_DIR/clock_util.rpt +report_utilization -file $OUTPUT_DIR/post_route_util.rpt +report_power -file $OUTPUT_DIR/post_route_power.rpt +report_drc -file $OUTPUT_DIR/post_imp_drc.rpt + +write_bitstream -force $OUTPUT_DIR/$PART_NO.bit diff --git a/vivado_flow/run_elaborate.tcl b/vivado_flow/run_elaborate.tcl new file mode 100644 index 0000000..4d1be77 --- /dev/null +++ b/vivado_flow/run_elaborate.tcl @@ -0,0 +1,11 @@ +set PROJECT_DIR .. +set OUTPUT_DIR ./output +set PART_NO xc7a35tcpg236-1 + +file mkdir $OUTPUT_DIR + +read_verilog [ glob $PROJECT_DIR/rtl/*.v ] +read_xdc $PROJECT_DIR/vivado_flow/Basys3_Master.xdc + +synth_design -top Basys3_Top -rtl -include_dirs $PROJECT_DIR/rtl +start_gui diff --git a/xc7a35tcpg236-1.bit b/xc7a35tcpg236-1.bit new file mode 100644 index 0000000000000000000000000000000000000000..0ef4b75ed26040204a696f9876ce76fabd406764 GIT binary patch literal 905784 zcmeFa3)m#tS(sNKk+k07!kbTk~k12A*>(?NleIsfkbv5 zCg;?p>(W(kSKqt;?V93Jt6%kRed7bKef2ke)7L)u+RLy0>dWtV>-T*9#jid8SN>wWeD&+z`aSRX_P2lM z*S`Gx%U|(tyzHyL>Gf}V)oWk%wO{dVZ+XQlzWiHXX}rbgfBBp5eZ{~3E#LL;zWm-- z8sB2{wBk$8f8|SG{z~Ipjn2!y@~iHB)JF#h529^+!7_SBDE z{x)9~o^L#38iw`P&l`c-8l$kx@^#ucZyC`xk{O{aO1E`7%v)C?9n&|h3t#uYo;R<@ z`M_+v<=1{Rw%dv7YME`GH?FB|Q*CwLRX!NuHnCZ?J#Y9*Px%%3U~0YdhP+j6j?12n zK)jDEU-`Aj-+5DC8)Qw8wLsPenFF#8$hsiwfvgX*6Of&P zYydJBWJ8dRKsE-M2eJvsZUWiOAiD)*w}R|8kUbA%w}b2sklhKgyFliH>~4_V1G49X z>;)iuA;?|?vKNEw9LQb*vH)aPLG}>H9tPPXAbS_c-VL(%fb3C_y%%JUf$V)Cdq2oN z0J0wf*$;#4agcowWIqD39|hTmK=xsfeFS751=+_y_G2LXILLk+WIs_YGYyad!%bkg z2@E%Z;U+NL1csZya1$7A0>e#UxCsn5f#D`F+ysW3z;F{7ZUVziV7LhkH-X_MFx&)& zo4{}r7;XZ?O<=eQ3^#${CNSIthMT}}6Bup+!%bkg2@E%ZjV7?s1U8z$Miba*0vk2 zHkzLR#sN1?;^rs8=1+p`8pxgi*{4AE>1vq;e7At_7VzByzFWX|3;1pU-!0&~1$?)F z?-uag0=`?ocMJG#0pBg)y9Io=fbSOY-2%Q_z;_GyZUNsd;JXEUw}9^!@ZAEwTflb< z_-+B;E#SKae7At_7VzByzFWX|3;1pUi!I=$1>CfNn-=iT0{&URKMVM00sk!Ep9TE0 zfPWV7&jS8gz&{K4X952#;GYHjvw(jV@XrGNS-?LF_-6tCEZ|=Qj+X`;FAX?e8gRTc z;CN}k@zQ|fr2)rF1CEyl94`$xUK((`G~jq?!12<66w=2ENn;QY{ER)gn6(D^I#L^!6wXuO_&FpFb_6i9&Exq*o1ko3G-kR z=D{Y+gUzdOt~?Afcvjhj`=chzyG?jj*@S16O_;BnFkd%ezHY*N-GuqN3G;Om=IbWR z*G-tOn=oHDVZLs{eBFfkx(V}jljdu<7H-0|a1*YDn{X}Myavba6Ci_Y;U-)Qw}3}2 z;8Dw}_NCPT89X~|!L!2_@UI2W4qL#+7Cbv_0XJK~%@%O81@ZABvJHU4b`0fDT9pJkIe0PBF4)EOpzB|Bo2l(y)-yPt)1AKRY?+)@ZABvJHU4b`0fDT9pJkI ze0PBF4)EOpzB|Bo2l(y)-yPt)1AKRY?+)@Vx_k?*QLB!1oR?yaSx>0E;`o;tsI51KjKYH#@-14sf#r z-0T21JHX8jaI*v4>;N}Az|9VDvjg1h05?0p%?@z013c;gk2=7k4lt(!T40< zaHRuW=>R)Az=sa-p#yyA03SNQhYs+e1AOQJA3DH?4)CD^eCPllI>3hx@Sy{I=l~x& zz=sa-p#yyA03SNQhYs+e3w-DTAG*MYF7TlXeCWb`To>5U1$K0S9bLGO>%x6p7w+S_ zz@skks0%#m0*|`Dqb~5M3q0xqkGjC4F7T)eJn90Ey1=6@@Tdzs>H?3ta39x&`?#)O z{rP71ZjjvrGPsZH0yn$B%`R}W3*77iH@m>iE^xC8-0T83yKq0&1*Uf4eyj_;?E-JR zz}qhHwhO%N0&ly(+b;073%ujD3Iz`q{wuLu0=0snfyzaH?f z2mI>+|9Zf`9`LUR{ObY#dceOP@UI8_>jD3Iz`q{wuLu0=0snfyzaH?f2aM|h<9fij z9&oG&9P0tAdcdk4u&M{F>H({Iz^Wdwst2s<0jqkzsvfYa2dwG=SNbp~_hH`c!@S#v zxwQ}TXCLOsKFpDQm?QfzNA_Wk?86+{hdHtjb7UXp$Ue-GeV8NrFh}-bj_ku6*@ro@ z4|8N6=Ey$Gk$spW`!GlLVXo`L^?V<$z56hS^kEL^!yM9wIiwHsL?7mf{<#T$s;Xn9p2zuHn*L2mEt^e=hLP1^x};dUpudv_p6% zFoZdI2y^lf=HwyF$wQcvhcG7(VNM>xoIHd%c?fgz5a#3|%*jKTvxac3IE4G+Asjo{jCmv?aD0y8_#DIW zIfmnN49Djfj?Xb1pJO;a$8da(;rJZG@i~U$a}3Al7>>^|9G_!2KF4r;j^X$m)A0$M z9$&3KAI8A&@xxFL_&$d3=#JrjWeoQ#W4K=#!}IbnTx*QsS^5~BrH|n=;W0cb8N;>B z7_M!`aBVY&Ynw4#+l=AbW(?OhW4N{%!?n#Au5HF}Z8L^zn=xG5jN#g54A(YexV9O? zwapl=ZN^W)c?8!sW4N~QtZJKH17tSH;I}j$FwTR|G(6y+2cIQ-@Z8OV?_hcGIkN}f z!SdjK(*sU>z-bRS?E$Af;Is#v_JGqKaM}Y-d%$TAIPC$aJ>awloc4gz9&p+NPJ6&< z4>;`sr#;}b2b}hR(;jfz15SIuX%9H<0jE9Svawloc4gz9&p+NPJ6&<4>;`sr#;}b2b}hR(;jfz15Qtj zYTiyvkOAK(!1oF8eFA)+0N*FT_X+TQ0(_qU-zUKL3GjUae4hZ{C&2d!@O=V&p8(${ z!1oF8eFA)+0N*FT_X+TQ0(_qU-zUKE2{3#DjGF-CCcwA}Fm3{jn*ie`z_$4_GGy%&@pyRU#;R;s-K9`XDH6D-}$?$duL+Ve4O1$#XVZ_II$k`)rg)q z?)y;9ft8wih7oDj)t6Ymw)txP+kdUTkptJPJGxOf7t>zr&y)Pcf7$4m{z!8|8*BXXCSWIGGArS{!B)%&~7(S1#!FfVOG!ooGKUg%%Z*4`X$O?k6ngn8q|VR=Jx@i*NQOR(T!Zyyvo9k2k>xO&tXTs9!d6i5~NhI5X%7i|u z@~S1M5^TKOrxs916_=99Qngei%V^x1)?M06YGTK!AZ=e?A3YvrGo`6~e^cVV5}iA+ z?mU2m|ERa#ur1eii8@8hML4m&jGp z`5mDNsEpL1lZ&+%r&Aup0`Y%v_dXZ#IqHN_lD3M6bGUL|I#+qd{ zN{md468&U56@-bjRHu?ZmE7EtJ+&QsUOQRsjILdJPGl6;o>ZQy(S)QR1m#T^`!?N!IrXG+vds#M7PPEPFIy*tz{l@^$jLt@Nk)N41(+-m~Rv)^co~Qc$KKWrbyBfi4WxoR%&@nw+L&np#W3yrRs= z5~hMB>TIWq;~2L3ix*p^^>I;sY)nr*#mw=N*2|4itN3gs z(-*t;@ldieqxRCa)WPc1x0iamc&c!oT-?BUvba-)8hTu!v5C(U$tc|ZOq$8;j%i`} zP7h1d@t$fI6QN2@uiRd--YWUHg|f~pZFaUFU}{bm=btBc{Yk-krZ01hXDa$ts_Fg+ z&y!4*O(w%u&l%^=8BaYGwo@@D&FED)wQ;??f2Yr6{MdNgnYPxRbY0g_f3ApJG}Ov7 z9(>Tgd|4+&-D-;+FZ-@%7!!Y2KCXSI#0K?MR(Sao9${+MwxdL4d)afmLN!#$=;e>= zO3S7EbhLBYR%9=lm+T;8r1^81j8@BBn^icNRETVbxk?|?wv{KD3W<#kZ1pY(FNLG# z>>#`phK4fTbSqp8RWf>DZLTQfiMAEli^e-=`?ep<8d0JgPb$Y+SIXJRTFIofPNb)X zsZNDV-6fO#zU}D0bN!Gf{YO`dEz-FUgZ)ba|cWDdS`>Hdp- z_2>0Np7eh~6?lf+U&)h5FXWEbzgWthzG6~rFVZ7E*(t|VyEFDKUAlD9xHPrb7nQdA z*Qa`haq}N1eGyT85xJDMeMxb$+(*0Uqbextvy*B2l4?9_Z-wDsplW=2d_Jrat#$Ir z;y*ho(UR*p{H#}EkyMrJzbV*ckq9PE+l%9ZB>->G1bqvUGyS`nyCFZE)-9MLW0g-ucN8RC(6AH_>?RY=dG~^S5F7 zTv2z8`KpF)EAU{*0&e*W=x$%ts%|pxxKt}{LsE5mOxw18&w##6 z)ea;Ppr5nSxMWsf7^jHr65(wrrHmyT&~XC-B{4iTHBMfe$r!S zd?|nOlPW~=d0MIdx>8At$u_oY|K<`6$P#sQl|tJ~TA^yiuS#Wf{Y-kllf4gY&_5WV z4YnGYo}MRuuBm)3C)#cXj!$>A1y`W`^|$WFCX?;rEi7Mi@wUop*p0H%e3_rmrn#+- zb=oYX(lc{)?9vsWud{LPwmx3ORs zx25if4b`{|UC5zz+w;EPFxG8zp$@HGInWj#Pt1n7B(v~#wb~0bm%B2${jLRS9)zmo zyv*lvq8gdiYS(C>RAus-(&95(IQRZ#FZzA!tG4Mzu(ie(9Q{Qd+o*o`e+-9dqiuvO zY-gpvu$;Sw*-oTxK;|Q#I1WKNnUiDQQ=Zy<*tZvHO*eP4x=1P*zO11Z|a@ln+-o5N!7aG62e?G0? z(SDv03my}_cdRf1hf)=3yM<1aU0J}xs_sAA!ngXi(CxU1vfeM$`t(DIg=(!=xqI~> zo@cGM(?@Gt8iA5)viJC^?W)+kFnLsXym*ZYL-UG*YK7D9t(G06WI@*MtdGFI=Uv^I zSI34cM&PzL6$L@D;Fv#-z>*_S!kYK_%2vc0S<>phRa4&uEj$U_HxC!|CpU-t3s#Ok z%~-h7G8J-u<_+9&KA%72oE)CL$_ubr~0eWh$*$ zXY!LP8rbLRb)It8(b;kz+p^^bkIg-YMxccMZC~|Y#jvjNn^rwgfnM$TV!wUQ9Xz^s zz#~v{4t0FhA9W7Bb)UPn*e4FoU)$%tEaF?dzc%k090&_PUYk>4j(Dd1l7gIx z*8g7NcuB=$(QyP88-ZCScbdL3kvWGx#J93tu)ug~!9j)M~XQ*Fx)GR5-p` z8^_wm5l|ygat;-q!yWpyir&qQOUIpk9Dz-ZK*>22|3){u=9f)1yj8mm_zl=r9a*`t z&0q6(YCebS^nKNv^m;|-4t*JaPtXK*?PDu&>+=&mn#9ncOeuyO6sp#qT^8 zH1lS*m2>{D^85X5wSR2qERR6RIrJ`HbvQhSviHmRLCD>e;#(66nt3zZ%H8t&;l2O1 znme}hoEm|WbExDw|89pwR&M_0Pp)iYPYi6~n!o0A{%*rpV-jDlL{}{77$x7WO-p7| z+q^=l+1B9KX5BoN97kY1BQQJHcGKtl$rJv?n%d?SO3k*8=g?xi@Tk4W2$Y;d5BthM z`s`^DYgM}1;x3oTYVY>nrR&GG_kILQ&Y|?ad2QbB`t!Et``6Z$qoLyn6h>h79O{MN zhYo-DS{@CwZyUAS|)c2yvKOHcq=AGXhm*-fSBc5YC6z5D>-?T2r>ok4Td2WqB z3IB87l}ScJlEgu78^`D7wc{T2YJOLy_pq;yh46WWeo8*`Ilq=JaAlIaHqcee7Qd{p zSXRqYu~L-3<8LqEak+_O>2U-qMxbP_jh|QC_tLOR^z1xSJAC$#yBf#{XOp!wH~l=L z>#pfKYqo#S?UGu1w|t1&*UHO}rNyYpz$ zQkqIiR^0CUL%kKdx1s96t$%+*mXBtSBcPZP=&J$KSF0#aV?X5F)~`ua`b>`&AAkNyG1j&_HY>O0-uib|wy`e;8sQT<{lsyRWrb&gi!>AJ)@*C= z#KM-36*fBp()oLvZD6a`HUIW`sp(%&_PG;V@U7-JZNZai6W%k^j`nA!CRl$=9>ubfh! zLq^}jQE5xm>Y$h2D;9BojlbYnq}hdZulftA@1gQ77Z-9$7ScW{KgUO)WL|sNS7WkY z->=Xf>Az(c*I%~g8LPKPUoM%v;Eav!g57z1LH(&ZU{~+nRd(@BSEsEU6UPx)^9Yo% z<~_b@Be{l_<=YDuth&h?-Tz@hU-nzw-*5Noc{jdXPw%j_)Pw8;UK$@eVCQqL$Mf0- zzZ>1J8KWzN=n7!Ggz&$P!S*CZeCRga_lUv>WI%;7IT zc=%mNdLT){PZ9^ZJu{#AY03lxG$`6E!mnh*J^TH!lNHNOiy zzrEdPG~T?McGutP7Ov|*TK@Y2{T-$L$3XUD<+4EE5}LkR#lK&Pe=C{&P3_Z9EAesJ zgAv%m@%4%F<0L%3ejH>!Q7)S~zCP)zaZH}y#Y4Kv1L!^2D~)g611Hw(LU7>w#OT7aqW-{q6&BvZjkctk*B-`-S|TXWd)Bch5cC2lqCApPFl7@W4KF zdM!SPvLd$r9!LDA(>kdI2einoqXY7Gj;j*NbBElV8a2h6ze32ha96vgU;D4-2DcbP zQ@`QxZnb{GG@GVc^oep{%dPc(Bff!yZvzA68y5_tV;QEU-l$-yYhcSV9ErbprnU#N z*pD2Dqx0QV$4#KM^wt&Au;hxmF;VyYq6))X8NEG#X-F|wA?%1V-FFp@3Y z|Md8l{r9n+hobM+)jOwc(Fd8z8QC3_gQ%A6@nZjzJ$7y(*PQ8Zs*P*ObCw{skZj}Z zx;L_3gX4Fu*WOO8g_Ce*CQjzAojSF6A>u9L9_^dTgiGMZiA`-} zy@v0o$CE>tj@9+nRimkQ%C$gUd8w^h!j(?=dUY7f%|CP)e$Myue&ha-@rD?lVcdT~ z)%fW^?F;oMfx^Gq9?0UwA2u9_yK~&UuI>*5HE9Kwy7rP#*$&r-wfd>Uau>V})P6AR zAf9IRMjdZfTp`&){k;*{pWYi+R&~S`-w-|aVj6x|%~yI!rh#M|7Vi1#<|}*dU~Tt; zz=$rlT;H)&+EQ1->J~CQK@RCGF%0h~YIl0?6&_Azt(NWm;(l)LU99V%ug(!8u&#)$r>^(-hOIW! zXI=+1ecgO!K4-jsJ@7uL&JELl+%PXRjemSaoi;{0z6@){TeX+=e(`>P?_I3v;Pq#M z5B<9Nu7|$(%!jP2pMTeTzWB@|!PTqpd5cxFD+8)Z7v9tr<)LYOuq*0w1=IbYrSp1;f7=vg4q|;~*!x*O9PewN_4Q7% zp1d06RpAx&cTmeK56W9`);Ij{1D`}i?U;B;Q%T95Zy(rm|CV+y{c@W6l|bFaudBF< zo^C|{RV}S+Mx#o0XyuYSPYT{E;h`)y*ZY3jp>(IK!;?GG9srNb8Fsi-VwfEdEK?_b@xIZ-G7>~Gq`o%O|R*xlN?R{9?I+#wu`{2$BK8UTp=d7kHWumEe!hJ)X(vz9! zZM+VX`@ZsCvhN<&_0KoHLhX=_dT<`7T@pMR7}wNS234xAfxXdlzpyv1tmw#n-bM9w zXHln$zS4BnjbePgDGM0(7tr09>fk>=q_diaK@Vr3z9|@}?L)r05Dp$aIFcyW)zWxZ zv_1T_<9~(jL|{Dnsi%D7Qy*99Z}2rw6jc1_chPlr-9%#fkBd zanV(GDC#c73^Y^}^Y!XLmOp$FO8EWgng3P?```R_S?Vu z-gPcK@F{hf)i8hl?yfNmFSOLOrTz!XMxa**vz(cDJ)WaKoO9IG)&s6D2XtD@94h1A zn<+Zbg0~u{?xo44QKRL#w>;siYnO*y^#(FgXUc&s<9~Eu4p+L{^&a@0;oI(h^LM!B zV}|kQxeI}38IL?_Yq+T8fOgHzZ?Ej+feGH}z3GpB;0NCMj{o~3mp}d$fAZv$7lMzB zZu!V#7p+HBNAz154raMI`q1HE{H=EM?QeYJzk0(v|HeDs{<>Fu{FWy^_vBkX^U;y> z$YZau?4YG;>AwS6+}9q6qjTN7eEHscZ>Vok-xv(^R>cWD{|fb}YhW0|d*@c(D}~0d z?3Ft!IFsy?|5xpkpD}J+9t;k4pS*O1Vc4sxmtS}9EC1{du0Q+iop*lQQ^udYZZP<| zuQLqwn*`%t{n`8hE$*55E>U!zTz~e);LdNmal?FFGr{8lGpI57Z9P%K=?-MGmX` z-LtV+mcHt`_sgGs_VSIV?^Qo0A3S}papT#+4dYqGltWq?-*8CYlCSzo_)Wik?~OCC zPtMHeCpT`~_yfJq)IKqi^F*H`hj*U1(bFJ001rf__sN^yblfNVw7abPLT}uzsI6(o ze2+W~qRoM<-*6y)&Uf>wAL)G7H`EuqjQ2*lXr0?ZS;1bW?T7Z#t>v7Gp8fj8%0aPU zU3rNN_3PS;_2;O&_Rq}GI5_b#KLv~jE8gt7%kKB>+JiN=AANo`GqTalrB9;hM5=6Y zKc+ajJ^VsvrI%+&pr5U=rTPQf#tokOZVTk&3U0c6;R}Fx^_uAzBSD@@KvIO;%Prk99-T`RY>Yd1b z+ot^lSz6xgO1iqTPuIaB0 z8{PCgN%n#y3E27|+mdMbEonpML7yjv3fuCGuP%Zg>z?zCr|wVt6<#4{viqJK|E+!Z zu&#fiFHtO4+!yNk>H#AN&lYvY%$_fGP3}mq`!Q(P2iabV0#ok~`(pTgmA&+^j#KW- z>u4Q<&OPQg8}b)JlHa3dungP}!TYR_?qAR54mtgpZQR;5+%Fp`ngr_7>u~$h_>IH$ zz2Ny1>i$IC%m1fp^750{l^0YFBilGLG1?xr&A(!umq!ne>BS?(ePglYQ1cofuA|;* z7i{)n@aD(o?95#cUcTjuZQXOHdeG%>e%vwPsipd>o48(-LH1Pc&p>R#{M#O zgL1Ho+dEj-3y%NU>)-S@|AW`R{Kgw@_rCMNFMHtME{G2(>;CWoIg75|c+;DH@c()H z_uTmE_j})Y;OEI-z>Xf0F#I*v0}m|lCiH#nUF|Q7?P~`Tzs!-2)1&vvz3TU+hrEo{ zZ)4BK^74`SXNLK}1E;62{N@Ai(c2H)|Ec@YFG=-2nHC*t;>^rle)!UD-@eZn{TGM2 zGa|poFF0)fQ}5sWXrOQ9z3(=z9{6WM<1-T{Nc|T6u6L>*23@|aVy_vmKj7b=x$j7i zv;$9>cip9S$r;!uCz#QKS>RD2mw>)TpwjD(;zi-^%n` zT;Z3B^l%43IOx$jOol5-lbO6O)qlx4OqQ-BEY<5Y6&6*jids>=LRlBPS7t2FtrME7 zrxmI$rJvTUo07VU`>xmhSGn)h?z_7S`KNCo=PcG#9!yiU5^2R+>I*5^XXgD~CEb>= zELxX{Rgt5;GNg)5y{bO{!#u+g3Qn#h;TdG0Uf-QH+lG;CK7RbX9= zeNvs8j$PWpAeKgNBvhdv;=HOErqcXWkV`~zy-rgqQ@I48M6V-fqI_6bzB>7o5%>!8 zelYzu=iEA>rFvRvql@aNH5W*Q_b9%u5U4*}C*pOOj8+nEqIFaft}DOl?PrwIWO->S zUm?|hZG7F8vr*}v*79?zbu)|BT2x>Ap^n4ASmLX~n*_G@Yr&=eccNoz!D@S`t|uo+ z{R+}#lG0O${wrPl($ZpKJ*{G`G@s6(Y1vHbHZ$9i8F8HgsiU-Q9rfeJXi2u)c3_3} z8ku)0C8uz*UBT=ws;Xm9^jGcts>b8EG(}WW|iXe zWma$yC3bX6qBe)RjCBmwGqLEMppPaMu<6j3i@GC+eP&E|f!-V8OQKZbfV-)qpEN$B`*O(p;^_PSjz9_jKj|xX z&l~#b{>i_W-2JQH6Fs`Wy{P4xngblErMdq~;XRtVF1vsKT}2NM{kNQ%&JCk)u|(@k z`Jp9Uh5yShJ~Xe({T+I`{PM+be!5(KNToN<%04;xGbBE0bTG;@wNEZz{^olR>5M4x zRqd0f&cr@>P580BZ6w>|WBl#~jegro%8T12^*&RyljQUETF)fq#jVl{?@?m^9!ung z@tW5p>13O?nf5V}C)>Q9@kml$+~)Pr$MBGeeVq2WzIS~&>xI$nY#k=sfkr}LuzWtZz=MqLM~MZ$HmigNj~tj_gN|GIHK zsgU_NC6Z}@#M0~WR+qRpeJRZ4>Z)>yKjc%}a0yFP>UxyTtfLaqCE-dZ(nZo_oXV60 zGs0C0B(!YBZZtBcLV?O>%QE8mNoBNT@k`30uXvOR@@10Gs%p~`%Q(F+l%6l>lxEf4 z*u2G`Dx|4t(Pk4_?;clQX7>X_{d+u6_xHJmivwKD=aefm<+UuMygV@j($q=0>GO$x zaXVXIiYn9mtnO6AiGalmeIzg1mj3u9T*TGqw*F^?e^IGgPc^0`+e%o~4TVo2VsUWG zA66@7B-uKd;+2#B$`;BDlvxeR6m?n2KJsDoVl%3iKC%nrQerFCk2dqO(EmOO!(!(O~9^!t&V?UA(~1hgNE=Y^9^#RIE+M zhkd*W3Y8_3&!#iQ+2l&DM)uQIWIEEBCT{xOOeReRY0{8PI!z{}$u^bfRI#*}*E;z{ z!mJpwQlDd+;pnI5Y`!JR=^d$;!kiQp=GOBya_WUlR6DhiizagCm4bFQ8)P%+XVRB! zg|f1&e1UZ8{M<@GxKD#nPp|c#sK4n_f1fYV>ePB^V!@HP>nEpHBu*`8A+D9wja{YV<}jU-_)l%r5`^n?mE`r!#UoL% zX{Tb9@^iT;qcnnA{ZQ(uHB}{Cq7qx1i1VqAaq|6fX}VFdMB|L4g({V)rE)<=roR~@ znY@Ym^j6s$riu1I>h$o5i z8n&5h%kc29;i@rvI#e7>6~*O_X6?a*5PM|j)I*E6Uq}p3g&8H9Sd)5lx3N8aNm9dJ z-2H_{A?JdlzD*qNlBiufP+>UBZaC~>)a^{aa|V-ft(0f93vO5SSDDId=4`uROT{Aw$jP@SXrl9kg*oJxyJKEs#}dDIo~S>G3jH; z$lda*NfT3H&SoN=x0Pyz*j{?+UahJmVJG}#zoTlouy~%R&MOaQJ5_d`_@yS}ah)|W zs|~v#tE^1rH2s`y>xHSVQp;*yOeOhLtQ2h`YttQ?*VLm?bIBapsz&{KW#+@$=Ztgb zjHjLoSM-3NV=|i*}Yms!hgL zC$ZyY-}Tf1`9JT!kFX<3M7BC-9nJ6PT+?T;Du~bRjJ}hc+mT*0MBxjWlb z&YI4IDv@67WmaYQqG>MiF|nz(<>!3P@mTwjshMmd6lT=R?Zq`Bz1YXtY}Q!KwB8?j zzr^#fqdZdu87E>pQ=TMEDHS(K=h})3<4us0m1HtaRrNPiiS#0?nK~*$`;$|v$+48k zlkHR?N#q=lwaS&l(wur?qhuTD#XgqXE2%Y8)bIBl{dcY(@}&QM!|ogX{)`t|M^}#Z z3`0dMan;}K(hsqi=moP1NlD1WL{AsGZ4k@A^3>%WTh-C3EnlOP4P8)t}c7dD4Gc?!V;q zFWq0{iPqDwiS-P*q|;^GxpdLa=v~)oqfAe6>|(}VsCTiHW2%{{fA?#>OuL40=R1?W zT+}{hZ9ZMqcH9>`>a#Mb+I_mbZPj4X=ZkW&x-TO4Cu6AXsWF_|n;HCt3c{l>oeQ#c zb?KAIKU=4we5H<-hk8*ht(`21ovFoGFVe~DB|5sUwwKL^_QvTWyQpStJ?CTAW?~_- z_4!=ep~Y~O_fZw)+RoaG>}dVea+FT3)k>)l9l!X6U)2BA@|(Z%+lHYsDmwL_{@!os zl2G&o9mu0Z?>B4eZNr}W9@-1`-^W_JMEzbX{D{%=mHHxo=S`UlujqH-qfaQ^87|HK zeryov_SNslepzkSv{=EK3@vHKJ^Tn~OZNO&ZSx~gat=M@t7gv|ufAKiUU+0LC1twk zx?Ytm64#elR@@e=6y-Xfl&R#*B5_Hwol%Gi2Jfv9)GrR|t8P+Ll=109`KTdXq?Tx; zB}`YX8lfQGCyA1%g#O#|Vtsey&6bZ~7cN zIi67cAcdyvsoOKxH<6#WUMTZ7y`V3X7RscMKU3KqoF+!^ALt0f2}!Ak?xgNoyEFZxz;{5CfeSANA4Z7)V^c_&sp2D*=Dnoevb+l*+9 z$<10{qoYR2F}#d*r!|W%g7U3$u=We zFiE{x-TH#g81=8b7PRays9#XmBT+I^{omDkqF3u%7NJRrPwR8!Xlb`2Q1X28hkfM| zKI7m1Ia?Sc?cqYp$wJy?$~*6KnN!EIeHej~bLfM%}pB9D#L?KnefiI0Nhao=D`ehTkGLFYD{4`~3CUI$Ao8Kz;;D&Y_R_N@Ww*2DY`c zH~{DKy*}oW5m2uYP`hO(%ekGjdTjJLF#;v?S`vd`jo%?nhH_Ui0NmA|FC|?#ZjU2S z7=e;==$fx|)OSe#ML~59+2P_pu31B?%EbKlQ>>?*1GMs6$9=MQd+oQrZbvR1&y&i5 zA0GEf<=8yu1krhN|8uV2t94ktzh{HXEgQ7GC#FMG2Ycd74L3Tg#Ozu8xw(Rw^-FY7Fy?pw!g=r#mxp-70{irHWB%8Gqm6(s~?aXJAV(9Wv7U^wzJ>x;`25*7);HO5Gx1ZRUu|Rt+ zsdOf{=G>k)zGK%NpV?a(he(!D+ifyPnx7_;f+e@^|F&es>S{rmXqj24%+5B;{B1Ut zX={+aBR5kTB{zBvKGWKs6|8=WE`1n|zI)Hc;^@v98iCp8_-@Zv{X1`5c;oK6sXbWd z;{NoStVwFePm>3os@spcdUXfm^aFJ@>+7xC$NDX=QFC?HEN#L1t~K`KXlgAZ@Vs?h zC(BygIT}$TaNGN;hvE36oa(VTO6rV2$u-$SzN%RL`?sKu**()4XWl!sXRaOHIrtGM zIfowcRj;bQyFB+H;vSC4_~|`#>JVIdeAiCy+<{#Wz_Ihg`Mnm29m{9MVgW92h20<-7P(C}5i z&Ks|y&#Pp2=R?_`&-=2$;LT;V&RE%l_~pdycUHK`yS|s@XGt1=muSw2?7qjhc6Wxk z-FJRZJ?Q-}YjW%W9{9$WI>DNHyfHJkJyQ1F@QpQ>7!Hy|z3tLpi^!WVe{@@3n$|4; z`sBP?Y5j#$&--r{u2SaX;|uOtf8ka)Um;LU=)c`Bf3SNON<9do(s|d7rFC`T`sUK@ z-`y?#``qpOxYq#(DvyezK;1V68at-1R#9BTVJAxO^82;Qnc|{Cn-`1f=b!hT^>tHC zNt0(kwMb`!b*>pSQ0m}raQ@nn?F`q#|V^M zlU??`Hy9MY-1S^VWau~&~Fy?o=k z=9XWzvYNBU+KY`q$vN~cUzv!0d%MEz`1;?JvVt=n{8nnQ@j83j!75#fDkt|q3t1Zd zt%cN&%3Bx#_q#HUq~}|j%7_m$X&d%|IqsgJ(Lb=EuXOk3pIhZgb*0(W1+uz{qlI0M zK*=>(^4owfg}#Ye`?*I=x}?q`p;EN2qrX9&?yD<}?}dMr-qjX3hxdu;l}zXSX*x|! ziw+_o%1eJKPj$`0!`!GSEMI)JLgn7C?kQ^)ABD6=c097_RAXBy$<3oRTeYiwu9noa zy^`8)TVKibW94K7X8Av|d?n1pHIYQOavM}ivI3cA($usF6RYaG-j`49`_ojE2;#iN z+f_H~va_lm+o^wk;b#1(hGpFL-%sntWz+n@CBob0pu+8{@(T4e6ffJN^u7^V?@VQ7 z_V@eA!Q)fkql8TJX=+-uk;Jm?1b6;ir9WAl*>q)vgB19Cun?Lr?&m-Vu& ztj1t0)NcN(cherm%@p&qt!s@`E0`*zsc8`=^7^U8s!Dl%rFBQjliGD+#Ti>w2EuZ8 zI%M;`)D`M!l{9IW-qSDtOxX@f8kt`tRV`MPr}x2=aG#WYeY~n}NqN2Tlk$#edu8pX zK9<+3D4p@eEGo`u6csA+%ht=9t7qf?n^vi(KUF^_Xar`C1X3=@Zu{rc0)LvSmsn)7 zU(|Lz57w=<%28P|eJtzVUH4Vg^ef6|iqm-~NM*AguF~JsZjDszkDsW`zl+qRN-5 z@_g-;bN$ORFahum`NKu~T3wjrm^5S+mz0^OoPljyMxKNZQ`Fz`T^%^z%lJ!v!b-g7Bv|hB1 zYlI~#Epa}-DKCw6f<*VaO6WhmT(7VcNvCR4tzeomf~fw~hUk2_(usJvZWz5M;!>5K zE)u1}O`MKO!nH0b^-K$LZCuv{@ghkg-3DoLEvb1I8va^ZNqbnd7v`l_BdBG6p_YwE zX^BhM1+1^ED)D9TynVBBLmM_QzH`G3Y}dSm%|+{|#%6 z7CnFF4QJ6_F<%_EdG-?h=IEbL5cK^ zAB-*sGU}3)%+#?$t;kBWmarsVg+<{iTbdP?l)3S=Or{o2f`=>t@px>S1xBr?xlG-k$_YC()R-l9y=RI!nG*SVQX6 z+l@}v+iZGSZ?ZPBX>G5VS4DSyPobd_ow#PXq)@$PcAd7eO$Jd0CWfqbHXYa0MWwYf za;;Of1XY3s%6l{4lPvXU0gL;gs&;yG6$saYB?m_7kM!bSiI=G7`x+|b+jD}vN|>8x zul16dO0iW(Z=EtnoS74@?5V<3E3y}wD?L?}wV77(J)3cn3iS6RmD^L3I#K3ds*zZ; zRjU;ZPpy?Xo7B&5qbfD*<*TV&`x8l#W2X(PveG@A=!Tv}>bXi&xlR-%djA&7k_vht zV_CGR=u4tMcdM*KCcsdMGd0f>zqI|(UcSA2F0M6up5*P_^zj+W= zz0jPW41BIa=Ae$t{nEbVDph96&XZE*{4P;bw(a=wj-1g?b!VNOQ4R~Ns7gggvi4@` zpZ=4isCb?v<)vHgS!6f0UQ{efws)R{198qccg}d~siIfee57aB)LX({>6{s#!PWi^ zv+om~>C199r`?{hH-EBLgVx-)%o&cD^^K09aqg?WetR=EtO=o^Y&0(t5zyB ze?PJ6B<@7IQ%@6lZd;I+_c^W+*;9BaRGJ!8<>_2l?dnvY6S@@zuW65~TAdC`s;N{< zFEq71?cr@=C%9tL*OkeUo zqV*YJUp3V4+x>G9C!D_0D79zz!xqo=6Q1<@uBtg>FtleFvEKC3WmYe=XD9Z;cAZCO z)s#J338(gE{JZTRCYAdAoR9rSw8zQ0lPHB-TXouS&N*RU!hV(Y*;Z;=J#Jf#Qr~b( z&y~Km*U$B(wB4K&Gnr%#z9bhf_AlLk$%{B)Us62r%6M}BrHDKIkSC_drAwZD>C!BB zv^`}b(zE6M3VRaTyFb=5b-T9x3nd)8c+tt)EBI#^lQ&HJaw+Fy|CcVRww;S5Ht)Zv zI(pHw!@k(cWNDx8zyD&k&;9!^T~hY$FR^z?+q)F^#R$hR)9%dRQ^Ds8!q3~fQ8U8%af`!`KKqQNGGeI%k*Eg){-afB&W+#dpZ|sYPo7p=_R#8QM`&u6Io&}@?vUl zoPLm#)sJjyL%K9wqFj~DS>2=uM(CrqGpm#M9$8In=k-z#j;RA0f!Xg9j}2e>zomDE z$0KD0abiOo_jE&sk7kb}pw5**&(Wr@R#7aZu@$B7i{7oL%4;rCQ!i0lw|>!HChxr{ zX|%A-=!BADzMy{4**)vqq;=4vxxDki@>SPT6WYJyk;)dryOIhiSgfeA&&9G|&fWDB zmHtHc?WJPZFD*V69!DTI0ww%!`$}UA*U&-Ek@=Yw^gExcFdr12y9u%dzV8Be0H*cWJu^^5t$>T!F9N1)^!>iMd_=Z%H$L-%-; z+QFKfD_;2(^J{A6xnQPsrLvcF&r?~cLafjne0v;6lAUv)_F|E18c#jZ5L&~`-QFK(xuowiaupLgoa{Km(> zGhgSZaU6l=MqqYcn*_cxHD_L1uCZyYZC`A5RLe=5$#&|@ni89`yC(PMnvuC0>s8SE zBxy6*uD6BM{<`fR?d|&rl+0@%@|Dx-nde(K=DTiStBX2^{J6nnyYBWkWw+pGyuZTl zcIYPS{+%~v?!+5?Z)EZ@r8{%&S8|+uQn$VN=X%?`HE6sZt-aF5JsSc z|39sdg^k|-UNoAr)xo*-b|Y?6wQGvXtbN&fpVr)idkp16P2i>p+%$okCUDaPZkoi+PXaf85@gpv_5{d21+q_9%Pio#1$?)F z?-uag0=`?ocMJG#0pBg)y9Io=fbSOY-2%Q_z;_GyZUNsd;JXEUw}9^!@ZAEwTflb< z_-+B;E#SKae7At_7VzByzFWX|3;1pU-!0&~1$?)F?-uag0=`?ocMJG#0pBg)y9Io= zfbSOY-2%Q_z;_GyZUNsd;JXEUw}9^!@ZAEwTflb<_-+B;E#SKae7At_7VzByzFWX| z3;1pU-!0&~1$?)F?-uag0=`?ocMJG#0pA@UH>@UH>@pAG!8 zfqype&j$Y4z&{)KX9NFi;GYfrvw?p$@XrSR*}y*=_-6zEY~Y^_{Ih|7Ht^2|{@K7k z8~A4f|7_r&4g9l#e>U*XhWlF^?r&|lzqR51*7mEPJ=u4I>>iLkA7sFH8~APm-)-Q# z4SctO?>6w=2EN_}2vfHGzLk;9nE?*987GfqzZlUlaJ(1pYOFe@)_}2vf zHGzLk;9nE?*987GfqzZlUlaJ(1pYOFe@)_}2vfHGzLk;9nE? z*987GfqzZlUlaJ(1pYOFe@)y)v^}wy#;)40pDA|_ZINI1$=J--&?@<7Vy0Vd~X5YTfp}g@Vy0mZvo$1!1osL zy#;)40pDA|_ZINI1$=J--&?@<7Vy0Vd~X5YTfp}g@Vy0mZvo$1!1osLy#;)40pDA| z_ZINI1$=J--&?@<7Vy0Vd~X5YTfp}g@Vy0mZvo$1!1osLy#;)40pDA|_ZINI1$=J- z-&?@<7Vy0Vd~X5YTfp}g@Vy0mZvo$1!1osLy#;)40pDA|_ZINI1$=J--&?@ z@ZABvJHU4b`0fDT9pJkIe0PBF4)EOpzB|Bo2l(y)-yPt)1AKRY?+)@ZABvJHU4b`0fDT9pJkIe0PBF z4)EOpzB|Bo2l(y)-yPt)1AKRY?+)@ZABvJHYo2@Vx_k?*QLB!1oUDy#svj0N*>n_YUyA1AOlQ-#ftf z4)DDLeD46?JHYo2@Vx_k?*QLB!1oUDy#svj0N*>n_YUyA1AOlQ-#ftf4)DDLeD44^ zJHX8jaI*v4>;N}Az|9VDvjg1h05?0p%?@z01KjKYH#@-14sf#r-0T21JHX8jaI*v4 z>;N}Az|9VDvjg1h05?0p%?@z01KjKYH#@-14sf#r-0T21JHX8jaI*v4>;gBtz|Agj zvkTnp0yn$B%`R}W3*77iH@m>iE^xC8-0T83yTHvZaI*{C>;gBtz|AgjvkTnp0yn$B z%`R}W3*77iH@m>iE^xC8-0T83yTHvZ+!uG@zPRgGf9IroH^}Y*+4DgLeD4C^yTJD@ z@VyIs?*iYu!1pfjy$gKr0^hs9_b%|g3w-Ya-@Cx~F7UkzeD4C^yTJD@@VyIs?*iYu z!1pfjy$gKr0^hs9_b%|g3w-Ya-@Cx~F7UkzeD4C^yTJD@@Vy6o?*ZR?!1o^Ty$5{n z0pEMT_a5-Q2Yl}V-+RFK9`L;feD49@d%*V|@Vy6o?*ZR?!1o^Ty$5{n0pEMT_a5-Q z2Yl}V-+RFK9`L;feD49@d%*V|@Vy6o?*ZR?!1o^Ty$5{n0pEMT_a5-Q2Yl}V-+RFK z9`L;feD49@d%*V|@Vy6o?*ZR?!1o^Ty$5{n0pEMT_a5-Q2Yl}V-+RFK9`L;feD49@ zd%*V|@Vy6o?*ZTYz|B5zvk%;pIZz|B5zvk%;pIZz|B5z zvk%;pIZz|B5zvk%;pIZz|B5!6Yh)qa9`ZNR{hMX{{+ZB z1+q_9%T9prC&2d;;QI;i{RH@a0(?IKzMlZ!Pk`?y!1oj2`w8&<1o(aed_Mucp8(%a zfbS>3_Y>gz3Gn>{_KLNg<0N+o5?3_Y>gz3Gn>{ z_KLNg<0N+o5?r@;49;QJ}?{S^3q3Vc5WzMlf$Pl4~J!1q(& z`zi4K6!?A$d_M)gp90@cf$yil_fz2eDe(Oi_r@;49;QJ}?{S^3q3Vc5WzMlf$Pl4~J!1q(&`zi4K6!?A$d_M)g zp90?p!1n?0eE@tP0N)3|_W|&I0DK<+-v_|=0q}hQd>;Vc2f+6M@O=P$9{}G6!1n?0 zeE@tP0N)3|_W|&I0DK<+-v_|=0q}hQd>;Vc2f)7p@NWS88vy?Xz`p_TZvgxo0RINS zzX9-X0Q?&O{|3On0q}1C{2KuO2Ee}o@NWS88vy?Xz`p_TZvgxo0RINSzX9-X0Q?&O z{|3On0q}1C{2KuO2Ee}o@NWS88vy@Y;GYZpbAf*@@XrPQxxhac_~!!uT;QJz{BwbS zF7VF<{<*+E7x?D_|6Jgo3;c6|e=hLP1^&6fKNtAt0{>j#p9}nRfqyRW&xQM27w&Ie zxW9Gb{?_%YKU;F|2H8Czdp^j3?=JA&1-`q$cNh5X0^eQWy9<1Gf$uKx-37k8z;_q; z?gHOk;JXWacY*IN@ZANzyTErB`0fJVUEsS5e0PEGF7Vw2zPrG87x?Z1-(BFl3w(Eh z?=JA&1-`q$_aX3o2z(y`--p2WA@F?&d>;behrstC@O=n;9|GTp!1p2WeF%IX0^f(g z_aX3o2z(y`--p2WA@F?&d>;behrstC@O=n;9|GTp!1p2WZwUMw0{@1crY z|AxT7A@FYq{2K!QhQPle@NWqG8v_4^z`r5zZwUMw0{@1crY|AxT7A@FYq z{2K!QhQPle@NWqG8v_4^z`r5zZwUMw0{@1%j z@NWeC8v*}Dz`qgjZv^}s0slt8zY*|n1pFHT|3<*S5%6yW{2KxPM!>%j@NWeC8v*}D zz`qgP-;Ut^b_DmgBe=gE`PKKLqq{+N56GSmGT{3N_&x%@kAUwZ;QI*pJ_5dvfbS#V z`v~|x0=|!c?<3&*2>3n%zK?+KBjEc8_&x%@kAUwZ;QI*pJ_5dvfbS#V`v~|x0=|!c z?<3&*2>3n%zK<&S9+-wT6Xos;}3?sJ>nkqxyPHjOyz(F{-cE#HhYr6QlZiO^oX6H8HBM*Tks4UK6AGdQFV# zYcesaugS!OTEZ_{jqClLg`@3&c+rh@UJFKUpAtvOxS~f%wS+ z@skDOCkw<+7KooL5Iy?}#1|HbFDwvWSRlTzKzw0=_`(A5g$3dZ3&a-|h%YPeK8-U`IL6^MB&5c5_b=B+@?TY;Fj0x@p|V%`eGycLLf zD-iQmAm*(=%v*u@v;y&I1>(~R#HSUAPb(0gRv@?d|H9{v;y&B1>(gD#ETV(7b_4iRv=!iK)hIic(DTUVg=&G3dD;Qh!-mmFIFI4 ztU$b2fq1b3@nQwy#R|lW6^Iur5HD6BUaUa8Sb=!40`X!6;>8NYi6^P#|5WiI*eyc$IR)P4f0`Xe~ z;&~~#BUXd-zpHlRUm$=K>SvL_^kr*TLt2` z3dB&L##6=Z|iz*NoRUj^^KwMOTxTpg0O$B0@3dAWD zh*K&Mr&J(LsX&}kfjFfCaY_Z^lnTTt6^K(R5T{fiPN_hgQh_+70&z+O;*<)+DHVuQ zDiBjtAf~85Oi_WDq5^S41!98=#QzkC|0xjvQy^BSK&(!ISe*i~It5~N3dHIZh}9_& zt5YCWr$DSufmodau{s4}bqd7l6o}O+5UW!lR;NI$PJvjR0J*68DG;ku zAO@yDoJ)Z?mjdxB1>#i-#H$pDS1Ay$QXpQXK)gzUc$EV2Dh1+I3dE}vh*v2PuTmgh zr9iw&fq0eDhu=Ozyh?$1l>+f9r4PSx=)-RuASR_iOiF<`kOJ`>1>!Oa#9kDLy(kcS zQ6ToBK?wh`Mgnn-1mY73#2FHZ7bFlbNFZL2 zK)fJ0x=2%ViX9(C=iHIAP}QKAVz^ei~@le1p+Y&1Y#5j#3&GmQ6LbbKp;keK#T%` z7zF|`3It*lhzp+;xbRtl3!fE0i~@mo{|mg|U*H}70`KV;cu&8;d-?_5(=YIzeu4M& z3%sXa;642U@97tKPrtx>`UT$8FYumzf%o(ayr*B_J^cdj=@)oUzrcI?1>Vyy@Sc8w z_w)SWo z@UC-#cbyBo>s;Vn=K}9K7kJmXz`M=`-gPeUu5*F+nG3vsTi_kr0`J%sc*nNDJGKSh zu`Te9ZGm@e3%p}n;2qln@7NZ2$F{&bwguj?E%1(Qfp=^RyklG79oqu$*p?AopN-)9 zYz)7%8JpGL0U28$gZEXAtKUa2V|XX!xcc4kGOm7iyo{^g9WUeRcgM@P`rYv|hWBHR ztKS_jALkg}$2o@gagM9s9WUeRcgM@vhvVgLkil_1 zrsEp!JH}U`y@x;s?@%1WI~2#&?|_$a^*i8Y4DVhX!@C#9)$f3parHakWnBFZcp1a{ z9LMlJ$1%LmaSZQs9K-t@$M8PKF}%-l4DWLs!}}b^@IJ>eyw7nA?{gf(`y9vcKF2Y< zw`mOTa~#8G8e@2$Y7q~3>j7^);H?L|^?abe zy!C*$9`M!!-g>}W4|wYVZ$03x2fX!ww;u4;1KxTM!+8rV_Tc^39&p+NPJ6&<4>;`s zr#;}b2b}hR(;jfz15SIuX%9H<0jE9Svc{NI6VPQPk_@C;PeDIJpoQnfT&w>4%-JM*^7_G8g{ResF1v%o&7YNKv>;!C1m%qQi^Hj&TUE~-Dz z-p=KBOy;_f^tozVWuT~E)Jx<^c`=`q&)Y6rZ=T(weB}`+;s2d_cg^y@_*A=?U)hxf z4J^{WSJQUU=Clpn73Dww9WlZe{$r;SDVX|^*{S-O4eAI$Y{ULR}9r|s0#7uBg5rcaGz60tp1VqG)tG1Px6Q0dBirs{^1<^IfV zwD;+`D)ahSUmi78Q{TU482&?Zz02-{8p=nNZH_?6y!IYnIdI;1)gP7mk$gS@TU%F5 z~OjB zb(?J5-=y&sZKr!6SkcnDtKXTrHpg@{@B4GKb|v0dzm^$~JN~C-YOS-*t{GqZpj>CC z(bn@`iQ=sb{jIlnY;bcUP;!s|3B8BU8?xX%*yem(*|OjHyDQr`8aR$X#R$xvLuPmx ztbQl+#$px6QiL&Ee)mGSJ)h+BTic5Ah7su%t?VNIhV#2|eU8@_QHH{H(i1DEPgX7mzmt$3T{lWkI-Xh(H+wRWF(c~?yz+pQXbJHNI{ zvWs$m-Hj%X?dxvW-TpH6WcL~jX1Q5M< zV^HxvrodE9n!3$MPhISVX)zu!x}R0aGqT)#ZMBXBnd;eerg(QrQB8;YF?J!owlH;> zUes3fHY`^ewM|62cvnmNgS%>ZTkQsMLxB;c^jg&41w-^EyM+5DTd5T6(i8ByvXZB=y|b?$g*;jkx{oRg$w(-ZI?m znGrucNuQy_!lWSC?y&olpWI>N8*Ms>tp~oEn7ZjjR-o_K)#(z-lWn9cs=V_0EjG7} z&40CxMt0Ihpa(p#jcY0qJZh`7x&T&rz18LA+nu>F>j zmUpS`!w*|mt_Fc|^{Vx@4}a((%ryAPd{xKH&iSa{WrU)QZN#%w_0f6qY&s12^X0}hW6$W7wzISijyHyTq z=>_K}=o#PhwoHo)VZBx8;2$i`WJ(W^$?!lDqVO70OF-nvPyRi#8XMmQ*Tji`#}m$a zNKF-S9Br=#+iJSkb_$dCqh}ZC%`B*(heD2r^aj+r#{;H5uOUF4sSlan@#Xk;a$zo| z1Dl$PUXVl;c!3d~HNn&<-mr*a9AV6t?GWh$N*W_uc!1C!Js>i!y-h$x;hn5b@A0#J z$8zDD^04cIJ^oxH$@2ie#6uOCg7LWQs#*>tA&WFdNa;mo*62`E25u!W1&YmhC@rSI zJ=3n4PV(6KB_1kJ@Hr1y&ox^5{2AV%1J8V>GI>iK^;;3BL>DzU4 zU50N;m`L=-h9`@s8j^u)NKEA35aVUSnOK?uF z>2e_9EVqMvnGVwX4>?Q;B%T&=C#o<`6L5^6d)BW zmh$^|2uM1M^(v`loKKqi0h97N1ucV}Wpsqf54GzSOWIsi5cvJ~FmN%|g@n$rO~R%o zunwA!pea>4hsUx@U7$4tA{ib?q}xG*dx=3}xRW8pK1r!|_G$c=g6#!#3eM)01=ZFW zV1j|y(&`8Tq000_?*a;?ilqlCCCS(1P%s=(NJl|RWm(cJC{JeLI7My`F&*5l(pwY~ zv>}=pg5FWo!_N-!acny^(ez(oLM-H+23a6r4S|uXZw|lh|}3 zK~WS6p2$ko#>9joT`&rbX%K2C6`^83(cNHm7P%DK1p0|4rf+CW5WH+d*Bkeb;2>RJ zCe;uWxWqDcg~sSYQ82tNEne+5K?{pqTd)Z*JZe%L1n z1X~_pzIBc$=G*7isV!dTaHZJ$Fr8yB5?uUc5OXD7)$8$1iiBHLsU)e2RJd>Dn1h0Y z9HbABJM4i5j| zXazhM5yuiJoEnSrJJsy?(oleVgN8yR5W)$`>J%NRBaN`;nvY`07{L%{N09`bB*p}xVKe~&4Pk&MekAz7}pg)N-M5AE%5G(IizU3L;^#M_$QXyn$;r?VlMy<;0@8Il zL~Viv@;VKoC@8~E`4FePNdJ%+`fQv5_Xh&1Luf0bb(%CPQKL7+X-GJeSM$EO5+(h^ zgUZ*!fD%Giptu!5u;@Y^tLTxQ2tnzZ;)dr**nia2F=>RMP4UoAafyB+P}dnMl4gK4 zmX;!5&2@8F8h&RE(KkLm6ctmol2~&fhIp&pQzAJO1vv#P5=ZR2pg3V`flf%tE!NlvnqG47XI_d5!0y`tJfVo zvj2=kNKH;sp~nQz2{eGX2a-eL7l&0Wo~%i1@L|ap`|s3$*1CAf7we_!^`WTJr8euu zxs-qg4Oc>nKg!S%19l9*d;AkBdBjKS*7x6XzGH6k-79@Kp6= z`?lmo)c7aE!V(+AP~*D}{^f05mC1RZ6e~BsdRkg&N@G|ve`-f!CH^{BNIj&9(gH>2J zsAH+8q#6-bP6u1|MigxN7CD=$b+be%wQd~qlnWKq+XgneAlQ0lTS09WQTnef`9O~E zf%RSJvfJB)Z$+TQ^+1=Eo@o>PUal2^Jl6y9p6Vwm?ALJX709z5XivX0SBKaX(Pel? zTQa^YD)^Eaj=CIU^K2?b%i`HoT{_)rR*x{{>(yylJX?2fO{)^Uv1xtS6v2&^I8>wI zL8Jw4?91laREn0xv#H8-DXbo0%GZmMSv*}qe~65R_n;b-R8>d;!d!;yZ(;%CNg78Y zoEHY}y^ACu@sA1O^dyZmaY3PgF5`&g-^S)Zu#lVh9pLp1QmX7v?lctk zCcI59UK%Z6$RP750W&~axx-Lpg3q@ zmWv}*0rw1wu`|!R)`;+#h}xTpaZM88N5PLU{UA{+ zP-2qGwiiN$#~xc|Qz1Q5wv83%;X=*)6c_3Rc_Tc>Xr!Mzfj*+auR9~*#|DzkIGIv> zK4v(Me!z!Xml!sAgEyv4Bo+VMKmddno1Wqc0B zN)oGGrVi3Iab17K%0d|m)RYj)v{hC>pDppu<0w9>0naN093r96UkDn<9!GpamrJEf ziVLP(mdq8vmB!@xTKOd|CpXWP!i769Uh>&ITjCsy{4QB)D%UWY%uI{NPl;frVTUsb zIt;eZ+90w|XSRlw$ELQW`UciqIc#pZ5dx#lSf z5m&^CXmBe?@|e=|-g8HjnQ7tK3n?SbG%|XDg;q))Nvq+|iK#WapQU3{F=AhXnJY&d z-b!G;8Ov1JTojN&k&EKyttmMWd4vRq!;-b`Cxkq477^Z&qpeOviHT^#1`&(Oy~Y9B zp*2$~OUG>P!OCCCMu+v98N4ZDG{UJT1d=3E5JWMkQzsp~`Wv#O4q}}tAQZAiF0E>G zt!&#USFbnu-(g#L<8Y-sETnBI|&3T5v78y^d4MH0zMw@An_gIjW}YzSJ$INAndu>` zW6BuD{1^^aA`9OKbnG8P!KY(!EFH^dp*2%5a(MDA<0^il(_$gyX*vwE&{$-8Wez?^ z^yR@X@jrw!M=v4-3A{b+uN&WC2#q>Of3qkoR*d$b2(1{Mm`pJ`cgS;FLxhqls|uc} zvI0L8PpDj{QnOT65D@RM2aJ?`mIC`E9144NN9Nnhm9 z*porpCa~~J>#!}sudt<+cs}0lnVZ=82FHz<->wV}y`N{xS>3lHSz*i3EJ@-rLbeXl zWmS#~z^C8lj!ZbtFU27gRUSWoA}dg`sB9Av(rDSOVJ*UjRBK}U5RxWgVlK6v&dw!u zEnha@9zWdGWRHZ0r+6j%1zYrDI19{@Ln;OxrNyfWlGaAT>j(+>GK~>I48e5g7oAru zis&jO%GO~)FiaGOm+sV#wH}9?t|G|=NagVW;;z|;(IvEmG6QV6$V}vTCen%TVoOZN z=AqUxD^#kOV@8NkQ;4F$gaL&TlvYR0fHJP6E=w0JvX@E|D-lK6_;!~gC0gKbzNYb=vpNu+RZhgV%+Mwfvb_C3rtLBY1KNtX}PW;X&{bN zs(ehcS%X!EQ7JYg5mvMSaLH>}A_YIM3KUpF;Yr1?g36*+(raOSGmn<;F^s7MleiKK zn^t>TN}5m^$r93UY-bC6d1CFyQsR_P&Be&hi_E(UNEK60nK}k@X%3WRQA`WzsF_3< zA;zK%%uq%dIh-TML4h(o>QXOs&?G+jT`kJOkSJV74GPBd3A+X+_@v5|A`aM>BYE^w z_IXvJ5a}&yXo8w+)3ixO6c*LS5UOhhcLb1>5s}7tHP>CilIJIm)KRk4WC)R1SLRw%s{Nq{eeog)NKWZZiCYmZ8;Z-Afu`TH-`JizJ2w zCu&IJG#zD&)kR#m5)J{={WoY~`9&atfT`Vh5*3NaSh}8l;oOzF>W0S#fx8EdRoN% zcwJH)K3fUWQApF7j0j5}Mu_n!1B*DKfE=AGKK0589-LwfMLMueYtW^IM#oXQa__>8 z@k!+kC~+NpqS5fY0)CSa5x!ai;Q-i`7Qt$?UfC=$p`kiBKMekF#N%ZRGq%3^+R}C%bj01@qi?{QTi;kU zG3~XS)*86ns8%SIp_XKSl1p!Pq`^03abQ!rphgtj*mbEUTb&l??8i#TOej?lY#3isy_$y!Wk0 z*=HMG-Zt7k)+_r-YFw$6*z^f^$KQST_R}LOK|krgr_ud&zl<7Py-Cfc_N(PAMakOE za$5MxZ?NQ@$$4i#VQWHP9HJLqEQCozn?njOh}4oynT@6BS-kzUL*V*}9VaCqM2V5C zCFq-sdQW6hGYQbU7@|~MKe%X`cd=7o4*r>g7A|o?AYfnH48s|IiHDL`FhU!i9nS)OiHDL`AoYswcM0I}i3=*Y8ynob3nCR_h4mHOF`+R@V^bxBN7Q4bP;7=y`6V7oq`*?E z;5C=0Oc8VCf=Cl#b0!79vk(&kX|$D@tdeHxtiCPFZ*hK!HHDi}+yZ}T!o#oywdq+s zN~Nab44bWmJBqMa68__GMsQ0JNrPODN<_LOf<8fVK?2S1Nbe$CQ06o%GnwPp?9p#7 zvn7YF5NV6TKF)aFK1~s)$b$M)aN3bW`+#s$Z{7kkBV9%&A(G|Ja8 zI;a5LlFC$rgctUi9vL#du!dp~5aS&FIUmY8GH^JrhQ~?rN-#DH%8nIXVcmbHql8*?b|ODv64>KL_93Lym8C_6%HLyY4n>=vzQl7|Pk zC<=?9v~+^A3I@$`mxMVr$CDP7_NPR<3qOuDi(DmhSulf8^dCs2;IT$pcpQ$ILuFuTOrpLhiET0EoKgC=an>N8qj!66ty) zZSUY3(2JBGzlwe0ubxz}UKH*r5C|Bpw9Fn?0gPyPjYUux!3!j>CQTqFnS5e)cF3Y4m^Mj4GiEd>HO;6S`R|?a_wPR%-Tmv%gX@epo>!qw z`WJDRJ6!!HO`na@Xfn3I8D#IM6lxekg)ZI)Dm1~^R|pA|ZF`_fzh|S2$Phn`>bgR& z&(2o9O@iS|CxPII2lk(@l5R9k4@0Qyio(K3xOSfI;&TO7+T*Pt0f0>pB!5{Y9clV+ zVcG=URiRD(XVZWH53JzwupgUVw_rn5$__q6pZCuVlM*B_3LLGNj1!g3`z=zd1D%toHMg!VPQLB z=gvDD3X;@##LW0^5pb(ZRg8?xII^FbGY5p+g*HL8wO?*1EF{j=umAq~M@fY78Kv@r@r>EC9q(;us)YIbim7%uwi39{~(sXA^3JO!BpP(D8?m#Nxfx`6q)PB6? z#r*_LJ04#qzkK02UH$qsYX(8%NN~9Ve!&&y0B^tpwmgsoZ)^m-hJYvu5gK@z<|HQH zd_I8uB!nGRw<3hP;^~`ztaj4X)~T}mpxMeRAe9$sTO#f|eiR~GC{>vpvmiL5G6!zn z3{*1XXYHY+YdkMYWUk33z^o+X#<_;%`9XLkjE8ixFv3Z`02}QAc8pN6D{J-#oa>+m zT-=$Ip>3`V8k;1PO{{&StNivg1SmY^0g<`pZ32u*LdiWOgM7!%chc&3$`TA|gaV6+RRNy94OzP(bq&?y%G;9nM#;^jW7A2AJ%6 z!1-lD$?xq7SBt=TqZx}_~^Gd(;CW z^S^-ri(vk*>rulLRnFXB=#ofRuS&Se?~?J}$Xw}>H(KSWO@YzPQIENYUSwPgBS5u( zjcXM=|E?bX{)c-S*L_+@$5oX)>^P5^gIUEo?^DHA!GUqj+$LU?5gFGe5n!QP@7GY> zBT9V3#T6s5M>#=(vmlN%AI%n*|7<|OUv6sSGBaf>Qfy}6Y81>8s_5YA3*_DD0g<_8 z8UY3*A@VDC+M%wl@_QAKA2_>Lz={4SLZlCw?s*~=_};v?c&viT&vMAACn<->E{&6*3-vY5|M3Cnz5 z+gJ;&TsjL!Ag8(qMEa1P0Ii3V1*{4~X=ka00}8><12A{5-qF=A~BpAXV9t!rV?V#!%k1t1>wfc0Dx8bp9i zFxR;K`N$yiUa0VSNM;yeVj)hJ0~g7n5+`zX40DxV+$x`kRyyIaDzGiWrj8ooET5x# zB`}`4W521NLP2dwA+;`F`2em4JS*=P!@dzEd_ z7EzS-L}?9i)HM;~9OZDCUTCzi%vS(df)5cd*_I;CuL!gu|HaN3M@GRk(U%b zKKWQykg9s5tkE!yRba`n5Z6*F)HQw~_wjHc#4pQ}k=`%s6{wU~c))tD2@4{?GEbcI zXc6!9*lJQ>20>?r!V;7Ro$ZXlBIXhzumH##JRs7CJoXL*&PoNJL{mclFnA7?kU+BR z(LUsi!6G%W8d5}P0w7O#K%@^9Bft<(%tN47r2{2+zbAYf&{;G*Akv3CdHq((>7*1w z9=!Bad34@SWoj=i0j4_glYjj-Oy>35urRFOLt`ygtwJbuKn0-zkpU0T9uOI8vk1Vz z_ub`O8}Jb?hU^7Hbv|Nj5i!%K(%Mvc_c?Trg!1a$D6!%bZd7Jpl|nmC_7!*(D zn$8d2s-|>z%67w^#7uejl!whCpyD3dlTgX!k;_yPth!iIbqxUpu6n?FtPQ9472Q78 zx>^yN{H-_IMEej@{joM0$s23Kg9z{&>|OQrBKHaRIk1WNCo5=?;Ts!->YO1vCRHxA zTsj-o^%hhK(&Ls$c~c7Raf5jV?ltnKcSZQlHF=w@tMjLOJtFtmtgCMz zedDauH+-q6l_KL>Bmo-y>w1NvE_1suyfO%^4&3f`*$PGa5Jdnt^dXdn!kWq!1>S!Vv@WW7Wua~TPNE#N;ahr5LU|Y0VBmsq?_jzL>|`EdJCYaNB=lUu*}y&51fc+rjt!wJ`lSNr_;7JNa^{(vQAu zJ#G7OQj1Rb(@A5czkI$;;-LmUe~pXV3Xw;-C7;aa!1?AxpR`=cXs^G{C}lVc57G4w zHRx)tW6OS!*75Z^6#u0E48ww&>wUc*9Q&gi98(xn$+trig^!aM->!szT5tUvQv}_IlhCuD z`cI8pPx1A-(ARqKMIZkOO?U_Htmz3X z$7HW1!_s4<&%_=~PlU!mi%Uf4b9KwZGdfm$^A=@0v zMCqyP)G&qR*fN%x;xtw?02i2yDH&>79;JKPmRxb1c^DB#2cRx`AiBMa0$gF|92~BQ zAzfisjhAvzGSHiD7b^chxvUl)^6oTZ=_$nKY-?hvB{rQkHuH$*Q-Vdi=y$p(V8K zT3R-9?9eHX*8BYZ14{YCy}izs)%w1+us(sKS1E)~m|E0~6uI6NgNyJnl5B*Dz}EF1R1{6JvF+ajmn4z+%dM z=M~Nx=?Gus*N#woC$0CaaFy{-r3=c)@thQ+KfPXwcB3E6C(up&=wVrC72ki>-)IOm z1|yBSugZ1nFyQ;|6o)p!{lvefdAA+|>M0XB$FE3$I{*3}846L)gYJ>s92xy=QD&^< z9?z+#1@>M1tWDJOmD?nzBX}MSk6#o%&sXy~n=102vx%ro(DwM#chI0hz8=!i5nrzY z-+rU-?`w7Tw>IIokS~`+)`=4ds1Q8+l;+Fz;@fWYp>vcJzUf|9B3X-QpBAts$&{49 zgin@0ApR{MQv4Qf<>G^$Kn zX@klN92ZZRSw!{|C=sN$EcGq6nT@oWk)kg_55{7w{Ko{O_$`~u6A_n&n-_5{C^XBb zNxAggJP?`##pIYK;GA@s;AT2kGIsj3E23rd#(4kx(JfO4uU3rrbSge!!|#9m63-L` z3S8-xdb=V}E038HC*#^7P9X+0>m-pmD@La@OuV;CYq3W!jj!;&lvHURDGsIJp3|&U z4L}YdlqDP$g=GtUup8E07Hy(Af`)QqQ8G_I2k79D!qi8iCKxO&FRF|bn>3F&htmTI zLCdqml9$w&iA7^kCRP?zm(el@zwxsw3F3~5f#74q7rsXu#XRI#LhKh`9iwN+De~oKC^el*0t1B9P zD6*cfbUfT3MWao8rA6%9DKf4tBY@4nz7b#yx9k!ZPH$gw|aGm zO%Yv2C^Q+vgDF3Ary7a zHmzKla_O{{h5FdCl#MK&P5E?Modu3vt!SWFX(XGGOi5J0!;$8aCCA=MfgvRPaRaAh zEUDpR3qUr;GtdIaF@eMhg(D#b(bh0fj4!~ENh3+;3Ls`7kkK+F5+`&ZAuiD2_z?VX zD1aXcKQ@qp5{6SO(hVu;1|6&lEvoPqoA+>PcZ;9&uz`-Nrw=|mY$7ydiFt0{ff6x; zYq;QcWizz^uHt>mTx(p(TV0Da*To{$iUtONV!_J4eh;r-=wSEQX9?NVK7&~{%c5pV zV&QJpNY|!#5}nA4NO@!;Z7+`zsH{975GE+fmTQktC|6jv@QY(83jv+8lr1@y1VbqJ z;|6Xi^qdfj=@H^T-Ga+(e`4wRwox<%5SapnQv;6i4+n~l*@%^b%M=Y{Vnkw1I8by< zYav-`Mkj-z(TYZlTv9?NgS8-~=qwa$PCg~WQEmy#>1rya*#f6bD)_4?6VPr#*ria8 zz^b>(6U{qJos36wxaCG)(MV8vnCNv24|)ah~~nvR9=}vBJzr@VMK5#`9irE zZk|uYEirnzv^t85=e7lxic7=fg;;)#tm$P#DA|-&gzOPzg%AKNwo?KOrznc55XGS| zWwBOPGnR~hY}rI&1<@hOg5u>SLy4a3%}{At&}BLe!8$F0U$PIkP&ksK%*4Xu8T-J5 zwGeTraTaXk%_n9wFT+2ofQRCt=|XTM0|Tr?;9|IW33324R9spnPnlSzNwb2D)~%0d zMuO(zO0^|MIh?B+x%}L`w1pL2*jtg-AdTT#g2yl$lyKAuvBEM}nrnH>kwDR7f~71a zmy$xb3=elev~4?WW4VUPrxcEvj&O1{%E;s9mTqz(Mp$MQU^p{f>ZC|mQf_MG5tp`} zPZ&Ipipy_4)#g%g^IT#K=h!IRv*MD<&NK2@xw6^B2tkyAtq(TU$QC4s8L;#_0<7I& z4G|N~hZ(9`%5-Jf8k@j^B0zB^cu<2(y_ig77SzEJMN8ldwU}kj@d#)r|M)T!I+MXB zNLNIN5tdnv7|xhU_Y;DJ+e>-!%71J{&fw`-R3_^hjvRuM=gGrxj*UE=jRIi8(GWHFmoYSzra1w{b5)K4tR%sE&3iH#%M zEZ;_ku3REYOhg-OzM4oKI-Qi>&@&vH)zR(#I&-RIoi!Sb2!2MABvTMXF{o1~U3xms zk~)at7@>pebOv#~aJNQn)pfh6&eq%2=#?nHjZ5WQ$gx>w8%?#fRvrciHg-!QJ4azQYcedBsS9IcSQyZV^%Foa z@)$bI2SH3AZ68}_x~(OA!-$ZGxnp%0Nv6i==y5$P1?HC+lqx=-q{6(z(7}w8LdF22 zY1+|o3>e4G zQK`~40z0caEEVT97Tv7g)YMc)9#a=EL0m7G#>~kq9XOHe8;K?m2k%4s0D)ti;v5?@ zaJoptSsGKSV^Wq+jE&AB&LZc1>kr>@UnRKqmL#X&RG2O1n^prds&ERV&y&DZ~*u&4vk0&& z3AL>31?E|qKw3d;0~mT^fo&>2mf_*UL{`pb0&#jXn!Dnqfdyui7Ln$>c*Y)HoJ$#~ z*ans|lChL>R(?@Vw#Z90l@#uCvM8Arm!Be&Pz^HE`H`4tb&o_bjgG)XE=M@RZilwi zHai3XG{*x3C+~Qcl*v-5u}ngz$i&X+1%fyo!hi??($|X<9jpLF(}z0rJ#vOes7QJU z5~mmPGiBj;V2{@xUR;ZkP(n#z99bb1IR#a-goJb%eTm^zbu}VkoM*rT+^QD)m2#^_ zk0_IUoQYbe87>NT2q@bE&fMXU0v{xn?Gur@75hpAs5D4o!m)3+&EZNys?x?w%OfOF zY|WfI2+NI<6s#};YM`YrmXWMr)^et7*M5(;f%vr{wl@5fUpGF^Ua7P@$1YK02s6~W zS6D;L#DRaW`!Jec2@%&+M<-Lz_}O3z4Z z1k}R6)@$L5J=HLQ^BGTh-hFyS`j9`qcfYCoJj&Ok(`rSYHs{k6(URo1E7kS~jBA0R zO>HN8SiZ=(wu}Iq{A+FC$@lID-lOl~LtJ(BB7JBD(}$WrP+htE6u|ovJr(rU?-%ft zDc+^mlWnroyIkQl)_AZ@J_@vn_+jA5HVKSf;%(wLQ0w{Ms3o9wNhq2BY@4bzCRLS= zQ29?(R=^YQPbZ%Cu0%=Ut>GBLg@&BvIW16-;Q>kpjVmO^UJ%pe*G9N(!McJh+3x0t4b)_CN)vr!q&bjMsP_B3g z_Tas7idRNufuu>k+HGPysB%RD;)}2cM8-AKdp+E_Po#DOlo+I-2CKP2LD>RPZd4`E ztW1%xA*QrD-&aK25oE&}=TK^o{mxnCJXh#e`@F6^17=^kYzm(1?Jn(Pi}ehlEuR*9 z+A}sep_Sg=5*xF)`(94S^*WXc9^6l*yv`fmVNlr{_U(}EJ2wm{Zct(0Apr`R9uQe4 zPbYxa$L~p`_|-34jb9Fw0v=G#10sFsZ34vmcpnltD15vC;Fcok7A*lKp7wx9ADTsg zQ9j;>NWNb~;4Rkf4h9u5vdMh&@&mwL*C#oEZykwuAB}dbPx0 z8P_Z1jw{YoRIKkhs*iF;ae&7S9uPUl4Ix0Uf1Tr&7W-JC(7Tin;Ck7av5%*fon$eP zAyGc>@*-3Lk#Q}IfcpE_xQ4Pk)1>U0z~S+YGTF-=*E~}NMYOVh;0iO6 z+>%6I4h@G@Ob-XGeAYqxls6zCQQQL}=v`cIlL9h9Xo`}Gy2gg8-|lyoB}6{ zJb7H%g1iE%1QL}jay{ytTw4-KMKdx9=S1_kNM1=s!Ioh%*!`6xX0+{^QMS=Mteh!X zt~|UyK=Pz})0Vh$v#Hp4P% zV*7BzjE>>?dh1^; zM~Jdl4GAX-*WC8R*wrHtAe&yoK7lxo6tRy;2Q^0?aF8KDuCNCHUL)kP%5ZD~5hrpR=gT4*w!jdZJ7xGMhpu(a zV!}ao*5_<0WS1?E5_0-axfHi%Bw)^5qWgO(Fn8pw8iKEsHDN`zF@T5hz+ILlsf5 zPXV1dLR7CH#b{t{(;+5jE>m<4Vw|#2h9~kxe%V|y$z-T-%D^7ad$=_)T>BX}W%j3$FUa7KFA~Jar5pT6CB@fqx!P%F` zYQ}1$FGF2>LAvcf?PU;N>r3f>+N)IX#hJ)=!2D^p|eKLIBSd5 z$YDgN%y}EM%(N^*z!M>YXFw(@ZX&@b1oPL1tOAh=3K>~a52YLnnXFvIw9@#Ko za;?o10Rn9L&_qlpLPnEv39!I4kqXLV6v$DnXb6L&IMG1QCy)id(6N<11KRg2LS3z51?Wm{GNYtI8>-sbX1<6}2jb zzxQXf(Ib+)rY(w=A;xkJ*H;smYaI4j5oHh=*ZlBZBjpa;Z{EQEjeQ>lD8xJ<(uXDy zKyb*3HnmbVv64u` zUx0FwS5j!vLD(KHNaq|;=05N_$?KfP09VoRfXKLJAfWo*c(0Vb-AieF>?UiRHKgdY zy4!0iGBSn)8o=$%AgPdKFlAawP!JMu3?^;h0;qro%pMS#YrOVaDgD5W*>dZQG(PyW zHI9Z5)=~lx6+FP5Ye+_Z@pvcnn0TGw46qa_4~UFw=8hus8o%$SF&fpCkB1ZmFyXzQxMb z?^}6FrnwwC!VZfFJ~r3HW5H1-NFWJ#z(o&;%r!X#$V@`X?s~tr{2E}x!~$Ug3R5#} z>5+EN2F$cYJj43Xo*U%SNy>>TkVFL#?)89qm%{Lgq+&CTfIK)H0M^${{TSvoeA$rd2gnH$TTaQqi=^ySuXjJv_aR2gu)5op6#{fBh zU=yP?kuhwhEQE9xI02CV>01=*8_Fc7yn`?P8OS8@wfFqE(xzV z6xVs&^l@(^^lIMw{~=VWcE#TiS~`8)^#7($m_9zQ+Jb=#s;;eeu-XlTDi3^OAVSp# z4qSjx)$D3GzVXDsY6GjoA8oOM(V7)oMlaibecIGp2xVVgyBDE@t3DeB--TWnhKLJ6P*s+!p^H7N19 zfzkaCD)xLvI{2bp^-S>J;40vYpuT<5gD$=IOw|_HCG|E%SFZPQ9}Rf|q1QXs`yHVt zuJ(yT=(Tyn;a)UN-0%H{B{#WCp4@Qhv(dYnY+kqZ6@=DaU3&$`xX!!iI&Xbz?XBHc z53X8!wc+*gS3b&k`ud#ffO~cJV1$;vItr+t&S(gbk203My7A7k@ylMtx|IwY3pYGi z`LM>BD_an{zBvQpS2u6?1H61@<8C;@9$w$lcT0zruWsHx@8fqiP24`0n26B2RqG}p zw0`||sOk2(I9@Sv-J}&0*MGcz{li^_TMHi-KHmKpp`C?0>9}wgLWPeXLcZ|vPQU=X zkgWs0Bn)4ArDGPxKrqyZh=uh}&HXazlXa~Sy0+ra-U!{SSMnNYJYOpZED1ebK#~6u ztLR0>vcZMg8gWaqs*XlzVl|>ZLbqS|8+vE@&}K6cy0!Jyh9O&*v^aPx|DXJyxBRp7 z)LwYX@#vOcwm>{D|1Q{jeka^Vkh}fpmXlkK<{#bibKd&=ytCW$qRt|eH$5*8;`Kj6 zJRM+=KMSz4dF%6FY*99J{j~ec3k}}<>@ggl|HM3k_-3cBA0Tw$z0sdSta}8oo8A9y zkl8J>o33{MZu)rWPrZYaAnu>p4Wa&R2SBW^S}dtp(!tE^-d`U+{PWj`@gG9ROz~mJ zGY|%I?C`O}_}e-3_jB-Fz#;I5hbD;d4}yV)X;8=>GAJ}Tt#Yyk+GHYG&=Dj>%^?t) zWM~DPWl>Z|Lt2Z|&7o%?wCB*eR+qkR{`Ds4HDj}GLQJe`)fU@G%s{AM+CK-n2aTP+ zE-P(NEAL;kTkW9HT8S!}z^&K&Bp{4*-VHYJjZRvtq!?0XP1< zHnP#RH?CEKW4YS3fpmOrBtma6`9CqN8phJI1;h}TkFUbvfJ+z-fgRdec{i3TktQm#$^L|hwL+`p7EvT(^JARPni<&L563_y&eZ+Ta!3lBv{up z97ed<`i2ZZzaCPl&U3|{{-|=Z*&jxXUAAl4*o_D^jBQX6X3x(*ia_X-in|~lyK6*j zvt`3-jaqWO!}ajLG9PE&gX7`)ox5Q)dsvFVua%CPJ;BJ`5JO-nC~}>)kJW)V%J(`lU)tDzUJHJ_@0dG5Y7> zm|3{61VZxw1~YC6y-^=EuhfE=Q5mB$wq9>|eJhN(TmNF>QQ#4P(eYNmY#p@~zc-Bz zT8Opq#}637V9r-N`r)#IMb(!bjef!mfBkWe68PDAsICIX% zcI_U*-^g|kI<U&eh+GY=5xu3< zHiU-hZq|VnP<(70Lh)hcb|g?Wg1b%w9;8{9^X_-sW`-<<(Oy@5=09-sYzqO&$EQ~S z{POkLV`B5#ZR=G#=wZ;mL4O2o@Aol6uSC7F1=^uR&|`$EJgfp^SHF+j&UjCS`9^<+o)Urp9ZqR}NV<6*twCs`I1Am;D z{)6g}@P}h4;~$Pq5E8X(T>JBeBesssXa>jh`rqC}sBhEo@vtJyTn0YB(%}7sxTy_m z55n^iI{X8n-TzC@nJY~Y`g8C~=tp}mPV0(L*2?Q}ls&uh*{r?9>ZwU{_gp!B<@VK| zu7CLlLgTMay#aMTaN-I=KVA6=;{AVodG+WY6;F@fbL4jF{mk39Z`ZmFtAN{?KOxlN zNGim)Zyy0jCd8Sy>mF$jd1b?*d)x0%8#t}sbnxukpXR~5{>&HK?;bA3Fb6?E=)~rexyN(o-P>|uX_&b<5kDCog)cMibOvTu4L z7&$)O)E}YzxwC(7KQZNU1(1x=|^lgAp@9#f{ZkNz0c?pbpo!7mDP>uOd zpW0w-(|K+89U(gn9~9phdOH-MBk$jSAKGE(PB;=iDE<+|w?l7lxLtGqj+r~6lR7r6 zzZL$VhFc&7t=~mMo$GHc0g(EeF>c;>Tj+6PY*v4a{!LwOJnvxyr;|e zjiJBCe4hfoF8foLJ!AHrKQQLpt_RD`F3wxlZ4p9UcXZo{P`8HY(8G%lpw4eS#OwN<4?lzW;m(K4AMSkX z+`~ewTgfo2)@$L%GjlS(g`;l3?W?O1>hoz*7_><(V=aWz;;*fKA-?w$*H#a@mHOMD zV}siEJ_@t!;oEe4^EWuBKQ`zv#7A!*z1jZ$H}?;p%g@_+?%cWjF6W@7^3Uc&+vT5w z+F%$HpFP(tzgs>mtZ7LdLq0}L#pBmY;&*7LcnDxg)+b;CG`rr1*exRxOUOhr{&*pVP=2O?OTa1{N<~D^&Yev~<;# zyij~pxffwnx$^3Xo^ZTpoKJ*#z&Hwy>2v6(ir*RHpNo47^A6~Ft;dw!m)lL*3)X!V z76jwVZ`Z(+-?Um!!rD+1Kk36~Z??Gh)rap^eK-8w@aackj{o}OV=!KS^pM4KJ^>i4bXV~ecfWX~@?FoR`;c{p;t{@cwpbEsh?zLuX=6kACK>Ey?_0$cMy7f z@4^od|MddY`Tk$8t$}#!<8v3z6#nt}FRWY1uqx*xs%A(PL(PdWlFn{Q!RfUABZ#YL zY8nx$Ilal`rUpY~_3T+ozxjE6h3QLc!|3tL(w}iWYu3-O&j2tO7rq$0bp01cXZ^A? z|Fe0YxpX8qVSc`vNHFT4OfxXZr$C*eG>#M1I`0cF{XbDo>sZQe`YVb;9t#@z}#mH%*D z46L}ee+9F^#@2H?z<$@{`A1-vVsdg?WL~Ea-|0PU)3%QXH}1P*5X^9iYZB|h$~s}& zCRouWjRwe|ZHb!`pA6YpJ>l4_W8eH(;ar6tP{Xsc#z6eHL zcfR=n^2!Fy{wmk2U2c9V;Sxf%-b%a)BU8UBKf!Lv-RAq@EcbHa%LzB@#MS9nveT#O zozm0O52VA``d-VHK(Y3JknaRg@J)Kl_YQQbx<V2LE79bHo(Gh>Kx#3^0I$ zkK~2Xr|!(ywxZdho8YaNzYMtoE5enJz_MD}U*O0dloN72@lxs+AFjsIW|c`jnR6y~ z%kg0dHRwZum-Va9mqF;I&Bvn=Dj2A_OC`-6wv0I2>~z>6{o&Y~I#`pXKcM5YVX&5t zIU5UmOZo>Te-6HxvMxPx?=$<-dsVoY4l`HGgihn(e5df4eK4!^3jZ3%F%!~z#_TRx zD6b~+sdUX}jabp{;D9wSlD=JR$`m-}r%#4DU1=BI93g5}wtmgT^|RidG;qQjZ#_FC zV_e2?m_r7x9f;7XfsMw&s((nor(liy#@c>W22Ofo?ZB$>@tSJ!5XFNH@$d(6RXyzH z0t`lFfB**mjDU!u|M1BoK3$|w83DpzFbvKsjcrR7UrQwIMU}O%x7=h4oNc@xr)>ah zFx2L0IKPX2qU-vO536jVE8L;voXFM@#cCggmG*nO8zo^JI{OW@NnZG*>Ii*5d_n1z zeJ^#IG$*`u?LI3aI}Av91)=6uYr||&xw`&kIAS`qgJTWV)em^JPf+bX)oc9y%rDOl zZU07lm@)3u{G~3e0){?w4ORg|>%0LqpKzz<;Gq|uxdU)z!>7p)nm0J{X>ttgmc%~5 z>zCLEpF(SVdh&nCaCDr&Fx{Bg2TPAHJ^y3wg50IK2z{8t#0$Ph=ydMV99Z!HeCfhp z=YE(|uVKT6TN-YLAFSz3aXrXy1{edu&@Bx~1Bp;868>PIVq;u2I&Z>2e*K;3-!4_X zvTpcQgcj6{{S^q3(rUu2_d)V9=s7pay=s*g!dmFN`)gl=_4c=5#l_^Psi~gK;hqEz{(4G8LKYM zJCTz;w=zOg^$S}=->teHJiMaO*e=fR}^yLGOSVDq;vF=g- zW^g7R+?SC_!~@?(4rumAY|wW^NoU$_4dy+*HrfB1vdlPT6~aGr@7oJJq5HEN?# zv^E;Xq-Y2@HsUZX{v!p=$4ao|yl`e<%NY;nC^Q zCC{IEsqB0h4^Nec6+zIc7azfX{P*8NUCPd{->LlkgQuFFsx|h`=YuA|+8sv8K_6rS z#qHa7Vj*8xYb?YQ27OTL@tr%Fx8-|V9_4q5XPUgw>`Y>dr3md?hT|3&&m_XJkaz~h z;1-|nThd}_i;FFmchsIdxj3$4+z)Z!o9KDqw*|Q!v)~LSt|R0Z$K^(!j9Z$!AUYv~ z`NO-w4KwICAp!Cj%0K{`&@jU=&0s*&4AT(!uhq0xI5q$T0UYuOAckqAq1ChsAFe*I zbpLxZmv4k~#`E7S0nf))eGf*ZDSGh5wEgGL&l&r{Pf6o(ZHN5UxJk25GLL9xLO)sY z90j%bWa;bR$=O3-ZM5x!t1AXB8u`V+(U-1W8aOQT_n(GehAWW;X*j-n3C6Kuw}1Z$ zq2Ybf05ZKLk3pbg@D7I3F$O}ATZ`qrD(as|TQqVS ztnONsF+kk>{^t-k7_oB#^rtedDolIna)ZM#F2bmja3N+(gH_u4&%dxIRheqg3)T(_YPELI z>gQIz+-v8A-Q9MU-`-&--c_0K0OG=4u*NUHvpnRx?R@3^UJo7?zV%RUUF6bf7izzY zocip-p%vjSf*M2N+`Un#b|K6pUp@|U?lv!c@!M~!w=&4lY>bIHyv!&{F6PGk3Bf{&41Uv`3BZnw}0OMGpx+c{tUCq zufJZy@qb4y@4t2A!4ZHf8{VA%=E5hxd-K%jH0a&Qbo}Oo_`X`J2F8IC*Tk)X_`51~pk)#U))@#s1W28@@2Y%PrGF;#NACh>(s6%!_5nD| zI{<;d{u!AR1VsN}yrK*gjsb!}5F-qMpMg3#`quq2r+*kwrU2GOSJMx{^}W}B1HIpm z=z15fY#nIXuh)?;H&(d=JSei%lpQG{vr2Z}5B4AUorKj_*0U+FpImp+F2K(2{`I{U zWlNR~i|i3GI=VcF%BqtM0P=_QiY0TDpcqcxiGx$Yjs~)(>I}S z!fPa>!z?%QNAt2 zZJP9%24}Xr`oNgfx=GubZJV}f5?XfI)n(r{s|9oH8*O3=kZf1HG>T+bQ&p3NAp0Vj@$P*?7@pUH$Tpj?W}d zm=p>7QS-DH;eMq2sh}}q=)J#TzI&%wiLBX6v!;V32+gmr9kf=vze!|1>_&W!=cPK! zvs0nxmpBgNT$K}L^Fns^ylBW9kaL$Bd9KgJ^f91xR`cS(`o%R0_RG!Beh1fdj$T*^cVTT@20nVQaL2PdrZ#N<7WOOp z_3o#k9a9GW&=S^=ck9I`A#^oyWPiBpK{w?l%oeTw$vULjwCND)n)E{BW5bROJ2)Cv z!6S~o3A5+NF97wiVLuFmmGiKO(MR9h_j>lo?%S7bKmX%PdEKGThnAj)_0QoSmuv?= zEiD7__dSkO=)V2z1?&rD!^RdH`(zJ#yYu(BlZeOpuiUDF)KiI=Cfh5X>DiL+}= z{blOt`wc$%Bq2V2#F}^*f#`Gc!42+z4n26pPcVN1Mz=bC@F%_FQ;(z`$)x{a7Z_5A zQ&VxwAOTtEtr^;QYJm zl10S}Har^t0cKvYvPPSzW_vSV=?d$l?foEr{Cpf}%KJGh8TKIa`fjVM?fX)VvX}CI zKeqSVt9$lA@49k)-y}FEE4TzR#MKj*=D|+c$-O!ICSAYu_o=^Y|M$hf+5>72tPOpr z>HvrbzVYW%&_`?k3Hc1b+^GA?xPkw}x|IxdvX5rxX74JtC;_35w{BYldv#N1!%VmJ z;KpKbOcvX;X3?5$Q$L=1rQVgsn^)e;-I5C{!Z>`5C^zoP({Nux?majvC*{tow3zk}U~)UqMt^wo9awSgehYkfF8UhG1={*6{+zw? z`jzmn+9gCpblMuTwR>ln*XPHMg1g-(N5JYfW@{?c>!pP;4J(Y=H1&y7*Z=+N(blZ3 zS!HI`3RaZ2ozo=YlzFnbBCRJ+fJ zh2wBZ>=cA5E~yCdg(Wj$XDoSg$)fJ9m#OJ?b?ej3OT*}W zdivbM3#Pw2ePaE3@J9!@dI14Ou=@4EBamfc$N;3iu2pKQlvcV{DI~1jAmCUBeL)8> zyqW_LnUb24GVjx0Kiyhy-iTAtFvC7^w*ef@g~vXFYwX7-!SVUsiKz|#G~WC97TqRu zHvDj_-{FMmjiFaOJ8^z%*e6O){2HOqTW{Zjp4v9-?Phb)Pc!$ulHD|Wbi|-JqhZDP zM2DNu-=3({6pq8ua|Yo!;%3Cn_Dw21(W~t{t9BRvyY1g?FXB1$ZRkUJ=O#g&+y32R zImF-Q&-?nFv#au8_EI)f{i60$eU|FKz(-&o{qXl7{;=v7Fe(5H)}0^pnUcP`&xd`& zQ(j2-b zd&9jOt;1m^sr^#B*Wqk*aP8h@Glq0{s`Z6~7Z2V({p;z>({R_c)sw{h=QH*FwB@z%{UM;3yog9kmuwM zFeH9x)sek>^?jo^%)l8>B)}Y!F?0Z22aD?k=DgmUNEjIpw-aZWE>xLTQuKNX7B@g* zf#4Fop8~rnMk5^mQLu@EV|s^$h8UwUEDHYg?LYi1zE^tt>YZTMo+*>wsHFxVFq+KW+i~-nN%~&Fa7}mE@iuT*@e|Pl0ewT-=jehw<;U46cZx2BzqTMxE(cI|w8^pI>$!?iHBw|3Zc6D#ozBsaX zdhbRs%Z{uy@>OWNT6JOON>6&q0Ha>-;jcD&bzH4CYGK_b8j8?@0B0Z#6Y4dr*AO;R@ZJiJ;SjdO z^qva_Kp^41qQT=+Mh$+WVy{u-U_5G{P!*2YaiQbk+HZ$JonfupDKq%#niEFo;P{4} z`E_IB%dgkh8oC~@q-sImxRGD8GR*z8XZ-{#C+gSVXIJ^=&l{R0_m;f2?Dr*qRJaUd z^q=z~uJq}Wd$6wmeJRAtFIW7%4t?x4hl*24>UW z1L6ufAR7zE^W*10Vy9BzMIjy*R{YSvP=+3lRnRI9w(B+r!U6grrbPd+a_ZD78CdgW#T3Q*EU~O9X(m~)Mx(s*;dg1OSHwI^))Y`ovIe8}mssfy zH^Fb>=*&v6;E{;lafX%}&l8ko9Sp`lqk5EvLEcdqI7pU-Btt0DN6h}Xl^7mA4(+bl z{Xzi!vsx3fD|v++#vf&3`U*SGKey1IhtE@l9_~5Sx;5z3JR8iG&e`0EI{dXr8&L(b zPC*Zy-Tc6Z%kR1GJ?Pwat1ZEZEhi1VM?I|7zQ7@tF9SE0tcG0 z&&BQ-Qm5md;eY#rNiF}&FZ{2w6D!5&{i0thxDP$La{)C%HBy2H<-+hyjMKG8A)yXY zl$G|Lv|QeVw!(}P?;M0d2#IY*=^FURG?}62!-H@LxkAW{n4I2%c3_}g8 zH-FyLqvxvE*Z&ljx${TJ0t<&tJK-JMDE|p{y2LaU5LxRpR(Mj8bB(Q7;;zV(3L1Bwnw& zHr1#2K?y0ZM|Z*g2O9f&PC@qGUn>M@yt?ce+Ru$Pq6s~2;l0ViB{zK2($J>R=IZ9= z`sx>uL)F`=VLeudHp6xS4IY;2E!CT=Z9{FVJ$yIav9;D8CGpOmzV$1}D!X>Dmxr+qR#_K+Ue6Wb7r@5n;w9<3gywegt~BZ(}!ed;dq{)n?5LR ztWq$5<1d2aiNX5E03A67#r~Mpy^63e+4NKJufMvk8tFOHR_W};$UMC8DlokiwY(rEa~JG--PG!-?P8^jfJ5y&+ZUhPld--W zmfOyC=zEGs4z(jjFk^QT^u&pEUF$w5x+?B!efY7xXOV+j545ZUy+<9`@e$X#F1l8M zSSt5c%^m}XRtG266Hg)(-7U&rnXhtX^)Px>PH_>is3;F3r;=Rsy_J88i;9a@7p>08 zX}$J3Cow!HDi^V7*Hpt1DH(D60iv3(T?2+k^ITIc2s=T}lA2^yC~*AU|3sW&6M*)o zvZC#X89=Tf0*J~Q$KXAofl$4t^V)q1zXtaV^nJr6+Ng^e{nChBq=#VS@q*){*165` z8>?{^_Hmx3)q8t;+4EtrvYwsz0N+c5=_{;f(C$froYQZKI9_s~kzfNtTXvAWVFes1@Km4)Fu!2vl={sVoA4rV*P z&>8jjYJI2bqZw&Sk%!J|Vo!RCwL*6jz{`@f(^v-@5rkf>Soa*yYelg<*WH>boW=F& z(-8hXj#Lg&DD^{iEc^uT%cr3a!0w^?p~|7Ak@}&PXP2C4P7i$+pAIi@!`6QI4Q8xG zYczja37nB`IZ~f~c}Cq?a_`AZ&WpD%UbtZQf};x%Z6?_VY?a);7`DoS9n)Y%E^q~{ zRkBOcNxxRJ{kfCYXV_<-xjx&#O40Jt!hD~m6F9p~^Rvz8t!-MLXA;i}iK(l64*T)B z4hvZx7LZ`s zQuV%FnEf#G;m`K34}Yp*)n9i0a$srbLwM5KOMySXCuirYWZX+Cb+@sr?S1ZE@%bYB zDZIUPm>1VS2JPWq;f^wYe%Z_S`BJZ;`&@GG$xH=u*>XQzR6Fy*-T6ycm|Y-;yo(wO z^HZWyaPR)B!2jF-v7u!XG0i30_c6gz1XNOuxzm0xd`!*Qb z^x;@Mu<>0eWOd}*=+~HSd3*X#{GY~mRb$GsDypmlJy%6pMfG0@e+u%dga)@Tt6%F- zrhr9AGY}k4daPwyI@nKv4uSy1>p;7C`^_Joa=4?U-^RVrT`KJ|7#$q#MEjfdaUF6w z6jo4ikbHXV@yQo+Wrp}$AYUB{mBI7bdk;QB%xZ8yzHDIo*c!`|%X3mP?%ut3ukhTx z(A0RuRm26w5xjR7ayaxJ^7pQsjSGxRzn2~teWdhj-p}t}vkKrh7%Rz#)cRTa74e8a zYJvGb1I9{9-~FtHT%6ogRU2|U@?Bh52u7))Geg0d*^!~hM@ELAH^xPWnuoku7!n$3 zP_wyQS6A1d92RJ0MOiZ>ldeGxG>L((E`(25`NNuc%r-s_41^n)yojVij)ng<56{ZD zj~L~EDZopL!*X~1Ef>o?fTL@i#+%_Pxv8k3Kb&UCId(dz4gYzN(@0Xpd-WazlevqbaS?eQe zJKxgD9I+s^PAJ93gEf}$Ep6Kfw6%4qaWdbiwQ)=1CZ;u0lxbNGj%&Q|5_+?-jtRS% zY1xP}zf7+QD?d}qY<%hZQ!L{<6`0N>nCzR1m+Sev9!ToUof$%2$3M@;|D1L zjY6fcvx+i~|ImoLW&A~%BYtKZZT{JL$DzmS#V?4Vp9G(s4S#9uMM1R5y!TN7bEFx} z>ExrE<7ZVp9!TL(M~ww8ybhVq{;nKPcQrQdEu>E))th^vhxsBY7q3n~7k8K6E|5ts z?JkZO*|c~1A@Aq%9q8`T=Hlei?$It`X5-~zF1Jkv=T_Uv%|mH@ZM<-W`8qRbm6>uj zGJb1q$e43-(?I^fnt{B5r~$Obz_%LUhwlSxkiRehUL}-)Zy&yY_-0b_YL&?p>pc%J z&Kl@D0*PSS^=ciwDheij=)pllk9I#Yt!sE8>&Wt*ILgWrUob&9iJgguMHXcz6yhOP zl}$i%q}nG?c&V()2U0*moI5=M$ZO9|#aj@LmipGXCAn1T^T6WD@_~ev(OmllUXzD* zcvhN>j)(8Gc?O)D@ga(#QkkcWX$%khOpNIV^xuQ?OQZIo#w%uMVm^MR)u}1LM{i%Y zfBWj4#k;|`gOQNBw_e=@M!tHBRwFc6`k+L61VW*JUNRO z%Tvq}T@+k~47qMRjb|XhKqC1^@-rN{H8(mc1jFv)oMM3%oO`AVc9*_ZD!8Tctd@cq zH`@|!^6C4}K0H}2v3%M>A^2XLo$TOGd@%eQz2YPVdRVZg;Hbx;pDmBNZHuk3ly4;d z*a$pC*0x80$4C@mL&I-qm}4WhNBoXh;%KSoaNUG$w@x9n^_ zidl(`TI$5BxLF^3yz?ONhlk7N>fO#Rof_kw6B1VkN%a>={Ulyd8cJ(+%0rPb7LM_~F`KkF`j_{n2$T~+&;#DE&%!;`qv38&!@2Kev-!W_N4t`GZ z54BGP!U85QLc>3JyjbVzFES5r)bo3J;TI%KoA?0Y<8+LsKu&ER-ir9pU9Y>IxWC%^ zx|@7Hm1o1eF=MWBDJytVH3cD)UUB?_jkZ`fstP@wFXxS}sR>Uwzr!j8*8}3a=bNs^ zOr(MZaEpQi%6~v&*LvV;i&ZA8Efi)e^f~WwcCg>Gv(FJT|DWyf{6G5kpv-&h_n^#% zZWcQ`-12+gVgxj~DYi(wXl2onRib#Zm8&g_(Hg78S7BVRO1ub^V$0Q{s~c8}ua3xx z;s^`Jeir#?lZf-^uVK+7N(B^lU=&W}pN|TQpimg(KthfH!GGt+-?1n#bO}d{bHzBa z#wMVRQ;AVPkvwx=-SMKm=x6l(yj^+f7!CRhlw+jNXAhaVxAJ;MAXD6)S zd-qb|7aW>%4}ObL-wbA*+g%%X_)UaJOx=I=1q%zaJS@P!K4WrLKwW@GfQT<7EPw#g z>1Y!|LsUF0G_09G3%JN~uM=LUDrcrhp%hS5lrT%etu29(&UyOOn+wEM-gXU|^1$Ni#i z9G_xLRt*{`ptFuTpOVp$q0N6vZDIL0_Ap(cPm0!}*A9)5)%4r`{O)TU`7_!yOzu6I zsdH!F&Z|!ncYeG9-$dac+Tl@OCu%&gI}!Nd#^A5ku19}fby4*hbFcF|1}CBSIX$-r zwwk!|G8@wktr;h~hunx<^qEGxOgrcwSrsJkhM-$M? z==euU!^uJdEE@iiHKv5x>tA`Yxa)FY8l;L<>2zpp*Jr&LZDeij!xR4+v2gz;M+=&= z7$2q3H*fvO85+2hHU>EtI=>yofP6zXbafAetk7_2# zb_9w)vOS2tY`DMW1L7SvNTWniVHr5POolS(xxHZJvh&KaIkNfQgU~^8Cib?t4QxH+E?Zb&$zq-Uc5( zev*k^^st{X6x#bFo2g7wp$RD~E0cXmgvU`u1uX>{3kgk#c#W}6%V>%Ky8s>K(GW0U zm5Ln=0U8TU1dK?VIURHT=KWCi-~rU%!FH8%@QxmG`iU`umos{in%ly?2WB7BTMb(m zGiw!Y&rkF63iLtdojrLv3_M?x)da0*BnvKBU*4Pd^v;7P*LVvHZ^U&M4i$I9qV2xX z4SwrBLw2qMjZg{+t@}*(joPs%CHH>*E*OHO8cQ2Xg-^fX3AD$&pH-bGdHYzxNNNAG zY0GG9Y@05zi1~FXKoMOt^_frRs@tez=uuf2JRX@c?pt||H<0G6F$tl=w}MNsj)9k~ z$s#TkUaZj~rQ!`FufK?W?C78l)4R;1FUC|P;T*>(-4I-Of3OXjuI`m4#z=wXuE`xY zUdX*9pEnjV-@ZZLRC-oDc;nUiM3Br5pj|b+HI@N+xqhAs=hzYu@K9GvtKGb6WBWnq zAgyR8XezCT29QQ9Y~K>?RN$hfm7?|Dxbbz|))31#OjtC=k+Ab@8|!M|!?6qmk6yM6 zv#e##t7*j9$xU*Oa*i(TDUO}68B^0iCXL)@_83CZiohm z|D^Ffa9F#0u}ER!IUGlXRbu_sA@x^Z{fHQ5rCUMZ!PPb5sHuUTDP-6WA+U`)m@>+9l~0N^cnj8<@#Xa*iFHS)G0TkVc(ZK<9or zv`lhmGO({RxxcSpwqLf>iQmi6Y4<)kmnDQ>xBJ1LjwDS3|{ZYeLQ0CD$O}NM0`j`7r z=KXc8u!{$q-jFh{gLItSWXrUz(K0o!eTXtwZDCprAaSEsfFIc)`1DD(@YD(`}fa_m`TumV~;wlER3xb9-aL?SI`DLbQ#19fxSD+fX7?P7~CM4LU zcjDkuN!w*>W_#t%UO}#<1ii3P3LXs@{B;fE?#|ynSPf|PMGw9)otPgO)m;1(beYk5P|Nn~o!Ww&x@y{8G?TtLCDm z?e1=S1RXCi*BO))-y^moN5{6UA@`olq`GR^VKeb%VrCNXTFmzZep|P09h9TE>MEev zHwiO|?`z(uP3bvT7NckQLhJ?NY854b^;uKE!A&|-p27#?a4t*YN_|$7B0MLWvhba- zyug2vcvxf|#}R&GN8%%f?}Xp}I+fZ+#TbV{P?|!8tfpS4O2f|_>_};|$DBMvLT=Qh zbL7%5LwCf;ynhJX*pmGd{tcg%;EmC~mzTFZiSAMkCUwGCuMtaG9Da4H610MlhcRp@ z@$Lxp>&y+sXt6opEvoI+_m$Zma2-^5(^-8`v(SHG2TD80d@Uq|7+0h zf4y%yrSe?mI*p#Ehs7`x*<%M8H5fD+11W*UiY5MN(x z9lH`w?YG_s$Ykw)wk>uf7PAJqKI>N&!(X~OHdg2oTex7%Zj>=FTqhh6IuYTJU4k)} zE+R4{A~jqmV!`}AqUB@5IN+>k+qN%XYrPe!eGNOP6skT0kUiaLd8`eJe-rc z)ges1MFZ$0A~ZBeAisf$^3R)}><+ z$D&sjDHlrRimy<}MT_RR-h?kW=MHL!snjk;ppK7|Rz75N74a5pT&_Yz{UK_1QcWHlf)lk1z$uDa?Vo6yYIK zPl$&iBruy(h^o@*rL|2-?WUIbVXTbl_XdA{(QtA>bh%vKc8pT6yQA0q?ncWrj|$hRE)=``*rWFAS?~pV~eh#dW@hqlUm2 zWN%c^K>7W`chBex-xoG7nhp(Swhi`;_2$Eumj5mXBe>RIYr%tGn^5M@wCbG4`8}_G33LvUvzp&i!N^KQ|0n9{=8b+QFDk=)q| zPH&TIodPLS(Q=YPN!Zxhnb6YalDtD-$5F=+{u51o$fUohufy`H0JZfAP1DU$pR@90 z8Gj|G4nL%h4n?06y89$&8OA%jWStg&pgu*PpZBEB$wxOXCw{h+zULbQ&#usBG3YU= z_YYtlS|`kbZ{>`s_AmX^MG6Z@ofIoC`>tlZ%0k`~rUx6kGDUQX1WFWs{PGTX(kfOO z@NzHw8u)BQhT<&6Jqz8ID8P@euu2hQvh|83Sn;@Lg&SgZ_S{ietsuW%e$}Im9cMDQ zo}cVOnbSIYKA;^sIL<~=6onHjW zGz>cIJyo%iRex3&S(ixBq=e?sB80B1z`G&DAjqa71ivb~I6ES~Rs4ld3P(=80Ls4; zqz~SZ*S`{j(8lTToCpvJhD2BcVxN^AM?xeNwwdB_^(U;EjMr$5)yCq$D-q^spGz9= z-$Mg%#4?`=MMevilh2<1DJ&3`x^~AaXlj?pc^D_oD;UEQaeQiRL%iIdZ5OO&8Xqv! zDk%Dj(tRB+7=xr*UkV({9Ybk{2Mdbe?;R^$uQoRJb)_VBlg1XNF)3)~f zN@MmgdoEk+TE~g&`!G>eme~Fo(!;>U6r~omv4+=_&(FYzL(A4^K0GJF!YVi*so)-F zp%7Y7ScTVFAhGy-lm72^|Kq@?jW_|`TD1b+DlP3}FJ zDOpNZD$6BVE(?7xxg+@_qR^a^rQi!sNd`~iOlxvyk?bGm@L3m>gk*#S-hg*w84;+D z4MG>FC_6PA(&mj^EcKlGTZ1ZeBi2s>#<1#iwRn1D4U6-})54?&$O8Q{wdXtrXC0PX5DVBDW{Q09= z>TUYgd>_yD+4S0j;GT+i_K1vmXWRkJWFLWEVeHlHSnIIm-Dd5HmU*Jrg`@3|!S60FARF^jhi=gffTEpm^ z63X5rbbdHedD9o+I%Q=Q5>N9nL6pG5s$BXGggDKM&RX?;>(5c#W>RAFoLPQ zaTz||xI*MXL%ulv#+&rZ*i*mORQr8Tw2Qsxqp-PbX?=;DWi{gY&Wuhg^c53PuQ``JiYgD`_stu^s1B*i_761<~wz;N9S$vZG{PDk5wmDzsH}*ifuAE{#}E z!5Rg!B+3SG~6+7#VFOD7cK==|P1b?f13M{K>EfY;^X6Po`L$dIU>F z+UGi=@&iAMN`Dj6w<|+L-S`2E!OO)3(#_B1Z~UDTEH)R=`W1oVdRFU~&fPcF#}9M$ zx<_n*M^=Cb5AZ5&f=Ar%h>g#hBi2WDlY38QqKnX%m2+<3^he7lYWZtH>lx8Spe?vG zKq==u1zMufNAp$J>SrZu7Hc1WiB@B(LT3in#h^8c=taP5bd}2dmwa_s>&V`As@}`I z|I1oz>}m%enfEePGFwOX@FX#J_jzgZRtg&OhNx>jnjY%ff#x8O=`BSKpq+vq%}}8g z^oVs~W8oJCc7}GLcP0kH}Np|Y0l`+$L7E9zk(fv@kJ6>7n@^_EoB;J zK^N|ZwWoV?1wO~YT)7>0p?gq|&-uv5zA%3<;8n_C@?iE?c!4)%LWhPLMnM{-4CW6a zHh1vur_8T$(?j*P&zA_+`^rg|m~J zyo756xlCu8%0XV4*SZ{l?y50|1OrVN+RRkzyPTH@U;c^l6YS+#E_enO zk|Z0fnx$e@8S<9FucDQE&fFY z^28lXkgR{iyca`hpO)lG}{ksgH)QDHEk=*w9IU2ixCdLl zw!w_6$m~Uk;Z&X>gSBOnUkA%t$4M!8tH7^Y6QI~vBC;g{&Zdw?9Q{5cPr3nh22&wPyRy^ z0WCjTu7A8-^%lM2e7M64Xu5#6EwId7nbMb3Wa&~{oy4Lmd?(k=F@5xMuRm6nM zS8Y+Y6a!CM`Gg}Hc8-+_cxKgM7W<tP-4Ky)`I-Q5Y`}aw+sYr1Zt9C@F79;2q3L z3!VXnD)EW3o!2?VcM&oPQiq5pv=k<*Scv>Mg$O2co}5L31Yp?$EJRl(iNQkF8A6#K zzSN?e-)TU1KlF*^uA|sTL_`fwdFF?9EoA1}hk^#{+HdL1C7+seYX5x`bIk}F)GPwU zaINMQBea})^wtpUo@Q`2N7GqE*)!;CZc%Q0adYn1T&zED_zE74h#5paK4%0NTl_lq zYi?}J>xMMmRIb|s*@7wP4?Av4-G$D}NX4D9l+pzt9Yq$dO}gulNyFL6O--p*saCG_ z-8Qc91@ATlr|UhdhjgxYZR&>g;%d0-y{n$J;ioTQZDxDxf2!D%-skMqhPZ!cVmUkP zwOSF;PUQDKP_ z5eF)}Ah#f9wwBvh?X>mY?j-rb5jN7Mes|pQ*r7U%QupmWg{O2sXt7)Ay5l~=2bA>1 z3U8*4PQT5z7*cnd`Z;L36W0Ub5iVmFg`b^IKZ-3WaJ}fp7Y#3-Rb#IX;sv*B*jx{+ zfANfr)ye)H)%AAu)mC;-?HUICot^gc|Lkaeh1j=8Us2|iWL0z&2giY{z*j$8TOE@- zzIKp%Pi6|c6L#}#Ok`mLYAiap82w~wZrDxubkZWBNn>LQaz~V>hRx4inMB)HDm&nPIbpT@lfAWUcj1eQ9vC+h4!1n?8kNufG%M=%%mF z`5ZCB`enRjya^1d4w)A&W6&AEvNCX$ypBDJmykdQt*oUifqSpuyp~k6i&xKL9J7hz zE9zN$7BoQaD&zgI3)9|isBrk;xx1RwiT!oq2$Qn=EsUKQwd+iUK3pJ9lL9;T^xemp zzsuT$KIJTB&3?Zszr3U0d}|M5WO2CJsu@x-ZtY2oKr8$nBF^B4RkK+0?%#)-`IAE1 zxg&#fBo>Qqe892!?&VNUP$Ee7up9|9mvyyr)1+w5<#RPPuOwT>O5>S z)g##=DHyT*Z;8P=$wD$l5fES_c@{vX5jn;Rw4*QtC^*5O_%ptq-F9tGxc$^B)ZeRD z*02b~Hrb`Y>lM0dD&%a|MeCT3t)}xf;A<#HZ&f|P*GTFYx77I{cazs!Rq^EVF{n=jWQ_nyp@%AXqCmD)A8D+6Pzi(TN! zuGCb1pdyi+si|FIl}R|Cx^M3xCEZs(gIcRG-d(!U3s1J!mv;Ic+6&AU8KR`rs1s zTbD{)O66{} zk06gUP8msSP9I74+UvK*{iWX*CvoV1L9&uf&dYBrX7FBaGlHK*!bQ|+uN+o#O=f$L z?-Jml@Lb{%m>tf6*=E(ZsFjUA#yiM37GiZaXz&eJ@>7Bt!Myy~ z!wYs7KQAw8oF83*0vhmS@FHH`KzaT}{1!VHCP%#Vc=qEv>>eFPKYo9mI|{z|(`M-V zJM`s;Rg}#bjAf)OB{53_3X7NA%mx2ae#Q91ycSv(3J<%yni9&jbq$p^Gin2;eIv86 zF|#+0nc0h7p$Afb5v+ZJ@#6@IpUdn`{dJ@FGc#jAow=9SzNXCB#~7owfj8g~vkzb9 zz}7IL928uC7ILbu8WTH>Uf%th29AsXLHFP0P z8RQ8K(u}o9Cn#*I6AtH{9-fga<%XzKsgICHXO|vH#TagNAL?1^YJ(KV_bCfE$dmi7 z66JTS;iX$+%jWf(S0kWmu|F1pR(4p#5y2r`<2jJ`+0vWE)1vCr_C{yPrH&hJ3}T3Y4tv6z9o`kW;5PXeIfby$1b=~R{l5~)=g zs1rz#<@?197Cuvn-*BiTewIqiSCzq6OlP1E23Fu)MP(%&SCI;bA&Dv$27z~9C_e3nX4*QX6JsRaYnpRfw1bYLeIR?ky>W~UD0_4h-^LzvJO{R% zw1p#LPR?tiCne5GToHDzbQ=#tox!jZzSmoN`g_^}YXdK8i<9ngvie#Dt4#h(i2 zT$*y6dn%{sIWde7W?2allt7t9rp^(?9P}JL6GII#2|ds!H@&xczctb<(xw(1^4;b; z@ZtOSsP{;lI2)kZcbi(9hwp3OxUXC(pzGT-`c{(3BguU%V z7Wi$k{S07>0w3(%TaF!Pb?A$C^!D2JTDJQ=w0&3wU-x>FCL>+CF5SR* z7u^8m)HP~vKjWig0uP8W#{Ck1sdeqM9i1a>#SDfC6_74_q&sl{(pU#2*DGma&_^YNQMRR*XRSeOr%e=arkSweY`dkGh37s9f56avz;RXM z33R+J1Dal*!NBvWm)%GI(fi0yFMA;WfZC&BkR14rz6Bb~Qw$oR@M7)? z7s3CF(lK;^m3+M9Gpso+MxYL^Co@|of3w}&se5a~jSpynnY(K-GuVC#mfk@ zo@5$lm3Lotf>nZ%wU#yqeXoWfv8;CpE|mtiXt)T1e^fR&;Vf3FP-TTSa7bNwd*w&` z+beJ9zlE*!b{@f~D?cEOK!er-W&YcHv*O?Shkrr5mm+j z+CNCxZQm{5nsANWdot6jm{&p8Q|g1PpJGkCo+;2o?^O)A6f}b3R@P5VaJVU7zkYe( zrmPH}V7U@MdcGP}+8*mZJG&B~=|4zIuTfQ`%26S@u%kJ7;VD_j7RHiY-gF=vOtoKw8J9@ivzHq z8-JqaXF5weu=k!;j{;@l$r5Y&Ey=-z$E?8;Qp?hstnw!`7Ft^}L2uZ3?V9Y|Yinfl zXqa(U%|My6lM^5(L})vyuo-A~Wu=v~Xr(wixoOkIsexio#m~(_3ru+`_6&XDEb%ak zz1RfFIp?X~GqGn1Q>UD5p|wo;EIXQzrAKD4NbhERXu+doB#)w%K09Ayqy?StVw6cc zB1t>i6OShz8Oq*k1Ko&I?F z;($!@bMvx?C&t~$7xpA*1PRU7-OoLNk{X&ZJN0-fbY53w&>2KtbSLnj%+qf&fK$@~ z(@tE>Ooil_+{E3%-XW1Ty-yKR(JsJ_h1!ou

)wVzaaC&aKNj8gMk={PIGsi)*hg zzX5qg^_TPqH=ntM9({3I;9B4^Ne16l|3a!igYwUTR>OhoSU8?1$QY*}BRNjQun|Iw zyl}bXc@{DP@sH5!tS%kfSNQVQ8X;I5ubDQm@#?mEBOc;Tg*f6!@0_k27_#%pzv-RRc$N_T?vJHlnmYohlsR7nZTdU8R;MdO?P&bvVg5 zCd>WA*~v}CF_(gJ?-bXkLy{IgNr%)Lsw*r)n+zAff=z$t#>MnYznDXHeC(AULbgBQ z42G1ru9JaIt%xN8@IkV%QxSjGoxz)Wce>6ZUh*3a_&EknO-)EG7IJd^&GSP_k<*%- zT%25vfqxGaN2iUB@>aGv;#&z7h7yI|rU^=An{!%?YnHo#1N{^H<%|e@@W~r<2i%%k zfu0j8x!%y1hyOg$xf4&@`nL7kRb!KxDy(v^+V&o?P&V5z=CQ-JGr$+yo^NYxvTfF9 zV=wf}RB7c_%l~dTG6`*{;2NdSL~6 zIN-@>OK6#x&Fgmn#kH5_v8P{Kk>)Yg{0e)x#T;{g^yrxpr%(ri=gICL0>)=x3;E9i z?Ic^&Oh~r>J8}Ns1PW`@4t6q#=jnDnE5gG$)Az~9(|A{^w!!dgY zY=Qcz5zuBr#UEiKZHy(92=*h>Ra{i2Dzm;~f^e-rxnD6mtDng0&v>9C2k(eQTuY9c{wR93<+R#>`crQ*NCFbwQmKZ%Nc_4f{_!v?SwB& zPD)PBN-9Z8mWFR3B`XQfmy!(3x}6V9mMKZfx?Yr0l46{^Ae=8tSK1Y$C3QbdC)g)D z4{>A8QFb2{o~ShV}vWsIq2D+(yE~8(t;bY z4_|3>;pe#O3cs)k7Z?|o7Tqf@&56q|R>nM7PBFgo?1kTu4e_}ohO1P$7__r@&qgh6 zTWqmFQ{CRx5YOc+4f*Kh=G1}mJM6QEZ%Kcd&3sK4cMpUAJ6jh=kQXVuW5m1woh0D z@7R?NolMOo=NFvU8BcV59gH~XI&`3s4)VM@B#)DH{29@WG|M=7br_lq9bQ~PmN!t! zI60BmWN4P*ItrFN@RngKiD_=4l9_Y$TDX1i9nTfM;ObA2XcMjA3z}%V&nt9-zPzYm z%_8GFCYFY5f7^cgJ%Sg;Jl}nK;puH3vPGOWXz;W24z=(8z5V;H_E>7&btUIZE99@> zTwOn`y(JeLu46^so?>`2Zb@`nWxrigw-EAva?@fzcfX|XGWS>>2EQafMC3RvCit8D zGELI=tA6c%N$yv@uI>1s^vU5kg^vbbQ>(Z?!Q0pYv?1fF5(Pm;IG?e4A2bl-GyiMp0#x!P9kgZq0 ziS(av&$GU>O^Ei-ftsZWpbp{F0um}g0dgK^%=9>|+@?5A(N};hpy=S77DZDJI(~}T z6wFvn(WUBK)8f>kWGp*!^2e8)nro0VBR6BvC)15SpnWdXTq(e8V@;6Gg}c9Y-@xZ5 zo*Ggb3JOvpItvnE*X)Q!dlp`8NP*wAGXhwUau2k^^1_eF&!6Z=4@pchC0`)upGdE$4T*p)5^4jwqL{NOxf%f#3?Llb`7ackWE!ek)d!6$l?z^%R zyzPW9Ykb$(T-)6EjyzvuZR9&(Vy{-z6a{$8|m1D^g}6_xptPM8VTD$AOs<85d9`o97V+n7=Zd+^kL3O>X+_!pp zds&sdPkXL%?Zlf4Js2m94Pdlzr!NhqiFld;oR#(UQ(4bw*JwXYdi-EV?_rl_mj-gu zfV6Rx1x*&_t~6bqF8`d@jjF@>4{vBt=<=u$DxHe43gH({4SbfKRi2_rt2j2g7g8(f zsybr*5(4Rnmsr6q4#{bM(taf5e#*|||D-;OeRGZ;@XGa6S%&9dBMgidDMFj%dKP;^ zHY_8wXo2WukKY$Jshp2^!vikt9|{8w{l3?PHmM7HgD!M0>G#IpzlSn^|0nmJ$hAj~ z9$PnN;bY|kdF6A|XDe{qfpzd&Soz>7kD2)R`j{O#=5wr)O?Ti)%M<^gf;a;MPnQRsLO2}*At(e{|NcSpW;~A!5QZ4Fe76Wz3;5#NV+fuSaS|zBYVizGl+SNcn##OKks`Mn)~Ekn4srQm{j= zl_g4IFR!lDC0$vLGA}YGe&>l@X+7LrZ#`^1+;jx7MB82gZLAxHVP}AbUCbKlt?aBD zH#b=y@G5Z1YLj#%5jx5r)jAPBlB5QSvB+^L`j*`H)txGdvbWd&L~XJTHr$?M2>$)Y z%CKnIor{~Dot;ydQvb4kFyU0)+l1{k8>^~dsXWv-(1$#DUyVFy?(j)e zRe|RI-oWOd=Rp~1|J&Dd!4-+6WH0-a+iEE7GR7@wjNl&T^AtxW|IEET;Tuoz!jVtA zKh=-bf3krzvP!Q{hi@T$3o zLlybsvaj}_i)RIn24!@Np3UGy!u}6rht0pg-AmQvM4r$izyE)hwVw!z5 z#%`&R7{Rp{ed$G+r(Ht}QbR8l4kh+C)PRd7H_h+4(s!k3tmsM!Y>;#D&|p_$B%m|? z6qObsUlgBpC8p#`e9TRbgy zPscllcMfF*>!7gl;y*>57ZiNqIHEyTsPn4x8m+1>UPrs3%oYUM5*rr3XBtS&`7a*;T2eM~&czrJKW!P5Cx#EXx`&X3_b zF)c#m64&p!jnHMkL(mR)H_!7&EXE}+@PA4vAD(L4F>5N8f;xQcK63{0 zyYrVHT%NYIposWQFl!}1d{>ypVj(CLWC`LQ7LVf9qO_Nj!p#t7pg8JAMmQ(nxfc?F zA=IJ-5~nepK@s$%n*~m-D-r8Ba41Lxain$D67c3YS4LqyfgS1_5Nc8 z|4FTUs{Hh;n)$4*`U$+i-Oa#lpFY(gQW!LX+nzK(+4jl$Qz_4}j7OHyi#o*MWjb2o zf_Wmf&H%(}xZUQ$Xl_@Y+8&DxwYxYwxv8kAsHEplR_Uh<^#AMG*U=97MMaR7r6uSy z!x{b8doo6fhVwp`mzTHuC+L1YgH~f?0S(W#lw-8Ww+Lm*DDMgUeAeb{&v7~uCj~D$ zDi#Y83DS`#bmZw29oFufI#_rjKgHfKf!!XG&y^jz`?xE+JigUlg9=4LWp`z_2gOy1 zJy4cmtZ=3)7}nT}MNi?e=3E55b1uvccXy84_`FR!Qa_lV@*kvYUp{{8_|W=A?gRW- zU9>KEA4fiZLoE;Ve8F762dOUU4@*WAyUv|IUekF#V$QJ`to!w!4;M|seRcV*XKveM z1#6f6w=ryC%VQpK(73aYHPlfyI zo&xN;iBMf2S_(cC)DwQx7yX*TiV-3|<_nsDkN~F!=LrFaWex)1Bq6#GL1GF}lK$-0TXTz zTzDs>F#b;LozOd?kOX|ge89rOc+f-dpda25ALhFwI$St>$%X3TsBuyKd>c5VFRzbC zkB;^>@cf*O_?EJWj{MI#9aVk%|C4)9OuP9o0oLOAs=WkP)?k)Osp1zfq3Y(tn^htYzhLK0UOHmo z=)AalAclSv@{T+SK~Qnf;W&}x-^HKGpTJLr)S*77LNZZ5J0YLIzW_}G`g1BjwTt?k zx27zTkGcK64DOYY8i?;vIuQu1!dLMC?UQMuQ<+3v<$n?NI`JgE#LdHP+L|Sv(_jzY zm0kp?b=M7ja?#>7>oJ=-P5Q1Qe@BMX-J4%qIgIu@w3_6=@0bvSGKXtOXChl33`d_{@$45+rj`pnS2|V4=d>KRbfb23;!+0Ena;$eLJzcf)fO&#zJI*g$;Qs z;U_jKejEtPuUQ<%1{xa|l|tn@(7D5j$9TscZP?&Tgup{HB}5J&rdn+!o?*YKoC@29 z*@j#^6TL6FuHUKODc>o}J=q<)J0)2L*x&Dn-ss-%guHvQ%rz(M*V&(;vhGLMlovry zp;VRLQMmV33$kz>#vNCY3k@_#p0 z+l=zx1L=DyU^H!R{pItQQxDBTv`F|?6ZEHbb*bnr4!<(cgOv~MublOL-DnfEGnK*) z#A9L*h<^Yod1iuy0vcW$gdfOpWN(-qjUKMTM=-a1BTC8>zX2#5qQ*CyPq{qTe=N9F zf3ADLB40O*nY=>vF@o7px*J;gRGKa z3%_>#-SwEwTEBKXV%k6J_hDq#-d*3icIWz^%X6m9lE@OtlJtaT@sRX{)c=}wTmpP8 z5+w;sFWD~XGUb=*t{Je=TrrqxF)p;#zmSVEVZHxS2&!0$V@=I1M}Z^u@Pap1BU}S) zX2O%g?l~ws3WRGUYlNGHYo_O9&3Bir6`Ib6Y#dR-_pEC6@_;`Y?QV_OlHw8LAvSC6 z3ORf*;bX=36@R){Jo~=B6?w;ueHnO`q;&->b@%ty@9>LT12fj%4P3D`Lu@`>FGp>` zY;$e!ji@05vo`8vI@&y&h^K_qOF_dnY86-R4H5(LF_`GBX5ZM&D8Eg)H}!I40QaB`Py_{p;=4JP&*9C--9{+ z-(UPoA(4pRm;}lY8*{2jQP7(-5ei1ynT+?)fkHwXh46GE+yt_o$kKVwRQIdQn$@xp zD|DTxi;=OnUJhOmQx!9ZoG6p0?O0@0W8L)c@1My3-@Lv#vO9Y_*=T2gJKGDhHtydb zfxVsIKw)>X-PxUfp=|f_7tg+oDazA5fianT_UL=e;9NLa2=1DFZXVVZ>0En%s`%K2 z$!8~W&;QLe&cm*I!WCAJ67TzTTj_@WSmowCjOVWodjLb8x+%E1u5w%TEVBP)+pYBI zYsudbRcXgVx7NLhg48BfM57&dE+tyUS2et;dxh+YFo_C7K!w%DF^=eQ{PGtGB92`Y zC0k?PVBb(0dNySnt}{~FWrb&>IlBmo@3u>!bR#7rr60?_4+lN+Q&L(8t@3HgHCUB~ z*!`G4Va>z{{tRXb*ynbxIFG-d__0IAP{qYyyTWz@jIbfG9(}u^3BC;!w0}ERsBbrH z0X@+e9g-XtQMktWR=XVjirg-oa0BV5AO_6J{jWH9@-nyGQ%tAq%{bD8iHQ zzK4DT*X(WwH$ESG4qWqlXb5j78d@`Ced`hbjmxWTA0iRE-Ql&s?|QVs5+1=P>t^f( za?7qoA|?5;;~f}_@d`!(x#PcZ38V6_gA0?w1)WPlZUqM~o=|j=;*o@Gi4&d~K|_1R z2pSVgE~=_N%z7 z6d<;|+#Ab?nO7dvI`KPC?8<(JdWWVhf8Kv{K#tF$wjMhx)Hk9$@V+0i+P}2cp}+n~ z{Wk{<;jjmNwJV+&vcSb<^eb4^$=LyU=k#7qhc^4hU})0!arTChBgv5@E{RO6S`Z_P zkqHEaNUNX>JA=e9L6_Pft%hB0gvhdZa-A%UKBY)YslzhKvVc;u!j@z==r8F@g};4| z1*aaE+JoFwlhR?Vnyvo@&0c77`T%COM1^wpmrhHZRywiP{=KTHy|J#bp{bkI0Q5LZX# zUFi$3(=s%u```4+`VI3o%v4{mJ`-ANI%7JbF-68aaKd`^^&7BC$#A;KOcO<2ImStm zSFz;se}qUs5Kk|<1-)_0yi^42HR+ZR*y~$lL}c}u^2qD|n|n{>+MFLbeK|L)#&V7$ z!*J}_F<=g{Yc*2Euo*}RAH#+sIX8}1PSZP37hfql9};|B^=KC6+{~h9VRgaON{k6P z<4on5ls1E*$y5??!h8@n|5tImO#Dtz@o$_i6^X*XjyxhJAw?2^L+!qy6`<-hu9pd$;P{ zv(}IS%Zc4};#bub@y;}z=_;~2k6df9J$wiUo}dVKENTY|&Mz&lJLAA}DAHXz?=0I= zXSt$1@_&JPPPlq2F<(r#vVhW!>xGcotO?Gvcq`FoePX4C=e)|ScM0Q zR3uIrBN=xq0~tMM*oJ?k$a+}MBO@~(k*J;w$}0`j^rXx*WKKv;@lLkpsFN4jZO6*K zb3b6mG!NDy=3cr`6w++vQTSPTfJ8PsG4U2-_PC<0Qt(SqVTX~luMhwmvILcAZABZ( z_@t^Xt0Ai{%Wk>Oa(|}IvQX&ggKQPxvLMC_wAqS*@-Eg3$>oj%I_rY|H}{^%wU_A` z^waFpz|*i@XTP8Ag=cy-0~(^-uM~d9Y4+Lgr(e^qp8dZ0?rR&ikB*uRcvO^5>`|_B zLDvSpq?(2|^g7jc5$h_w@WN@PI8O4ow@dmLGMVC}f0xHUVKSNHdvWr(x3G={nwiOE z;tFOB4!RD~|U=r+9mxd`j(=YIvhn%}VS)uIirtw%gXlx=31 zD2cc%hG!9#aR^V_bgYBS%vd3f8i*LnKX)m=mO4M}-{1S+`oC~6KOld!aIo+te2bU) z1m@>sSDF3M}nu34$5r!l|C=k)ZpCbKzCnE?rtj1A>M25IiSB^MrI$inX!s*~8}$YMMc(*dO%VD9Uk3f?>nrR-YhS*6*@rgw z^`W((0Dts>)W^UF3R_G}r*E0HrCkC(={C>R7{PT4@JwgTk)I7;ZG(N7r^j-IHQOe5 z+X-J59~+zAo*5sT4LebJs`3;N6lm&<#8`NW8K7OQjIE4K>3HuoC3oKLlkiW--6!_J z3+`@%rn|WNz%SHNS*YvGQ25yQ=i|8(I8iwNgOvZPJpKtjwGyS0cG2xb*A*aJBJ72c z62saSL0lqyx%fkgg^33$)2gFp2zpd< zJK`4zwZR0g)Y=*YVAZAFdp>!uoA{k4cBRRRH8x`|CM!Z$pv~*A zz)sNDhd?LobTMhgx*{8+_48NI*U;B{yV^Z+e9~55>xC7IuG4LSJw?&Tan#g+YaKgT z^)X&|-?D$2tDDk)` za|O}jkz89FVJ4oSOp9F(ZF!A~t8a-*f^wJHqhJ%+`zGcaE}ifZ(e3$Af0g;Fh3Mr4 z_ZQvAPD|F|-FWZTst8a_SAn)_ugkXkt6i;9SO4npziojmgMl3^-?QT4rO3g0-*yJ~ z{IbhyGwUjG2eO0VTd5k-KbIXv!bdHQA*Ot1!QINj61q3EL3iE zAZl}CJmINLLn!R5F(ju{N)504Drdj`(;_oMXly3;Pma^n;;QOGLeJ%s9 zY}mOGZ3Ycb<$=QAo*sT>L;8imxJwD?QABJc>JS5~a?tSzG0b+R^VppB}RcoJ9*n^CH24ob@!o7`1v?pE7WACNecDm{lhM^*rx z6K{?BwaklDMa>d~&Y+fclM~bGT&BrgBoUT`!XGBLjyejpq(BIXBn!z!9D9>R(h^P9iHli``WpM^g(KV*I;N-foN zn$|Rx7+4Q_A_KF}2Q(5f$2qka_fCz?ic1s8IxP$OfA?^&cW-d7_Y!z9VQZb;pMV?P z-7)fB^6dLYmCB_CvQ1vn z3V8qCJtq9en0r0&ne*;ZOJd$cT}%=t{p%nK|Bo;HKQu8s5oCuw3H&ieTK_^jl!?qF z?5CI(&tFW;QA?~ZNrC@~yT2g84(sF6i?p!%Er5luDP(=Y$Rw!g=unuh!jDG(b=L{~ zXKZ?7H)Vl=smx5Y*@8mgVq-`P#niwQZJtT7keO+9!^(ntsyWh9|Ktued~0%2og}h= zyhqUPujUJIZ?bTc&Oh8bZZbyfzk8JBl;v2YmQ{IF!3Qtdl?wm2tSkrfA|+*@tS<2= z*;CbC=2^1ssLF#742|XS_vDQ(Bq8kTmfKA-;V0lRjfgANaVNs}KFp4pQzaLDV{ z^GA>=jn=&DH;Mg}-32p%lklSO1LHs-@jLNDP{+*$=vLm|!BJF-H^m$A`34HwOYva_ z1F2TNKsp691#gPaEGONey{9*H1Yk7mTD%h=$F9>`;O||NokSur-*X!FI?h_Qf64gQ zPY~+c9op-84RsCBgbnpeA%S%c4((`de0?1#ygIvv_&SFMhol0|aT{*!piLg~-ybPv6whj+=bg&vXYcMo6s{5+bG|`6)V&_XnP|zv#kY*4K6wpB|)bXu7sA-OO4;FZjh%yMKf6lq3S*%m@P+m-tB_(^`b;+n%h5_mP(97rG*U4!hlLtGDX zhK^j+h*m>9r(N@e>3F+FH-Y0o)Nvw*tQc+592qgb{!0>xN>WE$BulYJI~-CyqYx=^ z^J%lsgkxFO)s*jW3*%GbQ;4Tz52XV$uJX~Qlx$EEnh#~1O;3zXOt+I#kx^3dQ1O6g zre~)|^th*;4Dz@t9zf;Q+g3|C$SigB{Bq;fh2IxSZ~VT1akw~4;G0*4@b_-~AT-Ja zf;RkS_#&~z0deTdL&~J3|DrU!^zpK^Jn;#!;FV}=)wi3WW!0la`^}N5dmsKD`N~%} zLy_q{L#*=rVLtXg{N(8mr(ezgxnrjZPQ_K&HD)LARGP_-`9H_Y!17g~xtZ)R*?Bed zNBP@l)yLlEphj(#&!OX9d@sX#(TrT^hF{$`+uPUgJ~q@P#I=%gQInQ2gho@-zZ{J| zOqE5iz#XB0zkDyt8Vbqs4NgpCJcw&H2eINp0uL>#yo(tdt7{R6h!XtJpW8GJ_2J2z z!6Ejyrpu|n@-0K|u4P6umsncDTfRl0<>y=B&>l}$uZUtp4o){(GkqypxE2xO(CB#I7 zJG*Ndz**fj37^0{MF~Y%^~8HvbBEV0=&r#F=k|Ua9UL_u#hSK}u@T^l2f)G6_n>SY z9UBQBee+^$q$#Vjav!C!$VM1#zUJ-)o$BZb?dHW5Kx*B+LigG@s(RUYZ0YrnX=W{D z$tU9p*xO#Vh}Wz(J7Q%Ea}GG&rDmPoJ|{KT@CnPwY>M?{$$!N2eeH3__(zlBht?Hq zAzL!r0pHhl+c9~jgU1J@1RZzw3LPUIBO^MZ`%pHpmt6op(=noh6X(+Dp~Ut!r+RPs z-r6kc&wama1J-a_ud_zp-TIa<^rC+aP}CbVpQ)CsEzjS)m^ts_#q0BKA_sqwK+}sC z%Q3rp^CHUgZq5VE^y2J`cmfi-ipQ(F1%1k`t09nwKIie`fps;48vG!QOpJ-DAz8@P zrE0q??k&~g{0efumaLAMNxxk9%C456ZE#*8v-UH;h2P%N&Tnr+l-1r0OXAt`88Q!A z3xUn;ZS9^dE$!7d*Cq8M+%ydq!`Iqr;0L?@X1*wi^jXt<9`V)j~GEdf!-i5${p%3qXYA}=kXpl=rQO`rkD z6{*k-ucf~sI&wGFTojr;OA+7ES6JD9D4%H9hubQJ^2Fh? z^(RUKjmL@d<@qKRVGUOC)I4}_IXpII1bun9j_W(M_*}6?4x?(Jt;M~;rv)p2JjHsk zA2)vBIfDHb58&fIy|NN|=HBR!vL8q-hK=uyi+83eLcuxxZ`En5YR%fwYMzM z)`5-Z14EA)kB(f#U8nCohBYYXi0H{^=CwiG<^2Acdk?bb1})sVb?5$_n0yb}gxU9q z2=w^Qh@Fr?;8x%k&}O3?F|S`^<&kx#pCvzoF3i1q9DaDxVrYe=b@x%zJQ?`shg^60u+FEIR{(`BEuDdI}R658|4(ff|2-zJNxl zM?0K}C_OBTg_>bz2Q~f_8ZJn%TgkmqBR+jCUtC{YTKqCNT3Q@^Kvq_URT0-$hIIrT zr~O)S)vUN2(Nw9NxGX7rcS;s)2oSQLlbRBT41iQtN=iywR$I#1$}`uun0_$bVhWC% zP2h*wXE2KjS|x$R`Qglm*+Kn-{rmfa`bW^K{euJs1qJm3LBlLL=p%zcg8`r;iu=M~ zj=aKCjLEdHgnw^*1VlixqsgTERXEW=-o4*md+^!9!P zj(`7^L4r2YGdd{JBecy$e8o#^jKkVHPC$E0kzdf%6yiNBZuU-hmRtG>MLTH?vL5pM zd2BAEtS9oeC$yllBxFYH9|69VR! zKZ9uT3ON~LJRnB?HMznv-nzol($c=priNwXj_iihG8WLr(hgpQjb$KeX~SC5%3@hd zI*Bh>K($&Zp5!_MKG}jj=xcvvWi8YsN!$pY!}L81el4Oc@Lllz^Yi6%h<=-=YBL9L#d|Yl8>W96f#DE|`;>IxrPj+V^fy^6k zYPaF>l0?&w=4ZkAXU)&T(kE7rfnN`qcLUEZPBcAho~U~EX0B|mY>sNKc@C^t?(ajt zfopQXWx3|L_#1rk`(Sn2^W1QCm&adK_ZqG}35_xLzFIAC7ZEecglg`EH}XyMzFP01 zU790&=_z8uQcC*E&|_ZQWzx{mWIk#tqL;1@51zzocGR;K5k{>ic0BTZQD{*AH252| zpuxeicaZlx#4}ruVxGT5uR6GDxu3o5L7ztsrF{{m(x}4TSjXT)peTv94R(S`p%Uo$ zj_R%8E$aPGQX}52+*44C7StB73$TmPU^2ejo9Y8fO#z$U*GmhiEgTH|u$A)x`|Etb zQ+Qh*Kr(-P7{urXeF#F?_QQj%wp&2?fcK$|A0g;1LlZ;jF5=h!pt+$*h`H=I1zyoe zYx|#1JKjEfM67Xo1AW-_?Q<7Kc;x$AjP|3CKOX*A`{d21ePip#_TBt5w&*72*|7u^ zbNt;AV<>}$^35Hf?d#sx9eVqzRZT)|R64#-DOl+}{OO+HX0#&pHT00wZLi_xJu%zT zg2S$S32==Gt_w|pr#IF3KKzi4>o36z&{+`xJ=R#ZEL7Lmm9uEGDjidOh(UDPjTrWmC1AzUV zjsz04(UBJ;9@z_m)+nob?v}*bGUZBe{+``ZFR&s54Z6{B{z3|yu5>cmFao%^phpMJet#Lo5GVP~;`b^MGHLf%D)i!sIz87(8IBnp{Ji2{|2PvOyS z{GwS|extksqndYvz|UnrO%R`C-YCa7XBHg3US9g;)TrU&Qj3$-Kv=G?*qyk||97;olT1b5c@#P5 zAm5o}TL+0dGW`_zuxtusCZMNAsphU*OlOUh0y|Vq*iY^R?&vM-4P@5{uS8$VF$om* zk@J?*VD$=1CNHaVWJ;16ZQxJa^n>pjS&mL9i!2iWNdZpy-O-B-afqf>WCgnH{7ni{cG&DCR&;&gUS}Cy1+<0-BxrsTn z8GP^2wMX^^tn2O$fS1twn~q2?a|Wbf^w?Br<9#|Gde^guD?8n%TpJRx5 zhJS(w77ssPhcW#z_K3ipp!xltH@s{%X^s`mKy4{xzE4v~6A`?uq&PT%wp<-K0%{b) zitcLoa-&^<{~T`zs+40C;$$8d@+7B3ysOh&ftV5XcdQizNH&Yfq0c6EP}g}AAtogS ztrC@)a{i(0yRhv*V0 z!@!TPjIFRdNls5qPi{VXghW+?)|x^RjzwKba`He8I#r*lAxx*cxvhHq?C~?VXOE%j zZ$G<(c~ww=k6m$Jd;(ggTdNz^BaWZ{%BMgkp~^721QlFK^+Q0-U|hw zUJoz$ z<3$JJO$go4vIoWv?168%e#M9Cb$)LYKgbvx;?0Cc#>UWV#)b;eFUCfOVTfal^&p3! z8!9Lo>l+)b&S~JX>Q!?kVcpj@O=*CRZm5GDmuy&?27E97(5XK8`INfNEHfEBs+j%- zSL{xhaS%KuCK2Y0cv{^7bxiO*cJ-pn6h_syzqS1TBU#mw|MrRa3=tM$RqJ$GrrG>6_{tklx7$3gsknB$XU5t$)TkpOnD}JEfN{yDCa9=1+?rRrd?CRR=(GF*2B8z6_G)3Uz zc*`>DpIhj|qK0?SoRLIUiAg3R2j;C;C+h2*#I_U>b)3jyW2GA^RqHGyh5P13Nv%Zw z;6AZx*8NPnHU3_cn;9i?RaPsG`b~|lt)oq+Ng`Hdt78OdjM>mn#X4FAC`+n4(i~}; z>R}XX>SS97D{E0(jFhmuDB>6v7OEg$>aY~r(bk=1YrBFh$=b6RrcRK!zK|H8kNc>G5EK#w7_{6(>6<(-Y6G%%`5 zvWYh{+PbXofz4=M^##wI-BILg6pOC3Rc_+7$0xVPXD7G8NAXN*2j8^U;rrv;iF{3R zdy;2zo1neU^9!d(2iuKl8&DCASbSXo-{ayZ4qB^6~x{HJ=|3J3IKx;4YLr*>>Z-B-9Hu@2Q?g+W;ED$pWFN|aF7+;o0cwiGWX*Wls1J0Bi= zSpM$82gHol5AG275as3XmcK*X@qwVdxO2~%!O;!kFm&7aj%keS?$Y6xw zUA078FRd=xwX35ie6uI)^|0?R_!l`9yGW#--_$j*xNVzL#$*q!dpE+Hj_F*Udj8(7T!S%K&J;Rgsa-!~-$@ki^?)Q;vS$@M484uzb zJ9Q7^h>9s!)%U|&Xj2D=DOo#Ek;B(t0-YqccWMiHjj^Zh=5$**mJxA6OBjh6X(79$ zE5|~)mOy$9Xhp~j>uQ{tcji`Qa`vV=^vfnY^l$x~^YNH9QkpRvPes)+-}$_AnA5T0 zbl>SWr^`-1SzHcXT{e#8r{AE}Pfp*%3ioo*GA+t2%8B<7@KSi=^EN!}VE}Ez;}HlN zf!Nm|g~%PFDWo+zpJ{^Wqw_u=g7+lxsTlI+84g`Lval2P{yeLV_q901E>LwDBC0mi>?etvTVn*=@7X)ziBHi0 zBFC%KTi(a!&fmHL99=rR<`pt_BWLO0h3*h*)OG*OmuUu{nmFfLp@>D3qE(_~q9{nKFzKNM%-3wV2{jyyR}q_zc7WCcZOiTr*cf^) zw&L~h)8VJ1U-myDY-?Lb8?bYD7?L^qWeeNNOCe(e~yS}Y2!Az>EEaXYasAEstupNgVswbb$Pm$)! z^9@?jUcMD@GG96i84N;@i&r|L zPHZ}m(c9aLh!r%%w4kury1fjPk!w5|cXDRo!NOSwaPQMMfUSf(*=0kJv zn==qg^LNkMbu_EI?bwcp@pJzhaOO|W8xAmRM+CSkVh3unGlHP~4E-6#CE*m}3Z)1k zt3s^RBaJ772^wSrbUcs!mjbKMeC*1xisPTP-oYPyH*f}V!tc>1(1e$WH)kakU98*m zPNKVLNT~E=scN(@& zE7q>C_Y>TewDkPA<>Q=}TRv=wdIc@`hQN+DAGg2`fQGE$hl8)8UOoC)|3+TXNDtZRRcd_wp4E>On)d~W^TRrjY(u205C##dOB z*uA36x6GP&rbA{4?Cwqy(NDK8S<ZuA;AG!jde)%!oQpKhvs{sY_ScbVgO{P<%chw&E)+R@`jGwmcD zB^w>>@B>fJu?E(blgfKJ#Dg`0P%s0RG}%Pb%Cy7h!Cyy-eLd5TPMJyOOFTNBX*Tm(!`0cQQ$FFdk@LYt;IC35 zbG&F84Ho9w`Qa1JXGirq(6x|4*^C^AF^|55)U-YbN4d!XU?`26d=ozFs^XJ7>Q zO)YB?uigB35SsAuR*d$u)|R@AwO2};e;R6*8<#2?9=;5IFeuY6M~0xx#27wKn&Bj1 znO>PereT>u*@>(S!3u$hk~=g(Tk8r-cv4BH+8|F#t6>SME%{bE_tq>O5Ru;NIamf-$U#7u*U|N}MoNS@& z5=BTNqN)3Wrmp2{mpp00vu?}&IDu<@6hpqW*0f9qlH=)=@!A~{O%nP z(C>6^w$4|xuV#-79~nFj!J5;MaXk4I8W$As)?-70_PF%1I*&-4j32O{0y~Vcq<~jL zWLS783qTPFBynO$NV*a8Ct0kSw`m$AM0>`h<>rWz5=%$Uk$&es~sTYoVET z|CqUI)y}X?^Jhk`VJwCW-BlFdGe1m@11~!))E!nuhVG1LMn;}vBBeh zg5rh>;LV=E1{^1|$I6}&b)3jy=<(QG+>Rt(=?2+fnrsd4dq^8`hgMy#9rR+tlsl>+ z^M+mOnDUMpKKji0OpF@U!`lV#W_S0>Ko&`liShTA^>+4lo9(W)F7I;1WpSM4M zw+5PJ`KIRtiZ@`ipTB#KabD`N#W+racbG~Tr2_MF+S4ed*W=_`_+=OiH zp8uWKSrB3Wv; zQw!zBKSwMd_M;KlZJruZ?Zb^5f zZ)1D22hy5yTkqZ_x7>k0#md09b~U}m_%y~2$ih0_&8S^*zy0CSyA}-NLNkU1gUAA# zS(sS>jV%~J(10dp78Z-mjLnSW@w7IPJBbH>3XohOaD4U3_?o-;c>EV%!z1#=upu3* zE`9%U`q$?UwCmPmpj`B-6!30G>~BO!FMs}O>Ci}6#!pyvxT970<1Dv{mrn4Dw=4O>Vg(5l4 z!?e&ZP9gi`SM>NV#Turu1@KYy{QSPaYoQa5N%*YZJ*f2|e93}9qK*?e6l{twSe&p8 zd_aM9`*ewo{!n>)8nJI|&>TFeT(;QiyG* za0G4cdq2GShp!oWY^E>qKGdn>Z;~7J9rcCJx8-1vO3=EngTsnjj2vyY$Zt`{b*#CT zTY*#NPeVL4TOPe?xq%s|8N`~xnX7$5n|t=M_N6N5^^&U83gjWGC@1humx>|zrI$;p zPFC==t13rq{4dpPe0!=0J&{vU1fD9~^Xm=a3mrk5`z;pSn68~)Q7kr*@gS}_m9Tn} zLB)www`h#9e#Rzv3v)6Jpk>TOZbPe_coJVWCq!0nvc20U#?Q2(pcZf5_-md zlc#3`?r6VhDRB8FOK2ER&pK$ErJywIUcSj`Q(y1B-XIpIm(vTKagSY#p6|PdG6ytZ zExVRet9vh~k7!GEMp0_Tk*cF-58*k>v?>C#iorKkN2=2iCmgKGtUgqcU6dLY^u_pR z&@bA&%ssXnc!F4M$Td5HzIkle{X5-s_noie zL>(t`?AtWw_0+Nl-!69J$*aBP(5K(0%tgy3cP;vg7&Lc&_;s%fzt#pUvkT~1=D5tR zkp(@;vI8cuh?r|CXm}#mQH&+9k#tmI?bF*`-6q{;NWA&g#ndGnmf3SRq@Ydz4@SC8 z*~Qdzx3XvRzCL7_3VZQ`ektVq#XdHAc|7w}i0uOk`xu-;P70AYAx`KZF<5hW6(ms5 z;1mK=VDVFU_=Gst-Q1(-yx9G?>UoT<@~kt6Oib66gR>Sp!&<1$oi$_DJ4MrwMY*c7 zsuCSJIXMEvf;ou^h@sLFRpF`RsDRga^|=YTS-EMsX{mE5W64L?MF7PmM!4KPL)5B{?Pfs)mZvSP#5i7WXpT zj-Jyu)Sm_2C999}c3Btm-KwB~L!l+k2Mm0~W7MYyp!owCK+Zq_#^)m*zQ!uN0R~P^ zAZK9bbIUrnR+r-%$*|q~L=Hd#S8Z>>nx^t4;7rrlDP2ynj@FJV3KR;2H5Cd(3lzaO zqQ-@g_Bg62QO<+>YbX{lN)G466&5>MPIY?v%26Y|1Mvyt82DQ7amp0PlZc}~Y+8N3 zNu!6|U;<=tVn=YW@yYFG)HC&n+RmmwQ-;pGB7*T&HUrwWpQgLY%4Ci!PAwtoIFaMB$JzJqrzRvlc@9snHvBN+qPCadnOmCNU*K1>+qYh3 zd^)`Q+Rk`8f!oUUkG@10=xRR0=weW^8DhQ;;5=B)ICP~ z20TodM~rtAk!`8UnRBSB#H>u~AbQ!0<;ZqqqhFASN1yun6TJ)yfuOMc{ruShm>MBc zC*x@qE}2+)$HjCBTJ{%(qJ${w+`rFnleYX^9J0P#we;&V_`eyYUC>>!i$kyisNDP~ z?8LL`uF`f{!;n?_(T1s&m;*hD(T^-SiLui+B%aDF#oOYM3#k;kbfT)#kZ)+8;nXD3 zYSZep0^Y=mxi*L}EuGLeHW~Ja0-SD5Suw?CGgH&%*N=~dN8(2o4(@_CTD$uPJna1~ zPqE66I${AUHT^@YPuTC#-JFSx2XPH=`GTzagn(k!D|lJ0Q%}OXl}pk?uhc8qAuIW2 z^VXW^O*1zAp%~qkCke~QQG}6$p)m26`Jz^cOr+!}kYkE44SMHf(hut{qyx z82;qz6M&vHc)Jq#9vwaF34-6OpK|23y!gwAaWxNqnKR z)l$J60S%GbE=gbTXqTfBF5bd}cDy~071ZckcjVn({QZQEFws@QGc^~O?9o7+=mWDz zny;-e3A0otO3*&njH+0(=?p_^$eYug)4_4U7Yz!LPb`oju#j^avD?95ph2NZ0e(0t zg`1{qO13+-Nksc1BxLq`e4)RZKXj{|$h%YUrx-=E{V#gY);@u}Noi)<gr4g8!mi9ICYtG}e$5>J)XtcwR7`%${cLiX9odEq08i7fYNn(wSj*UcP zS8Z@M^$GFazH>eJOPF|8n%VC13}jNICcUlu$Nv3!Z^!reE2f=y z6HxF^9jwnee|uuhopVJ^XD- z+ft(WrhXzClT0;cX|5K5&D)p-{!-k?Mjy`9TntLKQT#?FlA-415TcF~IqJ1~iiS!3 zL3qOtiIaJ52$EUu{tI4;_Od}pJ@?_EqJ|owcRQ0~lB2{iA0E$zr9+&C+)8qC95gI9 zxdvq}Hy%rVlH-%}*bFv>fMraDLB zM2|Q&HI8Fb1Il%c=p7(vjyU!4)($H11L+}4Q6a%(X=2SCSz2F;ggp!N2^yIU3g)gx zf8H32D8F$NBW#{VV1!{gwCBzJ<)gsGH-F#!YHqmrIfKTqQZO)}8ORW8#*7u=zA;46 z%Z4=K9Yw|ph9(Mzd`&sytkqdhp2)U2x5JzGF5LoKJK~(>j5t%a4fpeu`KIKoQl!-E zoUm77n@U2#`$_1rmsRvj$oU+cwhK~Rd=L@Pq0Q=F@-Cdxb~#4WaU#d9oLgz}Ct`(? zH^8HP`xN{%Yr8#KYu^!n7TKqsChz2Y<(75-%w9FyP2Eb}N{tCQ_SQh%MX0mgh+MK7 zP>ng4q(&B@ig-sys&hY_oGhev6535YkHC}BWY`vUb#+)3^`et%Z@B93)paJ(ZRv74 z!gT0ABVoEQkWLrHsLAP!BWM~%bR*%g{b6Cw*!1?cF+7COJE728ScC`p3?`lxeq>-B zddJ8F>+*=Uwsq8Zym;8w(ES45-iz*s$RP*>9iTU~!CJm}(e$wUL6@MZK0JErq2r0& z4U5sjocjIXglY-xXk>>I&4JSrha1KcN2YcpIO?#bcun^ZW9q=qc667-T#y)R3Np;o zol#!xRHXtYbP=u z#5F&cU797aRARHpCS+y`@^N=tUJ_(qVNK6+*i(lq-%j_NTq~I39~x+^)uYv`1#UlQ zvk><^$@WK2X!Yy{MT@W-M!-_hdKui#Ms|NZxs|JWf zFb~KjR^iq0YHr=C5eRBP!AiYFTNGy z?Oq-3)aKPPrQNZ{2@+{9aB2rOIyPgz;})@ds$`2j^27Fx_F3f&LppP+f^G`*mCUpl z_+3_~OX)z0A_GxRvE0-c3FFJQ2fMYB1IxS?`kRAeVwYz?o~XHp7J$E$jt62!dd1a< z%hxwtk9kJaaUw^8!$Ar)=1W$}5zGLYJ#~f7)Vhr*>Pi9;7p06mz7q2yopa5?lkDN0 zVwob&1#KtSP-1QFasC33x7ME$reH zwjI_zZSf1-;lz$*>(phpWXEUMWfM_*UCX~P8)eYOvAwRnsim$()=k0cU%3CV}rETPeq?gD`%gYjNEgLlEl#Ut?61zc^8xuHIX55EzAMuo&;pk87 z6Y;}%tjssqZ_kDu#(pL~u!I{;ptWc_{DM*5;f?an?I9bn;BIH|MoI^zBQZfDKM~%^ za%_MCUz0*XIiYwmdMG|;mcg2YCqm0reG3^5p~CD7p@={_v{NCaM!Lpav{p2)5Mvjx zUibFBZww3FiHrwvO^sZP-!LxuF6#sK!fG#wWmAt^tBSo5V${R{~&lUh!X_eAEdKvq3!;lAkYz$7snSR{z@!*{uVVKCiXt)c$fzzH^@5!D*sjFjQpu+;#Z|~-y|2p0tntgLFIclza%mWplKkSTimzYZ z7u)mg`H8E=Q?yq?=36A5AdboOd<640mDj$9RnKW;c5 z{b{MHJZ#_Ln&(lLU)Ow< zioxIz`B$SpV~(D&5hII-Qz}b}Shpl5LslYsB#Q@W1`Xa&7WTbY;$?}U3=gN3zrCgP zam(v&_-`}5!-hnb^U-^twIVOv^>fYlZlSA}kMk$DtG26Vi#KzL%x4PPjEpescy@NS z1ZGDuPa2unf*f&}cd#9{Ao2DufRCH!UxG{$hhvAlAeF;}cI@@m+ng_HEBPgyY32P{ z@gI?&;JvR3Z_sj)DxAu`LQWA0$=_uj_eI%g-CXg&4fnJW{tDrw9$`lFEB!nbt z>zdzr9JT*!gML{%;?xCfe+et<^!?i{NLZI+m(!nhg0+9LQdLs3aArdnWUFMW5U7$0 zJ_8Lso(ft{JLrh!4Bez%WZkwyjtynUk$9ewpaHiNG+9GcP#{Nm0GQLy8Qk9si}Z0n zboqXE5TuEN4^ZwO{kXsXN8kSb$u>@Y^K2L!)nOGamQ!K1jRKdWtnQ}`shuaU>!cP) z543Q~%h$_Sq0{oJ(I1}*E2)qqeS>01NI~8?NCCYhuac&!f9djRzn3Q*-_Gp`0M$?6 zeE}T(_728zUJo6VK>Dd`8)_|ke3(QXCvqG(eD`oMpG24-{A-u{7B4R(`9UO4@N zJL210!+vk)S2E4iov*oGUYYKC#R|MI`7lKR_{jMRq~((9HLQ4&lK^e9%w$(viFk=+ zLiZ-eSs_>LU<*ld5qg*a%{19I5%HJ=%fZ&lB|gK!Qx%yLWlwWYPk5D{yMgwep4ljy zdV*sKil?VNPDlU7epZs>+dct26haw z4woK9EO_k8aqOO*R1pI@Xz(Ns^c^ofekSSSQPJKwjxFm#Z!b8o@PZBkvg?v=*ZNq}n2QB0PeIlS{j2 z*0=bjX}(21X25+dAn#@dX7@0<`KAXzd5K2}^Uci6Wdg>tC;k3}eA)&C5s2O4VHd|K z0RbG)Y}vN#@w^E(os#0BFQ|ly;^h&325EdIM+)`8CwbgFP)MtOKmNMdJi>fOHMG&! z#lMkBGkJ!xYB;ek!D937-#e?7cXp+v<)xL%UY3o_fo91yj7H0IOVdgbU`D2$0IywE zl}$`jEmbuPh^Jc4_3?Kq0>8#<7HJ|fo6E4r6WKnSAzR`;Mf5z)0<9#CoH&(o^(@jW z^WFICSLSP=;~rDu;K998sYevhvSs(|@Tsfkg%fq0$Z=|>jf&3lqk1B-kl;n%eV{qx zUqhcPx$Ovr0=AVTCe9odP|Us)o)}LXNn& zI43D#I6*Q2-;wj8Z4`0Au^dQqafddfh1b#ff|v8_Mb3kKT0SibXDV$x7o1OEKFS0Q zWm@VU5^Nx20e&|zHirH%Pyw1RCTOL`2IIMvfq{X&1A!lr8-|>$ z{|L|TW8lC5a9{wGfdSA0KL&2yclCLD_xCpWAsc9gh_0>{L?(Z-JK(Pjooa_H|HPZY zKX?C$XfHg$IF-jL5iWxy9n>s=_J|W@oCa^O@=l@5Di)2S7c%0OoSUT6up<4MzPvEz zI%|H|;a()2`>@P~l82zXMXw9)#&lBm2_`Zg#5HcK9DTw}Zr$0s9=`CR9b@oiriMUk z_ilL(e|p)p)=`GnqN&EyR9M;yn^@X5zOLXFUjtw0*3Byahq&(!X!>gZ4Ph7%FoO+h z*uhnH5F7yl0)k~Kh*dzA7IA>!KuuUOf)a+Zv`hs>i=begVMqf;6h%dgvxtZhZEHm> z;{AN-`@8r4$sg~%o-~iAPeRUm_Ib|vaxph9IvtRDE6u~gwl(Z(2&>DiJ5^U#ml^#F z;-Yl~jIJ=N!|r`W9Uoe{0=T-3<gd_ZWYEMR{!^sm(}g+&#nz20a+3f9TVLF?vxBl!U3g&;L#YaGz7MLjAyts zTskaydQ3l6s9-hCY!>>TS$z#MIxDsQ4D3m}{rZqeeD|7^+>F$N)y77P49uAg<(A+R z?~r$YfTniXkTF9ni*opuJGT5`zLUCxzJciDR7zRU1Nz%{7tLSyBbsd<7!UhV$kD|- z`B8!}*Lt>w->uQQ@Il=>)0@v!s=|#kXJw0P2m=RA;FVwvz zqb(A|jXkA!6X$(onKJsa7z}EY5Rqb%jJ}KotPSQQj))zjtXj&5OKe*87jbvQZ~r_2 zeXZK(*Mdm*#ru#OV@t;A7giunx*L(wI*5<1C}ql0sPiz*@XT!QJWwfn=~73 z&#-~9O)WH57p7gi#y|%QIO_fcf_o<*Z=RFm%k-U<^4ND-G@;wlZznGSHywkQ3rIf0?W_7_w9_1!o`{H8B3< z%lP=fBgmvL69bq-oR=gZaKJnne>CuA{PCC1eup3bmAFpx@OF52N3-sDUhOcoVBu~7myIGF`%}a}2$#UR{ncRsU$B za(c6ZKu)Ewx3E_;e}9TRBv4IZju5+{X+(X0zGg4trTM1{4+?YA($bnOTPz#%8Z8@P z1yoj6H6c3O(hMqSY;2r_RaH$*`Nvb5Ai8+CXN4!>LBfc{fXZTl2%3o`ATT_{Sa_5S z2{1%7$}Qvh4v@FFdF+^Ax*)Q9$%@D&^{f`MLKK z`+p*X)3@1_wLm5vVY_2@tSV0vw$;v~;Z8Q0drH!>ytAmDJPKbKeG=g6a-79X&jMGu z|4Aq5gq|9TmoGuu3Ci*rF}#l2N@FgZMRNLU?Yao z>yF6ETXpmYo89F#qj)tCC z$O8w-MFk$wla?lSTuU5#tOfFF=|!}+`>O>T(x@d4n6VbC#DB)DuI|2S>SPkH;mfA8 zLD9DUnvvj3fA$vilx*q?jIW8m<}!Ao2{(uu;FITZ_coDB@3TB`9c(SfUd-}czkodG zjN4Qntz{~`+RH!d{-L+`{*D<3;xH3Qo`BrDpWKjP9};@&A4>j zC4d_c;o{;F;R1Q}FXVCq;B&dSOhN)@%r$b^yCyc?BtFtJ5*AndA|huuVej5Gp!)d8 zNf4x7J)^{V$tT@C*MYhI#O6+L5)L8hG2JXi}@Wk^V!7mzb-@#8PvP`x&*8I=)T-58}Uh&iZf{5 z#eFp>#={-5!M)Ap`+2(Z5%Ldq^joWa9I!6RDZ&V?r4`^l_3fY-wf-G}utoyYQ(x6& zZa<|rwH1Ly+u-@fu8Zz>r->0&yziKW8K1wF2O94PONK{yK|TGh=%LqP&9evzbDGua zpADlo?*{=L2j8<`XH;5ao;brX!-G=CLC0|m2SZ&S2S*1V=(t`yy^Vm*sQXM}d>neM zd>rl?_F5%GCYWHWG13-PYHeZ-Xlb2bf_h{E>b8;A3AT}z@z%B-6cMjgrj;b(!%NG6 z@IcO;2!m@ST_d23h{xk+@Q6q;auE5&X=c~uf87Ha5|p#&En@KeBlrvb8#Ak5-Cz9S z&7Pl6@aJZh7558^0wvm_2ara>Vo2)Z;-X$+_6ERjML4D18;B5Tam=>(het^<+x9^o zeCEvFhIpcXEI5f3M(jK;q9$#zF<0~6%e9;lx><9s%tG&dm@#r6`hUyP8C=D1keQ1a z+I-hg^u054B_#dSica!!)2@5B9Rput-pbC+#u~TtQ;3rmK3Jb$KkS^DcXau(T6%>} zIr$Ztuf^w}MJGNPv0!F}5^O{rKCA;iGMxFO+^}0txU&TJKYaZK45 znWKAz_Ihd*C_mC~3nX=XP?RF%9b+qCgm$Fg*5&a*@xiMN9@yue4>WlG5i?7@jegys zJZ!{%%w(R;HnAwz>AYW116Am(a_DpQ4!3*IU>T2}&4L_ezm>&Ve~QCuw0pXB&*LSM zWdiG|tq9iKz2sJS{`Tp$8_+WWYY}`L&yBZXRp@L(Uq9Z8h-L0oRPtNn=AyL9&d=P( zauu>|Hac)2r5O~+*`0nI$QpaUg(j8(m!~iE?dig+yGJa+ib{GUJ%EpUx*$cwld!v| zN77B;gM!_Ie?a`i3l_IakP89b-GkA3P!OOOFkV3c?k+){Yb8BgSI@QFPFTxMo*hJV zxCgWCxx#ZT{d4i3 zi^Fe5>Qo2S-Yp0t533I0H^Wy*@jH^Tcl z&-n^5YXqQ^6~vw!MR`RIyvlhBuv^v$20Xdg7#FW#pJTV!;3gqmXjR=7ZD?3s{2!rz z3CIR!c8e}sw}dOmilWhXo&!_e!blC<+%Pi zez$BMIV)cTpA|EUvog@vd0BMG#{4!=cUC@uqnG67$vr=?(ZHiNYYApn;HdqDC(@rmh6lg)O`4kc@HXQk`| zC+)UhREqVo3v2{s(H1pBlQ!;gm|42};%>WIqZ1~q>N!j!j4&(tL>96hcqc%wOj0GF zG9Ku;AWJDjA$?-FJH)>2dC1Wfh^lu_@4!yMwv{2soZ2-3sL+@4IYqMa-89ItsTD7! zSov`CG(L9@?4RF1>_&@*F5tfS!p*Zl7v>WY3X4OFTO&`duG)K9OU90kU&b+KK+P*v zyC6BW6am>;(Kj1#aRViUhJchJa$J+q#N@R zQY57a#8NS66Ookk_t(<%j;H*LnLT~@;34#`@bCRtnfzaqfoVM0{8MvTVSV8+eqzUp znC=}~um{CSV(f@WqK9E8dJ@@dNghcV<665U@nXJK#d-SS@^gHwfJcJ^AJP2U21r4= zI^77S1O{6~?l6e<+u$j^>K80p#W!S(9&b^q4$ZmPXGlvG@@Akk? zt;}k3?L5$K>ya@JvGqRh5!k=`b}a`VQwq>OkFY*Z(usl|sdDr{NFe7%wA2k+rghkZ zgIO64Sp#47#_#~X7u3oTbGn|k4uAccIA(>5tgRqF5)zGyI z(tU}E5{cB)O%gMi1?1*Nq;8&>G8x#YQ6iHdKg$2}FsKah zsuDSij1f-fDdH0fAj)h%P2J%8jQe-cQvTuJo}g82&0tuP`MP)DKOQc<^V`0^XRp#U zGceF+>a$pLtibICVc@`IgIaxfK4xZ2jL48|X`r8MKsLO!sc1fp)#s(Z18tXwR0H1f z#`^f%^+H}7L=VKA)$Raj-^DX4q zC@`ZpCZrQ@CL<>%fF>B70RbxwCgUu?0b@eo8Ud^$txZ_vOOMTGf*Xz4fL2D<`1aiL zCC3moH)k7v$Fwxolp{vF>G7UNxa#qd^b6`={&Qd6SFHA<7_3hWFmJwWO4|J8r?{rE z8urhL*ILlKmWd`vnUs8t{B7L9t%ymOb~pWc{Oa+GDF!O##xKRD^LVL{A%+!LHB(aM z4A8%up$f*&YOQ!SXqX(XugaP(7p^4DVHtx~GPgpmJLOELpbyhGy@GBreVwyWjx=AU zj_Bi5%Kh#mc~?$6nehsmM2o$zEya$6@Q-HjM)|JKem5#)W$bojjQmyN+H?C8CRS#~9l zV?M(T-bdYA)_%X^c6Um5tHeHO| zFaNoQBQkC@`3%U)p&1I0U3A7EAg6#v_dE2W>~#IPX`D>olmb&%tlG&#&KPsAwg_JT zoeHexDW{e`(+s)l;}%m{58^wjKdZJjjYe~AA%}LmF6x8!lxBBgZUk>Yhqm?!^&k4) z{J7dguUn-%qrX_pDuVZrp$)hwM+NJX*yCvd=g-FFqZF`#aA-5_EocwwYnM6^kHvQFR-b8`0r&hv(HSFtdYUtXbqh5`w$ zm^=9dJAN@ATCbww4EVS~QAlaPL$q^=v5Yy4{nfI&V5zaYEf#<1E~FSbBa zwKyQ`a&=W)oRyr-d^HtKs&x%$WxkCO8!;Lid36|YwlhKL@|woB^EJ(FjEqxUWYgB9 z9b6+2pm*8f%4l!e^=t6j%CgaeH#zgt$5qQ^l(ln7Mb9}5GR?6HQa4Kp>-y3yDHL`w zgNXU?JG0`iCAEyBLS#2p*TXK?R}UNodSPoonm@7*62uHgXJ+ix!ew z!|p$^QX5?nm56_(ScgqrQ{w#~-J z=c|bWZdsGU`C6b*`W_+<9Ev=Js;*|MA8|y)lK)$#-X;L{M8IP7 zak2N7dVKqDjsOxO!Z^UdC#IJ;zdE&I>>jz`@cMkpa_GX9za8&I|a{Q zxTAT-f#3~BsVwNz=ME!|(C)uFU{Dmc83jfiwy-&Iv!EsR z6$yxlv1Ptxqj`BmZ8$5UHk5^RFp6dlLk88Bhr`zmEe9pCVvL4a(UG-AwZv$ZX78GP zRQ<8$_n4d23-ti)f+-lwQJcr$g0%s90V)A@!EVzt)zW^+>E}~aKsVPO$o~Oa?#%%O z=1_>c$8&DU_^52nF8)^awyIfrIM+5=r{>$??_Y4CStjLU!%i`dd!85ic>2*>pr zMjrW*ygA8E@f28GVUf#WecR_l3p`cgaNsS>p>Oo&huWDii9SxH$g3DuZ#?_`GLIq5 zY>LhVM$m3pgNVHX@nqlYop~v4_ii40!cTt6tNpb*zpbqu5l4O=U|TK^Gm+n&j8$sy z&f~YWd*|NfeH|Seg>1d{5>zP-@&`^KQ%5pw}doIq%0VsGNa7~&=fDG_hjzxWrU zo`eJr^bh!;_}T-0aRE7xUZ7SWm#abWhL8@JM|d*?4&&9pP172<|;ZZEWe>@p!} zxpnJZ?%mLURo7O%D>3&KnAa8;%0O;zNex6?@AfuGpdwlW`dDtExJ!kZ{->z-WY(=t zZG&G(XIRjLfq!Qr`b2MPBkoteh?Tjl^+nh~Iq#Qydn)TeeCLi2!#wwJ+qIy>u*`WQ zIgnZvxA1!<9huOP)7y20Pdnm#_r9yDsCZ`PqmKuugI+H#0sYgODzF-6tu+L^V{&p) z6YZo$UD4x*Pp(V4A68b_L)DMkjNBvXR34&qPU;s@hh!`UugTraLW*PYl~ z$UZA&}E##TdWJop%Y{u1pW*Px(x1H>@yR-p0<6E zj`qe$JS6SN^;hs!M*Bz5-bnv2V!`5UK;VGs9}$oAk38<`?%wLMYLuO{%kvUeV2)?F z5B3mN26Vt%jM)X)60aP1Z|lB?LQjxJ`>X$=kbkCUhE>Wbil9%RL-u8Dh&+@W>L zu8$Gf7J(bLzlR_HXajUhev>=aB<{Lv+0NrjT=Ukwb2W0cUHM*g78199#rqyWxwEdY z0$kr?9JVW@J@G3pDGa(=jg_9X(%jv$6l=GSVF9@GViV+;!&n@2Df@6-)6#!-UtHRR z?0aP087weSfWB5Bvh7S1OhB;)swOe0%bBQ|DA?MX$ff#saQXh%{N>R~Dhg~>K`IyX z0vz;lM{25kYPFymc-R{@$ItO;*|dw8vAV*Ox}X&{v2UBLo(b8NO*^T5a;aI1V&M;M zfwz&UCh9wcz1n+)M*&y%gATPsfoO#)S9qxJ-Vu7?Jr5VX-@_YBBD6r^fnuE^oQYTJ zgpLo1P=?Nv6Ki>p&f95i;dFX7JyKRyJ;gnRyU1QB^C5B48^BML2!%y}wQkd0MNu{1 zL+VYf9CDo{S2wb7=nbe-dSzQ8e4OfEG1ohXR4`BDH=oB^1TnA1JZGtx%kQYO%VB{( z=g{UX#7xrcR3NeI2)Ltej>Q}^1F913tMu*YKcipqyb93W=Fe}T<2V0|I&f0RvC+N6 z19~=(zRk}onS7NtA|jSYWJml~lAj0uoV-J_q%kooVjzIg>!K-)Au$+;VPM4!QO#|ht zdSgwLm1dA(jnj!6D4aBe%8qhgs`FIi%R|F%GuxH&jIbl#DOUh%_V(6tNOWcb4;dPA zM>S_1pLL6jRi9eXFf=n=obZ!h5>}obX2(GG%06lo&W=1e0?oE}y4AKwou5K?MQWb%7J!{X5`XW=E|{=8ep2b(z@v%_MGutk28< zZDn5L1J(gkn^|95!zXgJ-7~Lex_7~<=pvxk17e@?dggTklj#KH(#E zK(RFI)O8}KhBa3_@o?nhG!_v*R=!Ncki5>tdcyyaD!JiI&}YB0ul56%Z%B9bMa%9k zFLH7K#hlpvBUX*pW(S}{ zlw>t<{#nnWo8@NbIYOe3Qz;L`m;b)Jb>M38V)XsXZ=iYB_2N6Q;Oe@xG4fx2dQw(5 z_xgsf-*ZQrfw_bPO5B=wW~`8X_&&74szQvSCGHIRAxtbp56+CW z+$p@@;`3LW&a#&cA5f#RBAfFPq+pK4^I^utAmA$3m zlU$JA%Y%HW$t%xAbm*R3FK8=&8fYdpwiu3qR}4=`TOO8T~T)DA}aaq|%@f@e@Qg8ZS)3WJt?OlSTp>T&Qfs`$FSv8!NW` zOozpEaHFLh-3ZWtZG#<~#SWk%%bAwe)cKZ{mU6^sH^15ZW?#%^&&`mPkE_X%&5 zMNjof<$#V#WWTu@1{EP#@2Qnt$5uW4Ga}ObP!jgz_kO+tFEDiFI3$1g2V2-JNe8Z^ zFM7Lu=)|_XZ5MV~?P}U$33~G0T8;(&*5nZb!F%?oz&@z zoH4>%XE8T&`TW(`!Enl@VVB=Y?sk&1Tz=}5*Q7Y*LfSRWYbCeKAdmBjlR*uozrljL zR`%N=jOp@*7IuX+6-A3dXgD@r{s~Ap%IwjE`Ed3sT1chs#1{4j$>M3&~;Q znI$%fiSdbhz-9X;VPfK5obcREc#+!^6BD=Z1U}KrbCVYbugN^S`JOKjBiyvf6V&JB zH3>aWd3uH8QSO;IIh4)Hs>sfOY|Z3TpcgVSv1-|7Sr}tBB{MUdBF-SPU(nuC_EN@D zzomqQ7rf37&>=Aqw(BZ(9H1XNcvT!bj=g)`3FTV(3gs&KiW=ld)EHu&*Voj7(<6iM#Y_sXt|=}4A^R}Y711Ep87GM$*Gl=;BEZ@%V>Ws2OkI1{8nPO z(eJ`TScHDW%~y=$t5Y?%JFMEx*rL15kYpI*zya6#8<5bVTI4oev|$xOz`6dGT-kzc zY9`pKmE7}uC?W0u8R!{U3;1}A1ZP$}9|QJrf%C*8dLA2u!x}a*F=kH6t4i?rF%!ZT zHZd_UhD~QuX~Z-+2Ki>PDpIqd&t!~w8x$956NcDSc)}{o-v`?cs10NHkuFQ|XL5rbz7;U#`v6&YbG*YYwQqiyP_0*^JH zwGBnQ+qJ0zyx`k%t1`T~xusdpLayRFb=835kehS#NQ+=wtEr)PbLI86B5Qsoi<0iX zL62p9l&d4B#-euUnPXP;Xxf;)+!7Az%vqQPHL7|FmEJ%h`4fGdN|DxoO63OjBpt_m zSi5}Zig=If$UJ0}Mh?Xy&L~|P6Olf$cAwXRtEUgVG>u`uHU(GUz$ng(s>WW$nH6>{ zXz;bEq2Z705!JfsjAGqdN z%5JP~a^;Ci$fU~TDnt<~(^1b#uB;p}C`rz$G#OklxFSYU9f|%ez`e473l3 zkW8H&EJVlK{;Dc$xLb6I31GCtF0I)}hgx1xY^$z(F*tB|Q{H$BAJ-p`UW z#qyI(UDuXeEhB;sNguTvp*`*S7KjUo)X#zrZ#mD=vOJ$c-nD`+ND+`alLbzQ2<1u0 z(1qSTDTwd!dce&GM1nktuhy+2qJ0yq9)OU&M(h37s5xN z(Ezn+xtQ~EeghT06kkYd5Nh+au$?RV)X!wTX^5%Ks1=+|&V^hPCwIWE5yx1-9?v+{42t{s zT+H*{m~lcp#U&vbw;Y+SYmyQXqJwyaRTJpx&KaAzpzc+MHiU)Y9cn=@I?~I~Z2Hbz zMqQ>!5q#og{g4c zNn8&iCf>v0+;A@JUVp+CMs#=+VFibC0VBh~)E^C(UT(*-KZ=Xk*$3WmfAd&AkAQ^!CA1E5|01O;}#vSM% zxFPN8D;f~kSngS3{N81U3ubv*+%z^}ukT5~8T0-COx_+qzKS4A$sk zW2{`r;$TJW^qCQ>7;0v)P=8^l19LIXfQdr_MLvIE1(N;!`mBt@<-su zyYXuV9(;VTdRQyed00!LD?qGGmAL1QvO}j1GE!L!Z3l^!jdbR5wbWuN*)@h0)>Vt< zmcc?Ywp51ByHaj|5uH7G30b}c4MrErEPrmOC;B**GGp;k^GCi&(?xEA~N2UCb1+qteFF z*tc_D?|uDw1Q}Ja7a+SPPH#kn<;v^W*YJ@?K961)nTXvL`yl^8zK|xw8?iONe9T3$ z&jV;20td**_Yu+%8ulX@(ATTUQe}y~J~ExmAhKNOVbGkoSQt9Ok<3u=`8V$cdkqsS zlMW?l>`e#FzqI`Td9^u#ul?JuzzK%LHF-*&qw;NUAerLr0)LciRgc<_7}CtzQmoy~)I*pS4IcQ!{D+1kl+qn) zR5gM80sl5gEjm_%SKBRtU*wUc0G-DZcOZUF;t4ncX;vrZX=;T%7S%$jFdWyw+*n@L zu!GdjPy7OD`H}Gtw7#*%ISn&KdTt>t2Wp6Q6hibE8yoNv8Ed^&Iu%;N9dT=-KE4M>Dabc5pUyv)b`J>5KQf%}UB5 zM%#U&?L>DLUdf2jB=_(D+uKe6pVdu3V7htTZP(jWD~5($o!w^cx(?6k_%>*}joVg- zfC6Pa)!}`$!(M*uF|=*pZgcx+Q~RAxYcZQq^A<26G3_rz!-Hq-1pn`wvy8cqnPBg$ zWn?TTPh6rQV+`KN;iKIYzL7j6x;zsYL-~p{8T}@FLYiWUk@QJR4z+gv3f^`7c=tTy zzg{0!I$LzJ2PYI%pMUHu8I_9ky5AlcS|RW_#o-{HzQQRIe5~3H`d1QU0j}`!Rp5jO z4ydlM!ox-ljIAszpm8jx82~a&t*~lVY+DOpj18vgn=&*RhH_jvZmOIz-k4c#0hfSs zpsG}^GU};v1^C38tHxE!P|MKMl5;ByM2j2>Mn#cR%Yf~pB?nlhMd9dqXUI|TE0BzF zR(=lVRu&Er>Wo^U{GY$;@;0tj@GV;_9~u8J2aEXS^{ zr)RCzj5JotD`*nmbJ7GClin9tLsxmHnIIn1X%eD|On!1F@KY*uZPwyBB3(S246%S~ z?IqCQ6bn$`E)GQzI+^BN;9O)tads=)f4-TNUa(&~4KcV{At-erujnwENEd*1)zXUV zglP^9+T~K|3(4_;7t-UYh-1DuJ&1dlUP!UVFUN_~X~*kN4gMT{^Tojzh=a+Kl>A^TqQp z4kllT?$I_cZwX0;ay*ARvu!;7Guso>|BTPKG9=i3*eioPLTqhmMy190kws z`BP2+?;}u*-{kZ^+_o!h$DtjcD?U_?)D#FKFM|%(^l2*K=9Xg>XvthJr42C&xgQ+6 zoOe{poox+p^rd;rc;{l()NsEEBrC@e_A}MHm5M%itN9mrt2 zH3Sg`IU>)B%w2}R3}HG)fEu^NsfC!p_nG!Aye{Gur^5SHG~(97bE@GXYL_k-46ao-hDm9_MBP{}I~twct^s{5|@R|ec$wYIg9 z#S5$BRE9jmIK!Chp-)~{RbaH`Jc3(VLw+=PJ#r$tvZyVWd|RX?p2dN7FVMP$-Npgp zhV`#!W=reVl_f7ox|~~+v3zijOb3X z21FnKn}rvb9!lEkyfv8ynVFU>gdQWMV>B{bMc^uqMKV8`mQLC)Kh-wzc*RIIPM@$r z1?*!4v^B8>ml8MvvYXks_rvyB!?A`0y^2M)i!ocqMn=T9ts9yVVQ8@iTztmJ+Pay2 z))dkklD1Y{>sjwv>p6@a2Z@;k7&pQz@~rn%H-PPEH!X`_*EDJl+xDc?p2$ z?1x!Ux6qakvmfI8PDu%LZuaAcM{5*}{Z5M^L4 z2aXBAt7VamyoXuuGTw=ZR`cokbRku!M&wg4=(LrPslY&vQS;RRHE492h6RH`XQdn6 zm^Y1?%mVKXXJuvL*WMbT=d5Yw`2Bg)PbiJZ2)?VmnN;py8PDCzo7e?=&~u9&ViKG+ zmDp9~?JY-a#q;qZ^&RiRUvSX$Hz|56^wtz)l=TRd;cJ&wdqPhr>#YTCWvD@m3bLvN za>_<}a-gEA7360ZyY~hOgL>ilJ=g%~j-x^F#DGJ+cSEmxuls`y59(-{>KO~`wCjEW zPZrA*5s=tj&oHZ?0WOp&HY;Lg%HS^{f&SU}A#T^k-5W87_Fr{&B7^w##;=ekyEekE z-?&R>r~U33yL7*1(h6mA6f=wk=&hM?^?dYyej$D{XI>rX|CDy!JR`GH#;p0-W=dvc ziY#9VRF~Y=t^^KopKb<^HX{Y_#PoKLcE@DJWJt4bQ?zFyPh^(XEaH|w3!1(R0ksh? zrOwhK)?t=DjmZe5ZSk3E?;<1j#w3JCVRI3?=HUsDSCLVXu#^(<4}q~IHW?G6BBR2W zCAC@`CES6Iw~kn`}-gxVX^^pV80t=r+ZgRHm8ZgUd+ zCm+-8@ZdKLLt+ef}ijgR@jEOtZF2m4j zE}eM&2&tvdm1Bw%xALLE z+jq3P!M1uoqn=Dtp5P3JK+SxXG^RsFi#u!Kjn#0XVGCF42*d|E1fGz% z-=?$=w|BSmoQGvZprqE0E<{z@+J`X?aSEWUsH2r5;im=0XNdLAv0Xt(7< z%ZHy&w_N%8G;)NSf4%}8b*$wB@TZA8I)6TW61c0!E;ip;=Hq-SMfuEsKlcF(kEKn#h=D}cpGEmwo~DL2p1*U~4M z=}&dc|LyPPy)%4D9!ma`pg0C&8s<=k-uNdZ>`*QN!=}l~GN#MU!hBAx#BPe+9JwiB zQ>+w{3N-@80!Hp3?%j%gldvf=CSh~JW}2$og+K)@<=t}FZQHZg3z0^5ZOplrGEEtA z5Czpe-+Kjl1ph#^+AZ#Wl_l;LKNR7HlGbj({_EYZup0v$Frsd}`n&tv4W2IcH&|g6 zxqLOOy=e6iwR!(_wonE``I zzz_rY3t`Tv%Z4%Zk%?!(00x{Eo3J2ZC?WC#wEz3a_khij39-Nr5%9y%S$y&#@@%A) zv$jL9g>GmdeqnXAFMioKn8*XwF<>|&Kgq&3WcB*h!M?#VjxwB7vb=K|B$ZrRK{9+7 zDxZU06xlRDIR%a60tI;)IVzg|CdDz)#-(BVyB{kY5exQdf_;@Ne`Y)QWV`cbNLZgn zp9-Jy?TxTxrdDvCYGBjk8xi$^&4>%fj>imOA00UCKLdkLCt?Rqn*URt@<-_(O}&Ws z|C9d-IVNu}LUNS;abgQ{w*F}RttF`B^@Z0&t95C0#V7eS{5nwd$>O?9csq~kjsVX; zl?F`a$;`U0jFZ`q4)kN)Zd1t8pEBzY80$UR@kJGik=0 zPCw3^a3}u|tch*pJkVQs$ZRh51YErV?E>ay*io#5{X?cU;{X1)es-_f(3yEUQ=tN} zkXMm)pp%grcf|KHe^$g!L7nR>*9xwIa_v-G=HQE54u>R%3MZM<2A`Wp;5c|qegd4B zhflgWU45dCtUfVv7ID}cz66Pbnd@ugLQeLHC3x@(wkPm6$d31OiL+T1;HSO{QI-3e z(B10_1EBv19BhMCns?P#%~oG^->p@(PBOBF)$trgys93ohgl{{<*=VwYw#-_&-GLb zp3hov)I^I%rH~44WjMksDi360Whm5KG)2)PSi@0`IUu7)Dk6NQZ&TENRc}*I)#Mj| z;tEm;SgfWFPRF&*t>EeX1!)Co#cD#x?Wq;3|6LG8w{ox|AaVC5fhHhT1=cki5@v<#MEY*oG1cDTHVN^-LrM2|KQey0rQLud#OZ3uX95oNOS{L# z(ot#m>AWj>%(J|bAk)j(eStJmoCBg_r>ib zh#AgGw{tmv|MQJY*JXGnWRA1zD@dyKFHZ4badl6BhUh@Jj1h8mT;HU{F5_f{3{7>+ z|LyO6DM~8ZkQ-AJnu{}bWv|KrFO6f=F}d#nH{^zvg{nDTBJfiy6g<-;Ly;mwp+FYs zQ$X_+CUB$-83IxifinY!+4_u=*~)1RZO6~zN~sk1MHwQ@OKV7LDCUyWn%Pbki(4~@ zR(G?5*nYc-7wR-W(9Le2U^Z-s-CN@b7{|cdCzxTNV&GN#szQ`fhZT?#a~fu32oA3l z8A1;8>q0g^t&cSdJDJ5v#xd^<5w#7ObL|ulG~uMgK_5kA5v*}usz*VaGFdAb_-|7D z+#GeLRkc{rGs~o{6uqZ({c>8%!8Xm7z2910c1m zkI7-L?U*fonL(1PhF##2Tu`ZY?8Aw(!OcJmImdJm?W8I#NA94 z7wgVpS(?$m$%3HKwy&>$I(gFI{>I;Nm+{T%*@&>b9l=Ozf3HH6@8rqp+0pImU$6gh zs{I=tMpBVfJlr!3c~vW^CEzgX1P(a{!;;z_Y}-p}-^)#Kt2@hk2BC?EItM$k^K>ts z3!U5Z&J|qR`5d_Nd(uNhyI#}N3?7o#UhmF=^s8EdK9*Ib&4s<&wq`jzN+r+io~*Kp zflB`V?3+}Xikz+P*j4#mScOc79Je|tG$7OALkRfHAH&GX~ z^$-{*y>>lv**)UZsg-g(#e^@zli@>B@oaeNkQv5Aq?f==LUq18-Jn15Zx-RP2WmksoP+9t^l&?;}{Ux#+8onMR?XZ;H=mra9S=iV?W zwIoFfl_JZ8JyFZ*Bl=SUc_GSr+I>1k@*qSCpVgJgMIOR)hULnGmkYGBwvqiuJ0@s{y%vSw- zm0f?Ejm2!AjoF$}^F8)lm#YK=&OeO$-?~P#x33GIZu2A2>cFFmjiVFEu2$a%y2r{ncW=PsQEeriRKBghm>1cM~?C zH5hdKCH6n|e#RqC{%md@gT3Bl3klZJ^iLwJhnBGquq4K8TWk|s8k<}C^4p8o-d}xi z?PF-J{&fS;L_K$(K<^xWxF6?g?srO7JPzvr;h`Mvca@PLr7NCIBO&;<2X{Pr#_5h>55V?8u0r;4`0gL=`XBUIy*pb(IVF z{ioo6kSSamw-Dbe^}4w1V#Ft}%S5X;ANCaAth=7wa|#y2&ysrL?ueW4Vt{*y**_(@ z+*5y}=f=$$tkG((BD363Sn9e8DMsqhxwCSv+f@fAJui*8p>{)|Pr&dI_T5~hRB2k725p~D@R%q?6R`_~&4ijL8sK2$p z6~|B=A92z|E}|bq5`3?NDd7O}G68=O5z!VeQ3q}v?nsj`Nhoe(oSO31^SuqA>w0QA zF!MNWFJYs#M)yL#@++w2{5hOc-p?AF=zriYcdJV5urv}+qbc>RGJwbRJQSF%VHfkP z!Wi2w`flL8Lc%$VS}Q_~pw8?Szpi)p; zpa>2zQ&&_6?+S|wFq(p202K?()XfUbip_*m?VMk*Pq2?4@j`qiconp^IxvV>Ok#ZE zG=l?z1A^3p$zk*`rX_hJ(-PhY14@_FOt&;djy7=6DwYTdTQ<|17(~0raM(I(Z)EIC zXt<_?-xJ{NG>@VlwU@|YQ+e4V7w6B&Ly4eA2)}GCqEQ=2TxmdFp;2bs8@I3!3Vf|J&bl)?2nW z?snY6fP0AVee~;ul)3F}kG`vB;Z|kdQs6h_0oyxX2_!t1dN__{xputFv|d?mf}Mnn>WmI!^{I$ z`KogGT5Zkn3-H?)@eOd`r%#~#+H1oV$WXZ^zA=35;j8{HgJ~SWE&WvfmQ3(ds#p;# z!+nZ6bN?VXteg^EH++i15eN)b=3X~9RT|x54E?k!>IP8G` zAK?q__H9b&`&WY-#y3a_4i0^#Ka9C~wP6r4SQ?7HZg|CdwP8cptLUz&_D)Ahhn$;L zq?D@!+fpkJ5rJea9n_1mv;cFJfYV9VN~Yve^e9=1S&AZ1uvk${f#jf+0V>H9VJh{@4xYpb{orxkAicZpwt7J;yYWF^w{G z#;4fF+B~*y`^&%IY<~+bc1;A_9{CaD4VzOiQ6M<_8)JnSEx-dPe%Sr z)2T{WY?CMBGGxkAU|OZ7R#o5xUwf6Mjf18+5|SaP;hOl$f?G~nVT1;T1~$m=()Tl@ z%37G2Pqs~b<^Om2CA;|NUw*te!_pKv9M1OofG2+e2Vb0f5wP?-`}I2dyRt7-O|@T$ zo(zvldZaz@GH~7Szi=3*&Ll*%1@J$w^!Gzg#b*TtS?|FWu~~<(3;R6%Eb6)GeXuRg z3RJWH6g)pLM6}zpX013iP!O22274`Qa-fGt*Y=>E8h8Lu5U4zmv*xYdf;CFs0zO$y z$y^ze-Q$=QfgSs62RYz9sw(sZSv^DMfQx{ibtB1F@J=CNUV1+hcNW+R&_exzGEm^G z&O>>KUAU$hrIOMYRj1>FZ&LJDlu3?w>aCfXgBU5E-+(b5(SzhKljwOO4lbxy5#%Tz zQC6O6=Xki&JeilwBY{>8h<`~;pdi5}>tsN3GLy&T@%c19KUaoo<_)Pu6%!JSN@TC_ zg)*2weX5u=?3h7ypVaYqen3l{74e3xV6_#vpughar4Tp*ZncV|1;_dP)mq3)V>alg zr9tlu)`Y|FFp}flMOKQDBkC?^!fR5i=cO6hIA%I#ifNSMF4)Y&?Y!w&FP#hi~yNtX5bci<3uL*w2ZAc$r~H!Gv`jV zcf{RkII7a@V2daP0>gl|mXmc$qE39$Y-MZJ{6g*Q+R*Ur;qikwkq{q;cH__1_+ux7 zYr}nao8h#v`5}Z)>&fJ~rNJ&d57(F$Gl^ z%O!5X3dY-@{zJrkD%IaYP9I+Bw<+u`R$C5lxw9i>2mD046!dJrwUsqtr$NwG_@ ztJ<;Oe82frdp9f?7Dy!!U}Df9{srtBhIsf-T}=E7OqY|~MrB@jB`bFxiNuTH!NL&D z36n!~*a)hHL=DM^$vq{SBZ?*3eRloXb!IVxR08U`RFrIlzdnk3W@m zx00%qnbRuBG|Xm2aVR2b&zAm$zpqee1uVBR3p!)@tffNRX=F6rlX7fW5Dxp-HKQ6F z&2fUHavdqhI;w^%MLm6PK3a}XzfEbq&VMbs1sCrYc9MFp!3XPXZN=E(`@z-{-D>Y` z?d|0M3jEXx9$JE@GU?+#SpE2yfQVy|^#4=GzxwjP2-ED<&*Te#I#Y@{W7MPoTGkaJ zCYUeGMvg>jVSY_E-@ZbYXcc#Y5?4tiECsdCX3qxHNLY$_Uus3btG1f#*>tNVvurJT zm%3!O2|M5XhUh`SgO%Wot<&J2RNNP0EN>nrt$Ov?WotxeF-<$4e?}`;2ujn^DnT2# zxCG8hv5*hQw>*%XE1RiRJhcJ;Kls*~paI7~7wY$1Z_tUFzZ#^LS|10QH(qMcpRRo_ zq?KHmGA^{{)QV|TR3z>wifWF6XNP|g3yw1Vzv}F$mMB}iT-><}XL@>hF7U)WbBSjK z1ar9_xc_p6iWla{?Iw3K_czydT%y&9n-d2oZjRpk+>QE|&yZKR1Q8a<=*@|nut~Zn z9_{M+_;uncc?EfeJ6FX~8^3Alr~|t;lDzDDtcS`APc|<+8HS>=(qi?7Yq+-laYB6Kt?wRD@W+ z%P!|-&fnC&rWH^aRHHkM?&7-{UVCy0xWyt*=RJ5~nkHzC9@~)R>t~;5kw1_7anMuF zD28~d9eExJ`f1KnNeH6R;(1s{WjSWJTSw(MWggM?wXr4KA2R_X+^+vTOVY)i-#7)L8_l@D|urWxgv9Z4Z;}XZCr@4GqN_LI14tUU0?}L4Lv#3kBIOIe^A-X5z2+&e$U5l<}`z3 zranVx)hLIxD~}^X*CZ2XqGV)=8zOnujh0rles?yo!Ue-BF)fiBCf8WN$)J0;unQAQ55tpE~g`@mid@?(6>UmMnb_ zxNRO*-SGWl10KR}%`k;N+3#`2!))Z$ibQ-0N%e6edURO&ada4d#{{vb)iW{i5wdG| zd=mD29u<#H^gO1uv38WUWik~c@ZgnLS>SfkPCIZ?h#3!&1dhD|MR|#N2&Euq!;E!{ z&VGt)`Cr7ncT^Njls7yB0}co}1c@p`Mg$}Y2&h986crQ|1Byr%5Q&P2Lv%optRNyu z5ik)HF%l%_q>{yiD9N1B@7FxfzPo$AbKaLf_MEM8uEp)1?y9=^R&_NZp&JHCnCWD- zT*ivm!;3#)mZF5CFhJKQzuI+fJ^r6R%YMYZ(s;yf#MBOJ{YOkk5JLllS?T9)s2h)P zj+ol>yx4^L+)AYgi`tM{nOcAoC?s;B)7k(RH8?JrcTC@h&|_U3L5+=Uo<)Nntfu@R-LU zS~P2@g7%DLFc2Xbka#c$7u&+iQ=b)n5titGr-bIQWfAXv4MmI>&6bmccshp-Fq9se zg^U$2p`nFR#IAL7E6A*9Y$ZNhp@Fb6n1BKr$YuhEx(0Atff3-=Jt1Z&=(dPZ5L+C* z;hAk&8;B7$TY`*v*sV!yaMkR-iZ#}csfNygcNJy972nZU!YpS~V5b$aUb^l6Oj^@;0% zH*Un>YNy+PnTnaXK6QQU#@LMzkqWIWp7l#ceMponad(n!DW&%%h(z@ z+9wL{#E80`uenn>x(oR=a`<9IrD80^Ckf$q8X$u$=~^`%e?`S_&9%?})8{G0Dp3`9 zcz6jf!%j&-2Kq&bSk)}UtF#0M#UR<2*|{bS=iu* zfK;YPD2qvDRyVERS-+v~T^%=k)h1$%b!^jmz}k1FZdh;4ZQ5kY9s90v)}Ck}XF0!A zuXO$=WZ*Q?)j&m6jZ)CBgn;6uzTuUJgiNv3Fky+!f9e08Z6xI z5!~HN7fdf+dRSK!No!0s521z_q{4bOL=kzNnvR4jcq2Qb43N7vXE0L_Q#&hx`QIs0 z6iE&lSt*W-9MHQQS%9GwIt6l!eMUeTjvNjdj!+z#YwM6V(bmw`)7D!{WKxLr!dNJ* zh4BN9fLJk1EFjiUDE7`)uq!B5d;v>*nAq2NxtCNn?Ck#hV(@~U`ccIfgK`-=OJh(zQ%fSASg%UrSI5w3DX@yMuzVTi}^^uO?G8wWV-m zu6_QWJ}*pd9^XmTd1~|Mn2#oN5HOUD51x-&7{=x#V(xkL`Y?{Lx!$({?*p2cm}r?8 zLk47Q7B)1rpbp$D1dcfRRjzFL8KE+vP|)jRNeOgg*Ud8U_Ym?qi1`pRO3Uqv7A-B_x-1h<`7X$& zVNN$KQ5ex;QmQ<>ud`A2vx|SE6vXby=C5Ik?NCS#hc7@4mqQ%Z)o+6AfPxKLjZAFT zVxF&Od|_?=cM6_XME1m_G6a3(cuedEL#{E1hd!w?04AggxGHiF$K$!Sj>x2hAgK~2 z2|Z2@0VI+uxDt{o1PmeL2-e>fMCG44THq4f3yaZv=VugPe)%bQrLiu+*c9{@{4D6r zCypW?pe?UmBCoA|2P1n={@y%1u_7-IsVmji2D~dz;2r`l6I+!&?0(2>${K4rUA}ps z7sq*Eqc+>PD&m=Kvp$>SCXUB1AEk(rMN>r3PDoD(l?xn5jw0S@LQcgq5F|1`+Am^| zYJiB~f2S}kt+C@W!;%E9pjc9@;02p;qE95^@fZ?i8^wxZX=mwRGuPH>`{NUEJAhdC zgVn(S1k{H901mJ$Knq8(fLNQdZvh9#Qe)v-Ud)5=M8+Y9yFd=S8orOR4XYT$p#5CZ{Z}?q*rvIS+`!9ie_`717$H~3jq0sRaXBKSy z8xv3Dh8#zqVSX#p5t?bVl6_Lv!^+9@_4aZNn}asSTJpYFS8pTlN30pxs)QVwwctBE zAIvb}lm4u^gAX3S|7@vsv%TBAyWO)7mip|@Q_!u?7Htf~&R1@+Xlt`*oK4^2{v~I( zO)l`*yHos#*n30*Gc6wgCq*}=Bg3-v=titS+%seQWyr4fTDT@ZeQ9MRh2H>{np4vV zy*{8>1RkVwQrKXFk~w8USU##wsv*oMCNn%DoXLcS2?+;eg@lkW%FGaG3T8MCFj-tN zAq~BF$5L-YZ!9z#t0ATVXE(HfF9;lF=z9rVObZJ~k)2*4#~ISa_G|+zb#%gf=+XR% zTO9BnI?1pt&0W!9^B)!17GxJj+>LnksqpFOStDqg#~`N=uox9Xgd6M zbWmWVi;3|~+=cUWX>Pj6`gX;~ACby>9-mD!q#1EA1Q~+R3xdS-6iJXoGX!J^0&?IX zK|wq{C`dM7H^5_s24pfM(*T)d=nRqpAb~^vos7aqrlE==BEZ@}-PY3HPz$d}uyqmI zWy_U>7MR+AN{q*5f~|Xc22&U9;FE|Qb8QqC_#-2FPEAH`Y)bY|5!067n$5$T5)yDN0#9Q)PCDQl}81P1` z_&VS%car&W_e4HGgKEnTKBI*0O8jPSCDUKdd%IkwRvj$ZQo&6!u-$8xLVHMPWk6z0 z<(A5r%FUCJSlU0(ciC{c(KBz*t|TBGyw&||?|X1TcUK>v=+CoanNBa;>)-MjCXi_T zWY&3%LTV)%K}Ez%{AbPuDlr-B8_rOv#tI5N3JP>J^h_z`~#ez-5iKDLEioZ^q++R{#u$^4&J`%z<`p*>vsA&?03-zRb||~ zw6Iod9S6vL#9=?K>}%}nc0|f*vyA;t58mk@iQ_BsCE)q4J_HTnB+Lt|a(v;@L(p*& z&THRE1TS$~X|krq(fXvZ(l$u0?@i)8BtD-_pk=KWZd5|{EyC~fwi@jkubzXh>&fPL zSvD7>m}9+h6ULC+1-&)nTJH*I&S=_L&B1NLO|)??rNh1RV$r$YiF@EDo#FD+=s`EJ z19`{qK6hBkr1I0{kwtw+o>)&>j;#*e+w!*>PygF2?7~yb&7yBH_j_tPesj5cZO77j z+r|@(6v=s1y4%SDcLw%l;|zkN+ZoqOA+M@vasVS`@gLbo! zL~MTrj13@-WB?p9oeuZ_v5#f#2 zt+^_Ob$V;-z8)&uccUIVBK;QDR4UR`1qD6?y$4kNeIN3quT&0xU9I%qT~$f-_lMs# zj9QWmTR4-DaGiQhbcucvq6%CM6P~l7PKZ8Pr&ggJs5zDF#l<^aMM4&Dga$G{Pwj_J zDlZm64}ilu!+vV2`BIbe4f$Uc*RMIv`T4YKB=U}LcT6I0q5gR}ID%8`I&}4EjUWD6!^H9W!QEw^yzL)TPszu#qOwcEyUKyu(?vv#*e)FosRzdb6& zdV)^_kojhf0cp&$%RlmYPKoDYkHntu!hDx_#BNY#{!Yl&bFp#g;pe-u z#cOwc*ibCaFGVvIv!~gMfER@Lmx8WK#b}Uge&C={6@|EIx?22epvUG`222lnPMLO_ zx`3a&T-{`#nVyfsk~}f(##P5m?HyelK06j2P`vk$r6{P#PrP-6B(-Zsc&PSUI@%K`na0{{-L<^Z=#LW3bF?yY3sx?L=lnE^&Vu0P5J9b7Ta3xnW z+bHWP?`R*MzxI zgqR@`i{NJGzCrBED5aoI2W%>gz`B}Kg|Gs8>QdMvK|3cDkeqrCE}E;n&G(zczOk6=E+;)o#haq@of|8mhi z%QEQJM=6Ji_rMtY57DUHsB;4cyTc|zV&kV#q2aQaS*gr;Ix7pkBN8&C zP+*Wzr^f^0D3gszC@>PrCX1NHbM|{o`#IrH<@aBO)y|)?0N2{NgYx~R-wS_|ep0`y zyuzL#CZoo6wcx`&oMsDPLu9_fk{H+3)FEs0Y7b|psc+eEWVK_xU3+b)abzuIzLoPS zJjvQecCfN0Y#4=kwF~NnYB}pUTg{gfy(Eek zF+)4IB3!qYGyQDlnSnU;Fzh5C|K+8Tu<>Vv0sG<-!kSO2-%h;jdnx!w!hYu;9e1#c zYf5}LblhnFHORhe+goUr!}>a3Zf$;89e#;QYVe&zoL z>w~*xaxdZ;n5&~oInyt$+Fh9&MPUDw3kup5el3eNho=`6yAu)CvI30t__80kc8qIW zU+m6ct|_itzkb=@YJdN!6gtH6nGj@S=abv&SbO;7+c(VNwRuOU97_4KD^pUP#1^tF zOMrOW2~9m5^4iZI0?rQ+XM?V0U&btAk`o2^RoN6sE(|I|fx!dbQeaS#-=mVCjTj0f z1wi6ROdZLXiVV!`o0{EQFz4Fhf?mr^%+~+O!Qhzt1U@*59U2esDvPmqaIyfGzf5>2}&mrm-o!ozEIYlQ}Gb!&p1m=tS=t}plgT(EbQU8;VngN=N69!$svT>X7^T0a=R)54A_&-^ z+?tPdMWl>|v|ne7K7V=i z3D^EyX06_H-RY{2JMZFwW48RfZ}wq-l=)gB7{l~sKcGqW@$mwaxnlR)4Hi0W%`um% zTP@5SNX!s;=23Wx3A@iRHiBDXIl*ldR=$Y^t0BBHB$9CkRwEQP1^40)17s6AgC>Wv zfta&L!r0i(u1hz@#ajJ(7d%L*OuW7dT9xGC9vcX zZhms@N$AG5nrs(v-aEeD zZfU7$M7Dt$ZVXutzX~{*Toa4&JkwH%-Tf<-tYeJ#wCo8d+Blc8rLOyIz}dZLKV%}q zkaRc=G8xhJ1nULP?ybYx=CfbY4yWBom`PDt6d=M*L}Ni1pz zQhNmLm}aw(#6oBZ90AR$@MW51Az9pr6mnxj1LSk|-SU+U4K|>3D}iZgAPzP(G@=eW z{8u1y*;D;dJ&eb%;BoNRlIz5qS@quVfa4B+oAJLl)T;Ju;_bJwuN&Wcd~PjACTZof zyNJUcj6nl?ydV35yOcaD8oDd)H*jnGP4m01s)739D7?k0eYh2HfY1$HkABtzhV-`& zN9`RLK1ER@OVTCjGLje%vXmq!NLJ)fgH*|rWI=UVE;&g#5~q>eTa$)69eO6mX(cT`rO}go-k}B}0=&KPU-;>ElTVoVrAPb&LmbFoQmhD%pB~mGr z*#hZ7K|i2|XY2n!;D8|+a7Zqab21bE{1zgl%)KJ`4A@%Kv zLKg)?G+}E3q9Bt=R}fTaL&a;~{NNL<+O}5NpY4t6(o;72=6*Xf>}d z_K%-`Im!Y4N*O!w0`~CJ9dF40kHbgdnLoeuUHiaUkJ5luQfk^3C5KDR%D@S7#LSGe zpE)ta) zLL<|nXz*J_Sb!`liHR`+4j38>M_5!AwUO1xj0%ZjHG;a0jZux@#HhwloDmX&vm5bN zp{OWULnn?R4i89oY;S)vasDZ|ljj3;$c@2nNAzOw8AkQ$@W(~r58n(-hkpuw-M(EZ$cn3n~{Cj*5J%4!r zDq_0whwyaW&RzNaZtz{j_(OwveC#Hi9F822_LSF)Fe-wV4CX#Dltz3!DqNbDpdh5_**kr>GDqOD}$NWc)#ia}-Az?=2Kf@#b+gKh2B{a zZ&(r*C9*1ufP=jNhQn%}C~*{{zPv`Tay#{AFh*}(9BV7Am_Q9Ltib1YlJJ)JZb2mHs(PLa(5QQd*)qyVj*D)?J=zKwrh)HV>-o)MLR<}@9YeL zF6^}G1igwAcB5XtPwe?PWEeB`Z|Nskg!9P0 zO1(IobJzTIAZ*R_;Q znQ7waQ$`Mvlj07yNn=?yq<3lX(3R-MBuwecfW|T;I$~rQB|u{m4*_NHNC=%xiBpDn zCxesclo_%2u)jNzTXAwC-rHbrF^al_8+IX{@^^4(A)&;pC|GRWyZ)~MXfj#x=mt1? zhNi9SM~6PK9cmxBox(gicpZY2?IoJ&z!BfG|7ji!?G8t5(+ITi4-x-y{5 z8YLynsI6J6;$=wB*AbI=9>Kj@?6>S7^5AaJ4)$$2FLoM_m_^pH zH?R45>(AI`1txm8difo2smIBF^kb9GF2vF|4pd0x?$xj5fCWS}#bjeb#}WSnGVv4A zKbUyn@j*#N{usFz67g2C`1p8;4k?~ShyM^loSv$hN=v0N<4Mrlux&~llKpL06>D*) znehd`5V544LSJTPVjwN`)07SE$FfUjq63Xj1bG=)8hLL+3}OA!587?pF<->O`vbSb zOWXfqn~!7r@oDQPHD_vXnwCZ0htVAk4uPa|pzeGD2|#fHf%y4b z`PbnpSQkWRcYG-oXBYLl$g;|K6JmWBi?WZcFR=nGu@XK*$RCkNGoiAN9O*mbcVLFRF+2X)lvZkvo5@;uZfT^`g=Ufp5_Xpe~z25%yGNOH77OR9AWvJ~L&x zw}N$=K!HjnsfNdeQc0wRNep8upq+6I1$9a#fgzdU(OSD2JIVwWxLAy}uq@n(+=_(- zd^f!H5>lFP0q8!~a@8W=v&Dk=5$V)e*rek0xvAx?$VQB_z^R;9!oWjfQ>P{oRkdcD zbG>yAm1$aHB=M<%k-{M6Q)z!qp29kvSmFaM%NPQt%7Ei(#8ctmMJHwkj}LAe`Z2U^ z2D4)`et^ekW}p{;3{7T$|A(w+w#^(resm`Eu$1M;z{Egr=z~vg0`CyZakYIs8f#`t0YQ|No=8^x4Y)IU)r=jGdGNnL*Bs%mmfQ zBxyi4k~A=(Bqk{g7&4joRqVU);b=<12GygGV!v&GOW(Zyj`nirZ-zInFR=2%s>Mk< zj==|qdF;vdTZ7>R{4@;S0&QFutOO0rXAcSUCr=p$TaMNJG_*IfGc$E@cBykgHqN8Q z9lFrL-VCzkasU`pmm2pvml}s!_fH`|!+)m!I{z~bSK58d78?DTPYUAJw2$D%pJ_+; z9T`e}cH|k+#<`Tlk}Egwj;7=gyRqk$MnWegPP}mLN@lJcbLo}` z*2_m0;S8o9(+?|0tZPiL_N``hCoJ2|_#=yaS5ioRJPjk%AFjWWVsRetaT>H1m#hmP zq$P@XK5X9?K0Db4j;rS1$wFj7COeap39XgFmH?>6P8PzoIZ2#=>MxKTk&;%2HxsDggz?kzMjNDZq_p_8)P1z1h`#!I(TrC*Jdn}!KyGx#Iu<#| z*^QilQ^nDlo>;-v6Evm6A~$J8S~*H(63~I-O2T&msg~$1Po!oUcrsdCQ~RzSa2nq< zG)nVIzm-BW-j*Wvsz}n%xD6?|ocj%szm%<%KS?7=nr1F)?$s*NYz?k6mDp~9x$soJ z4e*GYMAjn`f3|&-@1U`Ssn}fTL7X%5yXeck@`@wBAdM%|0s!MuzkEd$`27nw?B0VD zX@4`~$`_`pHZElbSQfcXABN79`ML`@ zEH2q#)z8+880*LO2k;voCwm5BvwiQmNF8S!zSNtM7)ST~tLxCw%B;6gGvBX;LvrXf0>*(_KCibJ@DUn^0s(>^ z!k2)+;5(a9nZ;0mz?V#>uyYu3%pN56ks{5mUg2QpfI8z~r;u@kZ+1%*QlG6A*`bqT z_iZa!h|S-2qA#mAg4!J2wx~buKHFNllXcYi@U*r0Us(9R3hIc zdkxS{q*3RsNQ}biWxS0%jkd8HW6i;-4NHh89vU_-X@ox&OFWToo?*sop3!2_U@M#> zl$DvVbR;toS2~jk={uJwmV>of456Q}wam=K%%pQ?3UPF9CFk3R$1h|}9S>-O0zS^r zusNR(e?b(mUmc_RM$%s6&FzbyU%Xc>9u+Z5SYNUJDI~=3(J5H>Cw%eX>ko$D*Y)jn zMoj}u=XG7;q0ww90s~>FXI!`WS}wM5D^`|q&xhW*pNI22X6!VTdX$xv zaqYPkK`8vAD zK2$5>UaBlQINv3QK8Be7`>NA{*DXaDhPxg%?7DnGY3lkW@TUq+AYIU51Lw<3O}L@I z;4LG2z9XjVX@~={?hYTE!kC4I{HOxM2FOMtj_{KV)$o#ME48hB32H=6k64957Epr* z+luun#7Y(eK1}QiSm7wF+J#>y1L}6JdAb*pmT??*!t3@bA>glD0kbbsF!R>m@)P>s zURH!$dHwq3#d7F_dsq{ROj$(k1L*7b-B*!M`;wk=uDCg*KcYMRQCffZKzDjKuus!4 zDp8G{X`oDZ_W<&IBg!#dgEuj5G~O?RFSW+*t-w5(?hD@?%)QdICPzt9@?Dm0kskdVux39+O5l}_snHQ_ zsncN*60@R&4W`Ct-o|3Dtp*tkiXuzy?4=9*0o z@I*(AN8!^L8(Vv?!tE_0 z^S)!yDtBCVKARcdGd6hr&P1x+E02&%pFJQw`M$Y`7JI+gp)a)|&^50-{I6&pl<$@A z@cip^@KENa=}oQBng)z@I_|ky-pM&Ar@OpVFG)AyN)otueg@#J zdv^i#k_xSmy-ZpXcBS;j9X?QVZpB+E;G)q%BLzdWDam^Pl;QXB2Dccwcw=k~?LK*R z9~SdT^l@Qd9#Uw3g+1;$bnDyFY-o>4H;93Uy^b{E>HQD0Z@ldOlpJ<`fOYFl=FOv_ z;PxT4Cl5i5o8hbBXZM{y2&)&{aev;a^94`yiM$C79}y;`0{;_``F|h@7#fp0i-*l( zqlWTONC-!$03?~JHK95=QVoTRa0 zBik|FQja^wo+xq9*9eY;SHtm~0e;X$MK z^E5BwN*4)O{_1)-qK~|Xk;vHRsb18-cz3GkqU)(v@r~^sr)=v$6H@}(ZkmLp-`MCe zANBerb++}}T5RVmCr=x@-o;*ekyj1M_czyrtNNRJ#?aO`FJoYzE_GcAyD~g5*u3DV z>DzNH7hYel!77@eGc6buUVU(1dhG=ljCd>M+UeS;yoEeUtro3Uxj3)o;|zT>I|_6m z+XVQ`)8Q5FU?-#F;`M5*Ly5sgmm;y#*%XL%cK-p{=tP}>>~wbGhyvR(0lZ^YTnn3U zYJl?E1Qgd6PzS_2{br%Cuz-NP06Y}fedj#qyN+7Z!JvNqi``R*76S9$Ko+LU4nbb+ z>PPoYpD8=@Q;CC{>BgZ$;WS0d4c=g^l+<{_podL$&|W*<95RzlQH{cD|-K zg}zP_PXYy#^oS?EuITCM5im)-R3|+xNj%8JPDW|Jk|VM1K*dYR0nm~TJqC;;p#N1b zB_~BMB?i&Pxs-j)2g3&4h97kpf*LZ3a)@ylzjBdhw!j(g zlP~M{K#prCq~u_d-imF-O`4T;ipA%NuzY^*m|BZi<{f(4y*J z;vcAgP*;Opm|Iyh_^{=H^0k(G3vi9RyUB>3^41`y^MLm{IQ@ar-N<|)rM#@X`;r&# zm1_Q3?FH{aTI4o7U12f(B4kumFDS#KT=Z@6XF->n!g?p4Je7EIPjeUFN$kRLcohe{ znJ4wZuzH_&z&_P<`&eq6Jgm+9fYy9Lfc&3;CT#aoQSl-81Fu(q5e_@BLt~q-m%Dv! zw#oBtNyy+@8E?hvgFOz=+9uCU_9Nm7+hefZXz$=&n+ewm*E;1k4^tDg(U^do#_q0| z$Ma|dtW!1xF7K6)@leQ*Q@yKF1^SL+Mg1xQ_8sku#%gA@AwEQ#N3DQMJ=zKU+zRcy z?#Q`4B0@TwAdQg%7Vr+0R7YSff^{z-%H6A{WMs^`8+|?byq!o->*MoQtB{Es*ie96 z-Nnz)nOg^wW8meO@9r~MVt#RdT_erThJ&k?Z<_+*1&VneJmj1 ziENQu3*=T`+F%1pZQ(P5j@z>8iD3b<8kmTv zXV;^$Sm8JbH1M$0Vow;OrH`GH;;|yIW8gXVN9?XctW{h36@K`WgustU)o1FGy7%;- z|M_|8XH%X;@G`$hKX$j*?FmFijQjI?_;TkgOM1I*pWiMv{Ac)A``%~6yr9=mLk4)% zwrlto&R+9m7!mu0A+aT5GtX>$4V~p)Z&Bh`=~sd0&-p|S_vIv3Fw<1nP)}6q=j@V` zlDdEqnOk{X<@mh5s{Z*?NUgSmHUsMl9GxrCkJqozr#B;K?H(JQbk=tG*6~I|c*lw- z3tnLNP{V0(gzJl^@ckwDwP9g0>wEkcj_Mmphf4ifzR5y_k|$>adt%0fZlwlUpuZch zX`yF(?n}=T2^Q0hwnxf@LlQue4DOqS#9#Q$zfcl5NwPE^_(2dt#y=dR2@q>cQ6=jC zJRd}+(eNjZ6TNL;-2LEQ-HEh$%SoKzmk*&ParHa6@Eip`>p!djqS^)8tNFRa6t>v9^Sj4k%i3jt0FGeHTD{;~2 zo#_dzuK9Q#%Z}5M!k}0}Haa*7Xenq1jg4owh&siR(~`4;VyT5U(8{=Ly308rn-?T! z4Cw9;>61~>Brpz6zWDkp`4YX|@~r3CvZq#0lxmRwtiOpK-G96t9DVr7)@N83(DV7_ z)f#fYC^CuQVEJ4v}tKwo_)UlW&Z2@1Gca8 zg74-(5BDhZCUPt5e2F{@b`t-(&R6@Z)>rfBRcRZd%?lf^Bd+a<1vEd;i$0q-H9~$j zTyLB*KV5a+{5R3YxfK1~ngaK?-+FR?J4R2UXE(_Rz@F`CnxYq! z>yL(+e}DJmjykl*PlfN`s^%a_-u3xpLt(ANd~WN>f!?gk?p-VPcyfl30uGiJa4Kwt>V4amR>34B5rWFlzEqB2>P zI8@05Af61u|5+^Jyet+2f3TR9#4kz5|89sp?tWxFA2^z|Hsu67@Ku4ah$US%Vm!nR zVwTW+v=suCn6MOLz*TbEvEU>il+4aQbZHyRBX97o^V@bzUPP-B8k1<(Z0ar8zIf+7VcB{In4{mypMy#_N%t(8TxUf5;8!I5XGtx88&15`C%W;r%P?yS*%EhqX&O__fR5zp=^1#ef85k`FHv@cb$88AO8Bh&T z2L>Oz^>*Cu?kye^i&qnE7R0RYCIVWxPr$#KU8ic?VrtPw^=zTE5WU^4w=7UFNNjmB z`cikihX-rMmmkyv_v{zfMLt!z&OO=o>GC?)!YvisWxNH2VnF?A(No|A`@Vr)u<=4) zp)F**PK$8qNM;!AbUxvE=(y*JgMkZ?aq@J>*f^;;AA&r39wOjDhfPN|J7HA~)*FTN zWhbzwy#Vh$uX+ys|GX1ivh!3L`rr4w;d$CiR#g-5b1Plm0ms&jcOTfK0_o0`0Cx+# zsSAXcZr|;OIQW?Ou>~uH--zcv+nR0^zp=ADE*s~KCN<&e*Pa;dj7WE@jZfKP?(TXrn-5n#6BA$xFxhCt2Oiuk zq|yYKv-S8{$j3&b@=<9_5|FcB;y)_>pfK^1|13<9@Sl@N!;>w~Kk{6-^mz&VkXx3p z7D|Vv+A-&Nt!WZ5l&#?<%~i^KoGSDpq+(oobQa|pg90hJf`Bh{V_>xf%R$ZO3-WH| zn8@VF)c*MVIj{Uk(Pw>l)mK+4twavBZ00L6EI~g$6Hs4MU;j#3;jILd$5x9E3!0=_ zA>!nV*oHQK>BQJ9c1$sWBpyD=!R(|wj#T^4M*rVyllO1su^;rZ-}s~M?cIjZp3`N9TgU5rZyi9v3ptYy3=H0$%ts5oQ1||e_}|-Opn5-0y20#z;&2zZ-2t}SKteW5yNIPMz5OBs0VXU z0`m}=TQVq{!QDn-E7v7ul0(_I?%u7}eXQ$PH)QRp_*1}Uaq->oSB~vIhM7IRr{dkq z2Lv7om~yjidbL1?$9EG(V$>hd_af&4$kqY}+}Bq{+a0k%j&B~(#<`S+)4l2Zyq09q zQdqY_{ws*%dn@NbcXMrt#P2hsbNTPBO3fOHpV||1h2{P72KY zN-9%fmh*Z3f#C|3@Em|6Ac5n_r)Jey^t80ZSRlg!>Y9zQh}A1ZR)xs80J6*)Dp?aX zF2G}E>UPn!+$Y%)2RdPEo7cn3*xgxr33;89*|%Vs>*qIb={xvgdH1)1H|85XHcM^> z1$durdy0{KHW`9-As!nG5QD6gWOoae*-4}fT4?{=vG$MFf8U;`=>Za%e5!I-hL>uQ) zRLgYAl=Zdp^Zc<&rTBIsJoYu}pr}^4mJ%Ws|Jy~ke;(8MR5BpZy`=e@=+Q3lNs>g! zLCmG){(^SM%}7c|uCg=ac-5ie%Y33wK7Ol-PtJX^BLZ2KjI<$0pM>WbSe%p>{ovJ% z`L~AZ%|Gp)QKzU=R5?pH>1^;)NOXSqP;Bbxfhs2f>A>T|pT$Pz!h^nK5*N`I8i_I6 zCE!3dB1-x1WupDGirdVgmFn=aByCgyx0mHL!vd`w6+(vPtF*q<_nsoB{vd{yW$MW1 zF0Nl#1z>&D7;veUCFUm#eL+o{o57wVmXu`5o(pcA>(5l5aSN}5ed4SEkJia8SdWOX z>4F=g`RY2My2u)>8aC2mQ4u39d@wd!jkW^5G!locB5=TL*lMs<{Q2%r(D8FC&2r<{ z6DmWQcd}r$TYikeGEWwO#v#lwXqB{)lC~d}@22lh{H+Q2y201TZuJ+$(?QNe}&Qg?6y*d$$4E$C;o;cx>BZGB(Z( zGZsCd7pN8}C@2&t(BU(x{sUDDP*HBt<{&1r4Wgv)6wwqT}%&j;7si*2=GrP-t{EyOegR;lUU)2q0*=3a#^?xg!r z7iZkj(1Si%UQu`tk=dF+tw7Ct8mmfQdAx8n88I1=nZOLA`$l)nZ;riiMb=`(aRjRY zCOm@N-8Z`MqCU4Wzjprf0|`=omVU6qw-q6GoT8^-nWWX0r(nd@vNGPb8D2eBt%C;pmO8X`7xDFheYYRTh`Y@eVGM;TGC=^bJ`k+{7dR@K9C6=p-V ze!<>5FI{&Y*^l{tdQNKg3VDZ4k|U@g>|7|Rfj2-K^9sUla_R&uZ^ z4J(-q)JijGCxj_XV!ss<1KOdI1;|~=LgppL&C;g|Q2*!$W%`nMOIG?2$%^xKf8< zbu_esQGE`kdyFDsr4tP+VK&b_O2E;%70%JiZ=Tf2g^!wIl;?eg<`1|$?=_K+&#T4k zmCUrfQ}~+8CoTsS9}8Ke5KozJ1$)vf2>W^X<{EHS0!23)8cb8OpKCz!w^g|(PY>s| z1siXCtb|33CZhh~T|@>bKf1!O9IAXsP zMvB1v^C1!|#JUu~*|Z9RN~BUiAH2ecz~FBp%R(l4E9#oUWu9GNpu8Mfrk&ypk6~@G zIWp`9lJG_xue_3XzrpDjnPef-&V8P{MRy+PT-ii*LA3bRf*aJjN zl9=9I$ftgoY8Z0USJoyiEf%{=?6feql6E;GSK+N%nE5$K<7E0ce2|7)m(kN)SwPjx zcV6?=reOG{bHwIIWEx?0qS|_Qcu|2RevLqT4wzklB>62F4$6l<{}qq&uh*z5RT2<(S}m zEw9suk%K>3mxPFGrQcE5Mla0|@JYSe!rDI6-P$DU&8ZuzJHLq}g%Q)C=+Ge>Lc7?} zBf2MSS+ueU&t0B!p>r~MWo2K_e!cbe@+f>aryJ`qPpVZ`h8)z_TLc`vY7iI4KyYsa#D?s^;=EIMUh4Xe;Mf~fakKMUSy+8!1Y zdi&RcDy{MZO)fkCHV+Q`X`gtf0!|XCI04JFyJl}3>}>a6^labRC1oq_A9;Or$}7w= zjK*e3ED<9ibO+Ci(7Z54mTX=a9U6iA7ynN$a1+u9iGXm(W+4goB8y7k{=p!AU3I2+ z{7QF5%}UJlo&JNXolLG@58w6V2Y4f4XM-bOGqN$fVdY>j>JX9=EC4t?sLp+587KevQpFIN@28l{BBOXzO z&H;zVshoFQacDvPTI5Fc4JF{UkW|3`&MJo>sjqolB&t`qa4gsHSeC;RO`1?rSVSV( zy^T?Vyp0?{k6PSY6rf+#^53!|s`4m@9h{vGZSmaV{M8+Ex-P!3JKj!Chu~p*Zg<8g zc>20HAV=-x@ak>VWK7jWRoz?6oQ;n@g3f$YJBjRG;{-5MZ%4-?pHEfQ!7j|LJU!}j z#sAQmU;OBY(21>pPXoR|gPl2)2rKaM@0Jr?YX`J8&$B4K8q6&Mb6vy3H*Oi1yIL+Y4*dA6b@Bqx}!`AGbdZ-735czWQS`M&?#S# zRmsY_2wyy2se*xP>Cg?QvU#&P(qa>M z!!5%*gu^13lz31#Ar|*di3qoZgv3Vx3M5`jI2q3y5gzj28vB3wqqa4oG4^~+`tKM} zH=?x%<5ojFJC_mHgO+5l+QM6-T9b!|*ctL9A@P#}Pv%4CFY1qiZzN79BXZ(DSqW;2 zpRL8Dn>aVe%=~*#HTZn|w5MTnHO`j8F8)db$1c0A1`gZjk@sofu;8)#Z+h5!jN%P@ z4CAY@PNzDVfR#OvkYvLiHUdN7!Ygr9l|-jVk${7~`nMl+I$?2U3mb53?EfB_{^9)N zr1+bAD|Tbu$}eY(-sYo|kZXDIH@`q?X{rh$R7 zJkWy4($Ed6L8>aS;3P#w=plI{om}!ZSq+UG#Cx%0W@0wrJm~75#&d-Ti9|V5RnJ zuJyQix;eL zd(rF4WIWpT)+g$4cR(Y&TaykJv@hSv$B1{%(n1iWMAbwjzuqdubTAeY6iVi)5fa2* zRC#Cw6r>2jb6i{lm`qHm2&xLI;%;*pukQC--jkQJi<3pk%dPY%bn9D7XxP#Ocy~JDk~Hw^R(j`wZu^c(TFP1p;h#5GANqP7HtDN3 z?9+)=Gq)k{S|{Ms1Yfuvux0K2)%OC|(>Lg_DXga(D!`pmAfOR-y(*$S`g%H`0#;@! z7;zCR^8Raqg8A=-{$Kz6diLX9Ywh2+dvI6ZGW|^C7w!f_djJQ@oY(e!c)!B0e|4F# z%<*;n0fHw4oDf-QlEHyz*BC)V2p;Em1Wk?$IB*7YC@1I-=-gZNsbE0o(=YfdI-hjm z1(*LqpMPB$C<5lnFWpZ+AN~4NUh(lSUb|B9>){FH3d zaTSNY{nxhs-|F*^F7exEBK<*m9i)35hdJmle)cWqw2oi;0Ew;N%&z>}?^3O6)x$2& z)l$n9Y#||L4i?>zVAqhn$T)F*$LI%0Z&KL&Vtbd7Ycr`g{c7j1;rA}|bmIqDrj(XA z$n@!fVQ^x3dR*-5rxBULgJFqP@nOl2&VW$oT=|HoCx<1%>q`#nz#9N7!gKc}7ySmyMTQ;;coNgG7{{Pr}^KdBNxNmr742Gn!lqJ>J zDajT>HI}48L`ADaR3ZuOW{_+Z(n1?5OG!zTCD{_vz7a)bPqbG$rcqhp%xYx6$l7{R&bSQG;W1W8m=u6BC=RyVNv#f)Pnbay#C+JFaMSn z;iyGlht>~bma1GG94$WjqyYAtE^!3!mT&WGRxg<)!t8csITpLRII&iv#msG7K`lqm zi$MgJB?0bP;Nobrvf;70`8?5_0~u;D+I_RcF`IR8;z7&+M2lYnANGs)Av!ST)FtgP zCI`;P7)&>stt(@Q$J)ZmIx2AuRG&KeTUSPXEa+(oo0!a|c=R&1Br7l9#4ve zO4DcO7e`FVpyuvoK^_=~7e!!yL`E^nIPJ!)oY^-`P8mn68XOwhGdMIjsyL}I7S_k6l9!U8F0S%8uhoC=_X+!# z@7k_lc3I+tXVKMy_q!2omG)MFPdkHs6&7K^sSl7E;VjqDR^5`4^e&Yw0Uz)@ zo!?>sttaKLhZQTr@5Vu*(2k1j(W~G~L@<=Fw*fxy`;qqr{C_LH4e`%s%ih#{lK*H@9xZM$-@5Ba z&MQ&0HKX7KW+=t2wcurW_h>`nG#fH@h<3TBF*L7dm7J~BY;1W5JG5lD5Yh6PS=Yfa zjhf$qZhUur_~Y6v(V1n(YA%*Xy-CW7gzwUwRg1RiDocXD6ZMB?)pkerbY(#!kfk?k zz1MAZxA9)#4sX%hXd`^pH5(wAth`?%XX4s#-ob8<+y}fr7;LUN;OVyYi6Y{!-v>~~ za2J;Y&S|EI@Ta68B1G3rMNR2Zpdu+E)OCtR>Xg*UA{z96gcFa4>abYUzki}z z*rG+{;5C)-AAO?riF2!Gd2HZ4%t@}gi2iIp@lypolbNRht4h0j@{M$_WWgiy$;*qR z4jqa!!rf*hha5*7E8!vNm89d4T{GiCqE{z39V$Fj?zv`@r|ulj_BCkVADz0mU-z0# z@G3paVGDTqzs~Jk)A_o+`}45TH+?)?O$su^D8MKfT4014;RUp+(aq2L!Lw(}1W;up zN!PC))92})*3Sl?q;(x@faJ_Rk%v_eilsrAi7L7LGPL(n*1i)QxivQF)BOdX;k`<~ z+u$eq*HjV2Y7IEjC~?6d@8_LXRgOFP4CQv38c&J)9CH&qN_UG2gj%BI()j3U+I?)n zI>J(~GzwGtBbqAgm{UXM#f*U&n z+%EfrdKQ;$&7Otem;)Q?F(21#SXzb(G%`317j)4dJ%>$K=VZ(Ln- z7G?bQ%wyfv&h(0XYy11_@x-J4`+fNIQ12kVYxr(&KO%?s`}^RT-5+@0TR&7k2%aQM ziS$WRo=s{NN-%~Me3%VxdE|Hsb$V8H;uh?TC+roEF1|%I4Mky_gM}{5z<^y1(cJT!o)S_EC<)?RTMdjoK%%mH7n#n5z+7-gTv(F zJuY5>3IWIPzw*`&z{61OdIjgK+flWn0*T8Hk8f@Qm&|Pz zhK788R2`P&@xvTQQmeP8pEx0db_y$!0{SEU zf(l>vI<*6dEE!~r{hk{eRr35KN|POQ5#Hi7Giau=Z1xFA(UZa10T@#v!8gtmxsdEVh`th||8w$Pt8oKQ4ZoLFNAE^~j5BQ5($_GiJ^c&cdjx6*Py(l{<{FJVW&>Xhz0izVPVQuT?*aO^fF0 z#cPMoN&#P|{X$EoMTbs-){axU06+8cH(o-@nY4m5g_jdreouP2ayL93h4CvvS4^7F zf*8Td70|xFC#^iKaB|lK=Ly5JgJ;d&9Wpy)7_uwSXg0=>Q80LS_Uw?G7&9R^A-O(Z zqn;5-mL5hQ4%I6wFI$_8KHj_U38D>8+tBU_q5L%X9SH@AXOe67Htaj+ltWi_Rh>Ys zHk&|mF+x8a$VBVeO*)6Vuh^t7XBxt()HA$VsJ368Ge_|ZEG}L?KO8s?b)x5z{BRxI zl}^8E%KxZVtH>SA9W9CVr_>`ExYTBOnj4!74x`a2ig%FbChLZ0HefHR#DCOq))z)hrwpz7f)hb&n+ld%S>$Pn6V4asJv;#W2TH!c9oqTDHS)1 zTN{h_YJbhgp7@}E2e5Q~%)s4Ec5ZLKoA=CSMCMx-Ts*8rJh0U3QC@*qAna2c?XD(e&_0U?m z@s}G?n+3yzo^2>MwaXl>(s*_zMsD!ggIJpvI8=t1?!z3&YYhe7?CkyaGdaQIb(R|| z7whX?K&!Y1y#?nhZy9flxS+|%>8W{d4KElA>{Q>f&*-qk=rx$@fru)PD zo_<>&R-gXZlTNegM+Fa2Wh6;G-`>=Je{lV)dnNRk*QRIi69?Mv!%MxTfu5Q5$g|q_ zX^F?~)`W3!+aKc;>V`+)Yk%1ujF6N#a9F~xgsm6_pGR{YFVyoY?={Q6tc1({e{9f5qeEe#@%J~7wb^7Bl zmi?6aK!53QF2b8v>Bt2S3HIlPGTs@67*)Qg{MquN=|$ZK_&9H0z{0M3Tlo_l_~H&U z%!?~cZz?|6SKg@{?)c*S?bWw|kXMMZwuCH!PqO%nFGkz|HMbi4DrD83S3!YPl!q+c zmebL9)ET7fM8clfqCXFsL?;A1UaHbJ6>GhCMqHEBGUH=gc8?mUTRi3YudV2fZ()(> zwZ}cPTwsa+jCp}F^hrI6%67cSeO~-D*V%Yg%0m;BppLtKIc6o#e1eB%9RBtwW}2k@ z7EQKlxw0yO> zhw*fhPp$f0P-_R;8g}5${EP`v3gyRMC9hskAN^7kE#cj;p$^tUOq2~cus#ZM%%x^~ zolVkUls7n-EE!$WUub5xbJMqOMC@dAf8l$7Upoyea%#wWtjO7!KPjN_E57Qtd! z+Jf4Q8`so{*nh|GhM|kQNtv9)+J~D$Xk|4im2>b(#~YuXJoV?SVSYPeKyog#s zHS|fxGjN%~z^>}6z6OS7YWm-fE9=W_A2%B^&PYlZ@@lN<(GckPXOw?pB%_}Yj*enBQ>XmAT=^0`bIaGK2D3r0m^aYYnJ?${ci;7Wq9wXcSJ-=jaYY|A zUFO&uIhcc#Hg-eb^_lEO>}SVDr5hjKN+wB6>7TuJ(p{7G-MG`w!aZFm`?Wo_kb?b1 z?TGz%fBGT+-fVc+RCWq06D8zO+MT9Bm6fGIOQHV%Ls`(gSb8^-Lr7+;&Q{-%Q<`3U z4ege(<0kyyjM?aowDj5F^t_xc+NL*msBg>g80(p_!OAaj%@sh|FYBkjEJSO&0#~S6)i>+XD`r1JaLN#`l0&#mmqkUv*V}0N6y!1 zQP|Lt5VR~&=D?NGqWprS)37spniCL-8MrYHR?p3nD~Ol3JTGoazII?>Fol(Z4&h@( zD)b4B2TJ3lpdbG1@J&HpiV%&%Bdf$esHn!6nmdJ2FY9Zl^HJ_+QKgId0ed|u^(Ev} z)TONZ-D569YsVPH>E{VqKIDHz?)I;cz(qSOz|pUy-$66$h9@;~0w)LjQZAg3G`?6q zS)~6l)<_fB-6F?yv7)2?dMaMzEovoHS8d}oRn%*8=D zliT>K$%(LJF`)YL9{a@;EO zWv-X`S^90PClfxn4{I{Qo?|S`&G1)&4e5C?Y=8MB#r`wzAJG?e-+BM*<0#Au=#G`3 zXd~#23+>S0xgvA?RUM;r`MTcSe%{=E-;1N)BbvE>E@<_pjkU1Bvb0cVyZ(Le?cP`U zRd2%De`O;tqcuhWkW_08)*`kNJhE=Z%V-^yqqEj1SSnZs`DCfHrn2rc-@Uwv4|}4a z=@az&&wJoAr&Nnuut)a(>T1l|-SewCVYcLFjcZ|kXEvjJmRrssT6i?x8P|D~#Ln3= zZSAu)*^E$$@LkS(&U#ht7WRS-viK~dNN3=eU9i%3^H-p(Uf&AZrgbhpyER;px0i|Y zxke0Nrl2776ODRm1C7a}s2~l-GLOd$C#%IDl%CHP5A8P7s#$0W{dN=dM)VpzA8=Ni zKH5{QLvQP>f#@yzo_~Qb1a5BM*tS+k zmC=@?8gce2>Jo7)KM-Y%5c0r0%ej53)F}}eR{25MLgD*P)HnCu=snSU5#N&YG8;0# zZ+9%DR!MJ3J;u!YoRaMKeJ`_DlGa7tF)FSU#D(Cj=`;(B(v(4J&+6F( z?)8Y5-J#plpFJ8H`gG*_Eo#^3ho9_X%(Iz&fix--+JTXM>i9GEp{9%@-x7O*ule<< z4g@AEgP*2V_rr_o?Nf&z?>P{R=*Q%W3(-MuzPL|gDl+LzG(40g%qQkZafL=k1$B)- zi$8fIZxE9B)49jv<8qr)QxX4s_wYAjhF9`oU&P~}A>^by_>uafJ~#DOLF!ib7qvN0 ztM|f(D&RRGCSko|4SKDqpb$NC>)9vCxe3FdYmmvzyz6@?W zuU~+Xe@$<^%T3uyIx>=ckvKWN9AAWwvF6CXg?@b+7mvE|<>(?b8c*V(dA#F8o+I8R zOF`*-3cQ8$V%M+aI)a)wb5RBpy$^ee&dSK6>=Vp{PSti=0N?kDfjqk6Fa{ ztfV_f3XdK>J~~__9R7DWicS;ZQO|9qNehNUV9C-cO6B2H5E0nLWdvMCPuqJUTWZ`LyeilkDHeYG2wpH$KGb=o606N$Z<`qeQh|_s3yR+)KxkjW4@@ zuW2^gwRF$)K%=dm{_sXZyg-)*8$mW8Z#%p+e=q+)SEG=n;7PKy>11Y;#*)mCNHMfw zb}VRbU*;*SksG{-F~jmcmYt;0eLk~JCdAojuc}+}Zdf0Wg!5*Axt9fD?5p^!t%PPX zY?Iz)yH8z5s(h#OZ@YHE#nh>#HcQN_;h!uF8-Shp)qESQIaeDiyQfZb{pUhq*l-;{ z9Z*g#^{grGpIZ{h~J zIa{rO{lCE)lkFPeqRwiD_BSnu932E|(@i^~67vrbvw$2F3{LKJr6XWN`3;I5AzVHb_LW zTTubYMZ3-Id8$EN(SN($ihReMOtu*(W|FumZHjE<=a7Wun*C zHFunVzbBnh)K{YFmZ79(mNp?*%~MAg(&Mc%wPPUpE2 zBm|@+4oSqbr7%|`B?~G$vQHVClH*Z$DVh{pN{-G#K3VEad*@N#-mfD58MdqcsZVI@ zwM}i1#HuYQ>#FuX_tOE|KMnnt$L)XMB9Ru_WCSbgzyXvmW?su9SONU+JdESR2Tz|2 zkZV5Cz-OP=m!usNqCs{^6zMENM63vcj;1F`MSvysUR&sFIm4Goh_hJ4Wmm{@+4wZh zfP4TQ63XV{dgSCgxc7J`9R52#N}NLUrF6zhEPuEd_EZz!6_T|gzE~H%@o;=9PRJSW zD&jhN{?m%2C2C&jpY(#?Y>`Av|J&mukon)dRA6@+dZi&QF8Qd_G9tG#va=~{RcMms z!}-VO!-}ss4t;WB{_0SyhO~cRiIW?gk|i6Lg)ci%w`FL_lGUq%U#y;mSzp7|+W4-Z zAdHHot9Ia%t0ztUq zz!l@Ak|dz@Oba2g!}QNUisb2z$G-Nb`c86~A&g>)f{H>yjvApfI=Fcx50r~M7WK}* zNUs_aU#K+*)h&k33*JT9Q;XxCy@2knsfG;ka`CcTJa1(}AhWVD`eD+}cZW=21^h1G zo)6zxBMdalC^rxM6+fK0{pUrc-I;|#`iEP8UpAYM|6eML!9KpF1|Nb*5L z1*F)B&kC^dy?IIkk^)M6DFJ=bnz#x#PvhCy3H?CXeM-G=piCw9W58i^R|~=Fo7Ovf zobbjo-pM95#u3n4}bUh~0IBp1^*<4EwXv zoySF=(7325;_1}7T(%&>>VmY;a2|uE1i=(aW3ce121R)ql%V<}u0SU<@I1g!4zh^T zp#1m5AcuiuUyRF9Eq2MBo^BRXVBsKI=Po?v}K;LBcXrQe%E`a7uetZ7- z`HJ%vneILA{TlDI-}StK)!KIj@^4$Z`#ZGFJ73Vuo}WEMZ}isfSVvkBRW2+1NQ`F9 zB|*fytlB?7246|p5AXB7GD>+W?0sv7bD8l#&IR4!e3J)1`b*x!TMGHL1T?Z9lIg_L z-?veQJL;U@tF|Xs9hBLrkIfe{pY>#*PjU^x8#+=lkhA(XWp+U7HoS`VCBc;RR z&{9)5arDb*xfEI|sID##(ZCcAx*yE)lF=@O7~jEBEr^zE&ToUfKHm1~ zqT16*zn8>+O1KiA%rCm!fcQ*e7AQY14xd5ZBhVLF4Y>_T3A{w?spQ3m$MPb>fum7W zLo5U49|?!ptz4HNoWX|jf$PQ?Kyf>Js@u_tl-l!Xo=~ea@V29W7Grm z-@X%2K5p|q!lQbk%`}^h7~|ESZc!_qEBxRo&t}aY`lk4x@ zi-b+q^%S(%rM?+js-_lN@2>pQ@2?_zyLuHcUPO4@aBc(>BON|GEJrRbX;oY+P#%qX zr-ECCYx1PAEwe`NTILm{li-9dpD(4aEX7g8;ezV;USTC+aI~^A&vf z{}-<(Jjq>i0`2zl`N>y^-K>5uu}Gc$zgE9P7Z4 zVml<#)bxU zM(6(a-d1>RC2e0ov$MUkJ?^&0o+GV@n@&zwg$VD*W0Y@|vuiY#`-o|LKxjjggV9oH zxBSKL=sN9t;csd7u|I25q$n^K11 z=b^X3@QV#Mz-Cas=A?%{HvE=@Ys26x9Rqqsi~?!KI7w+k<~Zg!l$0p}sZSdxEs0AZ zA&QP;OAAU%jH5G2>p|h71?8tl8H-5A$f8`VJ1D=C(s)&j0=B3DIH{ykKE`+rQjIKP7jlL>;+$C`o}$mvm|s2^SE4%IX0Gjul2jY^FAVR zSO+q%whFrRIe#~5-8G8K6Y~*Sc+8wjb8~aE^5D8--HMV02h`jgMRDVD(Sz>p>(sdG zEa()^QHPmjC zx6paK%JNibyQ$?_m{m}HGdH@OXZdEXL(rBT8@Jr~w&Vk>^X-c+!&Tc}_>G2B7j84L>= zgW=8|d7FZeqyo!=u)T-(EuclbOz`C2O_tU{4C_TZO}=4N9Qt+yR#i~v9z9C#>_myJmnZZa?F@W& zbXde*!8oxm>3q!w@b!uCQ8ZesxU4+NHz+8I9?WhNRkh>Fu7SQJOT0KQ;8 z(qutp<9IyEbBx3_8$0HY#U0}vLk@WoJ1v&5)X?6@J_vIcjVG4Ey1grQ9CKQR;x{o` zf=(odvQh8f@i4fo}b_%FS{yE zF78+}Wa<;ixRs!?vdgf-K$eA?9~&QcI#I$|_6geQ?5M!ZC5oWTAs?!}R z=Sh&3j>}*%%mvH^xad(Xiwms`iq@g>D2i7sHi9dp_oHz26LyX6R=9hj2DY%(?v)hv z*@Th%z4`70#5)vLPN+J`4Ycy!6E{ieM2*~e`yyCot0!)OR-AwRJmw~U&i(`)RAc9V zI-qv^dbxRWvT|Jf%oz`hQO*-Rz-=YpKSn^siWN!2|*u(j2P88gx95m^ zqi2}woHA$@3x)2HmX5OG!9J#IVz<0qi{?eH5c<; z=4Oj$!BU-W6@qAPpxO@fuo=?>qua!D*5Z&#we&!hGak`XJkK3@a~5m5MpsP5jHqGT zLPW$Wydas+bPr6p{bVrs$$ES5xf(*+9vVKV^EzuoYkY-}y&I&5mObjs(Dt(TU^1+& zEU54Pn@NAFhmOyAC9SnXh**aVpsgqSrlB5vS$VMcg1goCcuia8t-Xt%!n+gJCXnJ# z179#c@&owpOz1i2psKP~=*Yyl6xKt9Fq;bEvN6!=c#c^p#zrWE0s7|`|8T)WPAHU2 zDDmwm;PRczLn^(Rf-BcS=e_EO1+w}cbs}ApSCb*^`gIFlbuL+Mzxq{9AWQ9v{~p~G z)FQw5a17=`GqnRy^KX5Z!Er`U3#(cl#ikd>q=mIchoT$_kQ;AAAqAruVQ*00(9kqo zhl+j@l@tvXJ@c;$NdV5p0lOC!eiX&UVny83*1Pbn_>Bi}T@VV{bvriVY2VkTun$+$ zQpWhudX%0?EQX~g{1{{Rr*xto%IEz7ZAkNdKFxFarfI(V1YbD<5y2U#auS$T;6aD* zsAs6q`694pG@ccQCvC*h@h*94YIwEnblshXJCCRn?iPVMwlr|B z1Iq_Zj~rh)K8`wbCn>zR_QrrJd_4ONt55>r(_vVZlGKRVk%Jjk_RMjn5iXOCw}z#N z7KkQ{KLpG2&;n5TL)5d7MaLySfV@kK;V+06i9dz>znhMWgh~Z%e_`$ijW{tY>NM=G z?z&r;y;+zn2z{UB=(ON?Tu*}L*)ckEW?H#NpGWJNNtQs;wO-Cd59)@tMj#%O=pmiW z%6`KE|BxkCnJv$TC58vg0MF!dA+@ZmaA|8RhHEPuE*C)-TU#oNIKAnqJTKQ_)8kqP zzWKQv+`W2PXAjn#_f@>XEN@4^dDrCScUCSN^YzwQyGwIseA)}yFhNNY9C%7$8@!L{ zbH8C`w?#=IZ^@LS?-V%om+L*++-kJK5ufey?SfXx{7{e5wLJjMJZsivo8oiXEt9x_ zgg~J_+m^W})o*k@hc_-1=z-a+sp{ESh4xYv{L_9UP&IJQChf1&%p!y(Hh8k58GPtP zdBszZFNRczeX@_(KEz1t?+7X<+{p+XNBFfgNG>s8IUEi}@k9OoNz?_E!x{PgCzIq~ zQAcumk#A9sNgpDP8@Am;l(sIp1M4`ni_)=b&Uf4V9rtF{xzyFv^Un6#b_|Y!H8!?g z>KJzXw^kg%yu+lrX4L$iRMV*M{;i{1IVv2nR1`vygQ%!VD)=pn!_mU^AF9GvOHFI# z0P&Yo%8_cpMQ@plo?k&**9Dw_rB{735kBo&Rq)w5;Z|)O1>@@27jtGxh+O_rqJFU( z_KoAsc)Zw(un;PKj*pU_oBjWI<{RuY9)-@ub5+ zUj}@>mK%J}5;-%kY=2!8Z9m%Py;~H@b@9wRlyv_E5qO(X=6Bupaxbya7JoN6f9Za; ztH!zPt}`t2c0b5TttbA^;2m{Y{f2a}y?6Ki)Az6MwJwr={3vLJgZ~als=ZeWuA&ql z7R^DQOkJu7d3-Pb`Qk4+k90hVOUIy5r*R35;OP{VrjM9ejDPY*a?oi1B5A&!a_;Qw ziy!k|V>V7P4YZgu1ud5SI_oQV{Pi2f^RGW?{NNm%T$5A^*=>2mSy#jb;xo@Szy@<`5i;B_>9;efkhE}G3@whaiZPe11{Kd?LlzilYe9D5 zI5_Ys8&X_Sg{>v@r_Ts)`uy$wkLi0mI!~boW?ssMRxG}gkDf{2+u4bDZ~n~e*tX(s z)BgCXZ%2AhP0Kk5d6n`l_%puM?H9(=$&TPy^ycA|U>$5^QU6Te#26zyU)aw}3{hb1 z4#X~$-J~{Q<(<9QR9FY%*TlU<*IH1skbgG~CGQEEnY`!u?jpn^OiE!3{(#REfSIgzes;5p9QgicObhWBS-SdNo?2I^(uP{4xil*8`myn4s5yo>0RjU z!-=r#RrZJ5rKk$+&%V28TjQeygW8fJYiKSZ34D$4%7;4ef+s9O-xV??A|5Xo)g)84 z|G^+n6I8Jbxefb)D{CICfu=9p`vB4LvbY+48Fw%WcJ|**n#Eo3Hg5{)>4RSE+)>#L z-^#=cW5%s-J9_D-Y3JbE8+Uf}`x?6|$nUM0y zqGIgF)SZWh5oh?S-;R@Yt}R)QouL7nA;D~a=bl@7ttDe9qw>1D{IV&Rj;@7$cJsak zMpCKT#wIk}XKhXR;6*ku-N$!)-VQlHmd;`~e3ey|1`{fS%kl8Qr+DEUM8n0ZaA{@b z;UT2L3}=Ru-R%!*nL@(Y_Y(7(sb_q@`?3K(p3nkRPLP{M}y1pw})MM7QZ4ZXLXUneDY1`I{2=n{N zFA>-9*#^C%)4pX0QM7ln>b(sT*^u7c2C0>bnjE{LgXX>rQd;5*Y*f? zB1;TuNolq`eIm87S`jCsf=WtCqfaK%6kyrN({Wa+rlcbB$SJ}u4#QamAIQEHTpMW>X~VPOxpAS1Ss*lTu5Bc>c96@1%w&ntxLD+E zgM9KNZO@&*Dp`KPD`?$@-!b;o+ zK64xLYzJbiW64WMhWs($YWLyl@0)KMv7&tYo7D&>{%X1o>HGQDHP}`Uy0^b+4077B zUSCLH+Oq>*W17^lhPmY!#?!~6I}u3<86!Rh*87+r;x%KsPf+V9$r2q3opMqBOHzbh z|0fSdF_jem{3E5BmsR9^<+NSqFGpN^Ru9UxQBE}pHFBM1jCDZcd#3a>*@caiior5q$yUQGZJWeSPP<*fE@Dl+;XXq-=_fH&{eD%Pj|kE6>HCy;Qn4|k1=NU zd|7fe=$zVf{bwI<>Mc*$y=u603GABPUoEkUN--W*)wp1PFv;bD{NS5*H>0<1lH4p4 zC{rMF)o1`Kr;NrKVjqyqI5${aRg#w>RSJwwOBr61$s0#rLNeswz7BUE8Q;0XM^Wmx zAt+xy5~i|cCv4UH&Vvp!v~>3EYP@EM+lFCXH!naSy;FhE(yhCAst`oppnLQwKLKDY@}Jb`alcyWa=#Iy0@i z7*B(~Q{knQ#A-21eC76@XdPXDx3yja2M1#1pbfP`dzVeuej1Ha-v(Q#%eEIwvpr(H zk^gs-y?E&}yLCRLt6m@m(X<*?Rk7(rca+cmo*zzG*s!N~<%^TcW*V1%TIv2)XM0NJ z8uUXKbteC$_7@oM-kb#JkY&p1ZK-ZY@9x`1T)O{QbN8Pg5MzR;IU{SLIDc}PBeCQE zMEt*8*(Hc16y{~eOudP9oRw3d8-F+oCSXTl9`!_{+-rV^G>;ZayH+nm${Z{g1~)qmQPZkf+Sn9iakv z!UTOZbq>+rO*b|TPV{lu-R(Vuh~3eK*_b2vS%}`KRisYA>fPdUvu1hs9*<=;F5)YS zT&}Ydp?8k{h`$4U(iFV|mZQX@XW+_}N2?+vrNW*=>X9V_B^@k$Wa7CD=m#Mc9ehfK zT3yJ*jPJ-iupWm)GoT@dJT2GXA=Mo)O1dAd?B_%IBu*RV>tpp<@B*~qyQK?P_&Gc7 z2=P6wTb#f8#FWFcH^Yi?9kT>}GM+tyc;B{3xsVgn4?1TQyft0B+j4@nZ@}$<)lRSi z42QQsdIWv8L<HX=kyRkZA zPZ)BNXZPv32jACSsO*2n^(Z%by@?nkg0tgTXwHyhuKVEKo%e^G$<2{#wowgkSn;0h zTOp&coqfQtY1Hr!qw!>+GwG4I=F!UFpF|<=-=x-hkJw%>_s(A*AX(bo!1IxQ zZQ;1~a)*@ivG)G-xz(mWa#O$MlRJAVURA!V#VYT+Ps`vfR(9K9oo(4rCEB{@W!1}? z-&McstjZ$eVr%PbV}ZLDS%ZSzkF0-yxr$mV6ga%L9(e~N?^6Z-tLa5=WzdVEKKGX? z&~$HWei6Rg~h6CR#tu%kmQ7kZZ3$C|L0jVe3}IGdbv4d7k%X1s1YimSf*G`c()N4TS-bX zZkoj9hbZ|``9o)Lo|zIGRzjjNJq~x3Z3^9s-Bg`AxV9?OS@X3TwUiNo}yfqLLRRJr*Bg#Owq=OniwNo7b1vSjVCj%&@daf*e}dh+(My<+&lRH}>0tghcGS*0vKqv0Vy#;L+{Mfj$W@ z(Sp?xi#)8=+?BKI#Ht9b10};zQ!}SEAN-+w9dlKMd`Kpt$XV<0nFc?g>*~uRD{Aj* z>@~HCEIUxknip9Uh3HlkHiy#&BU#bVwUIU~infYGMh)`5|8?2rm%U9*%dFTe7ZUe% z&i-CdZ&O^yYK`9o@3lUA=!Dki@9Denz}a~LkXVdwrfdW7Iuo;o`Q@M8r%X+s?cP%79QsIhL!kKk%lGr|@?y*TFc);c{T@d90semE*HToV z{^I@DJ>At2_guz*I?YUw!& z7UDEdLj%OL0#yQGIc**@H)e@u;52npo#_l^-5n;%rZ~fA>lTcSMS+HK;6~Hwu+oA| z7nphYe+-T=SmEUCB<~<8BZ>PhW-ms6&5?En@5(Nf1|Lc~EM_lt9xFZPulGrS#8>v_ zi3Ub42^ix84(Bl!vU}S@_>V@rAdk1n?96bqkjR$`lo5*;S396{Kt~I+a;nS35Vx2v z2uc%mf{qIk*U?&%>5^|Tos?s0%BY`u{D7MqVjqCk)Zn_lEEY7fto}iyRqV)f5lHWd zu~F68tQQ%ivb-K^PMv4p!t7h%TX0s8*tcx>9g#*)0``9SdTndZ&GoDHS6#gD{u&lK ze7u+pZ}F|0IHXbc>zf$=H*S};TX?*w{_LZ*Rl`HeN6QVLb~A8;es$a8s{zT1JlKX> zZoAxi-S>F>b-Qb8zhuae`0i!9Y*7P~8)A@J3R~ba{+bmGIkZc=*tp0pP%C4WvW1DS zUan#S4`q*3N(;wGk2Xdrr-vvkXjCvF&Hcl?mQ5Xbqu4P^+}ctzHIF7m8AOBQ)KhLwlXj{C>u)Xh+6WtEqd!Qk`_IN>ht}AVGaNhF6uEe=wfwFwq zc!uf5@rc1JTp$k#F^U1Iw9^9j8)dq{a)GI1%=`u9B_u;$kr;0wd`T*6%vxCUYwmxA zre6^A2s69I(T5y7;aFrUS;iYIdbEi2&)J?9eBRk5|B(U)o2(YRSKK0W8bf& z#kj`vj@x$Uu)-~>>Z@$RZ6Ot6t8J>Wej=Un0_wwAptj+$)wb2y>0-$LyJ_9E;BU_c z`#wbATRJ$Gc;J?zaj=W;Jr#lkh|o#5?TE7P*SaFKF+xn~36np09ZHr)p*6;(^`gFs zN?q{x&W5LG2cAzma)q>Xx)Qol_`?r0y2OkT`sZ2~QbZ9sGbAJ=G?7o9-{7~oc3iKLz}u|Y!id7~ES_d4&b%*C1+K8LkO#`sjKnwa zG>bANXB5sT`8Q=G!Ok3i>Wqd$;kXMJ8{=m-nqj|Xv7s*Zkr@>iL576H%#3;U=J+K! z;kfA&6xhacGg;7`%$QSU3)g{sfnoRLyPt8@O6PqU4L%_`nmQ%7jkNRm-!}t z^4YMBc1?M@u6g_wh6?6Z)7`3I3k!+DgE{2C7dDE-+5o=8Ivb%?Z5k|=4QC$BmBoek z?8b6~bn|A};EO~w=7Dl;+*q8l^;O=u{;Nr8<|5fm>X~1=F+zTS`i3^$dci#vt5-ZT z(eCe6e`{{K;8tvR$h~Z_IZex*D+ryLX7d335Mkp{Mnw*3c931R9rHf2ENnxG%O{~P zsV5~Vp(mw>xP_h!=yZK4eMAICa-{Ue>K)RXu0Iy}DiJ}aP9agmHAhtmR82R7epW&a?~s>}3|T!k{CfYKxq?T{ zq0#H-LRLgiIh%`hYHPIdy`3JTY=c|tgq1vb5=`B2MImEJ4mgP+sfqX$UjP;%PCErU zK}U+=pz}0ThDY|Tu<`4=>b>uFfnt86cZB-%;@Z33$Cjd0o`z4C59$3V+$)2}IzxFw z27T~5D!%^wfi|>wWq|c4kA710TE)lnzd|h{9?t6$VOeQKW-i8O4j*n*hUKjEE*##6 zM(QA<-eO<&H6EB_zt}K>KaP8Ze}u#4A=VHo&4JAj8i96-;3T|8Op_FYIt5!Q)`Se!!H|U1W2+;JaYcZxh9#8*>`M$~{oyqkhF)J#i#A`;$krqynPNy*`FbHqtL+EvI;z$NEk;mlGLH|X1 z9hLjOH~w{0dlJ?U=5~Ot&CksOe?`5HLQYa!ZhPU{uO7L)ITF@%DV_`)oMpj@1n(L9 zzkUjjLna;FlrLexNs(nayzn0zDVP*4BFN(jBJw?fKN_P-Rxlm~i=yLxdqt2Z$dVO- z1pm9~gMNBd!-TjH*+4{dbWI?^`Y%PtLW4B<|}@#raf0NTx$c0fRAtxQUywX?GW0&h!HAP-a=Xk#JQ7g;+) zc1b&1p=X>`BvhQ;oVPlVm+*g(|I-5h(*pm~0{{PQ0UfLqLG2hEkbm>1L+3)X{NK}m z+)syEwG~RE<1^6c|9kpBFbo2W00D+cfDt6X2oYd}2{0@Ij0gcnlmIh|03$|#8BKr@ zC%}v$z_1B05(F4Y0*n*^Mw$RKmH;!303$PfSF2w(I&u5Bf#hoU~~yE zdIT7K0*nCxW;y}JkN{&ufH5Y(%pky+5MWFRFlGdpnFN?w1en0?bMR%qjxRY66TK0cH&W#+?AOmH^{Hfbk^2tRuj9 z5n#LtFzX308wfBP2{1kcm`wy2UjmFD0cJA+#-9MQg#Z&kfZ0lb2_(P-5nzG|Fxv<) z+X*l`2rxSdFuMpay9qFR2rwZ87#;zJPk;#}z=RQC!U-^Y2`~`^n0*A8NCHe00VbLN z6GMQBCBW<_z#JgJ93;TR5n$p8FbM>hLj;&a0?c6o%n<_2Q3A{{0!$JCCYb(g`pb1ei<$Ocnv=JOSnc0VbOObCCd( zLx8zNfVoV7$tA#CA;9DjV6GBi@(C~n1ej|CnCk?XLIO+?0j8J$Q$m2bL4YYGz}zIj zlo4Rc2{07|m`Van6#?cJ0j8P&bDIEDLx8Czz|;|7?hs(=2{3mFF!u;B_X#i$2rv%` zFpmf@j|nhO2ry3xFwY1u&j~OM1eitw%nJfc69J}~0P~Un^NIk|LV#%{z`Q2Fydl86 zCBU>1VA=^V9R!$80!$YHrkeoMLx6clfaxW`yeGi)5n%cWFdqmo9|N`;*h$^=c%ayc#>qd=<8c{}kJzfE~ya zDH<@*7xX6%r$7$!w3Wr=gJXVQv;75q9fiRLugb$Vi1+^r+5!&U544Dl_Ji^TmUv15>_gVnyqo~W6}b~=`gRbbw#POc>jAR{n>g(`m?DYtSla(d9(EXpX)#G=o{+K`Zu-c%Xydc zE2p?LF9-dQa|Luq#=CUvBq}W}#hGTvxsvnq($7m+UOw`}!Adm_?GHr`we)<1QhE5J zWf&Zl*_Kdz$_=|Gl#fjxkCL7~h!)>?}PSLQ>IKe6=>Z2%Dv?dYQf`Wu z8K4{;iej-hMcFvWfz4qv9ws|9)v7l})~evdR(TGj$c0h=b0sJHXp%v+nG>b~YJc1|jbSBtuf zr%dGio4WjefmL&Eb5?Knx~2XFZPu>dPSLaAM6Y%)w59q0^1iCKQTgQQYrj$F(6f@D zpxZcoXkcIfr}qa1_v2isXIDFL>cOoq0|Ol;->wco;hNK+O_+;D#1)sK$blxoJJ_rZ z5w?gDW9rqjtYhtSI`&uNtj2ww&vAOyDKm_M1NK75IhZ4OG&RCtFz`UBT8UEPLi%5o`M>|v|NosCsnR(sOQw|U zs>2AT`t87CU8FNcvz1JNq}Wxry}r1vLbpBYzxlPGjsRbh@zl`g%TPO_62T0&#IZ1@TYuEjh#<=GNdizBQj}8`V~8@7amA zz+DVDISi?6Y;TENTfb_32?j)l4m;V7VcP0HF36C#lQRY9L>R#|Pb8P4u90s*>67aV zAmik`nFS)bR{r?Ed6fT?b92|`cG#1jo4*HRruO9S$tRe*76{J7?7=Z|^zVn>W9Hvl zJfZi5#p5s^;iSbAFdyLrdq|uy;1D0TcmlRJ6UKjXK7w}F zbsBO*VbQr4nRCyzGxDT+}hO2+3UGH3tQHy7Xp;(+2+}B~Ah1g=_l&ryZWcA3_9h?MC z0+rB8P$I;bHlYeg3!Ma*OF`fcECEv@q&fcYKgahEZ#=m1+pn+3y~cWuzuf@d`R)4# z%xUoYyWg;~AeeElZ@>Qjw<7l4Mo}d8S1e*#@Q*h?U6((4?}S`?iu%QV1KK!aUp?J~ zdE3UnK)K7Ea7}cp^SoETeU*AKb<2|SB{{ru2t(Yl^mx}t{EXS-CT3?af)+I%$ zX6C%V|0Mr6XG+!5Su(Yh8^LX-wo^k_kxFNgaol9ZG#MCdQmOR6xw~~YHfPn9ZgpYCSJl_64+dO^9$#2ph*^0u2v)zkPVhS6 zhGqmH{vV;GUDv{*Od${sTmRij=O^w?x2heb@Guo%?>A)diYwoS6qS5KBdSjK2S1 zBG7XD5)U&E$8PuHs;Z2YtT7F36pRHo>Yy#Jx@KICna$U*T8vURHaIXM2ZN1~yVq6> z23Rht(44Bmb7&Y%2tg7j96?Qph7nY0!2JEtzpT%ZKHELMbc5Ep>)0XW#;zlM$}p?U zm;JXxOWnR}_pW2V_1SeSjY{L;gKR{0ia5j`nJ0iCG8bH$ULYE$@A*5|Rd15;fICNGj`CJ^WI6MlOZoTCjUl^F*!o53!qrAQQ ze?9Qom#@EEiP7lQ2fo>hIkWa|J%HI^dy=&jj(=Ib_ws?mhpP{$ctQ!yBO5LvG_4UW zM4aZSI0UDlgrrW&x;x}irw79xVtt?G?NN7UWsn)R+Ppps?Q7V>4$Fs~&03$85SlGPN79mf9J|y$PyT={a#&>Y4Q`h0wT6HK`nzLrzrgcl#q0TI@ zE5H*J5|N;sC-Tga8zg#Y_@Ac5DRRuS4tm(*3$qSjpn)wl5B>+W+JJ9hme-%<#yD<~xgoBteYK zydw)Ky&?%Djgzzc5{ zK!O!Js@G#ajUVQ`p7Q9z+oOvINkU};2{v22%YM8;FSEoQi4H5`>p*+8X z$8!43`~2YAUp|L*_wmaou#@JNkHY*R=?7u|QSVszcFR^%2Ya!%2Q(Y9ey4ki24Ia<@U=hLrX z-pr*`dCAFMuy95}ZJ z<#*Kb3($hC+JD#<_O7HOSPNiVmd!;w3mo(tFd}xSzAgB_AEpnTI`#LY zGeOUiF>m4G=aaC?!;I-@RTw8e#9R9;`FZk-N&BW=T1-hPzDO(*TcDVUDSOioAZK7t z0V5LIQx>G$Q6Jo&lr~__Vy6zs0aaTcXjOvl!>W+S(I2D(ANZ{7nMHpX_2Giv@fMx8 z_Zl#JEv#yXLl2`q=`#!eBi}r4GpsFZ0uIg0|9IYz-hcl|{%_8Nwn>yv?u)#pxQjFb zgyxWNX{J52;P_I^6DUQxy+ew`v1dZCh`$;&|LU9hi=VuikJjCFvQF#9g|8rM-ppK# z_w~*ETi}i^Ts=-KmU=Q$M$ClZmn57mSS&?u5RM=ff+JE&a{f2z_sixDp1%U`e}74r zY^<>;J>85}`nVym3#|CP{|1ljqtavi&#k(bPM0q(9aex}5&NQoy7as|&aUb8kt>)Z zPhtl2s=A+m9=p~ZXaOxyPzs8sq4gkeh)hvXh*AndaRECNrUDg_2|=+C6s0BKEl8#a z#bGSIEy72u4_`QG;g#g@0Ha_HA5w<=ELVAiI5ej&0b6`u6d~&3&6E{+@K3 z#YI^@%g;e6gGrbGcL#(cM_>}>j=cW;)y)?-f4iBy4>PfrPu+ug;@-R-kJ+nkHs8cd zR=-c*6TNTxEA5^cWrEL6)NOrnx)K`wY69j2cUfd70 zV!&#^re>f7H`&r01oKCn<4ioIq?Ia|pJ*ixbBX|IWvURT`81H@z$m{T+uY~ISZrrt zaa?d?0j%oHw}FJ?aKVl5M>LOU7MKh8B9*H^p^*Ahb-T%!{Qc-NXd^XYBdsp}OEY-RW5ys#jOetFbY2Kz(w$nE}fkr@(v;=J+)G$9Fb_UxB z1UBID<{}WFSfm*0e!Pcdk5E90Fjyl%#7;Z%`q;F$3-0uK1iy&ahwzTRe*NhY$dgG6 zZbP2De*L=Fqt~w=JrW5-A{O-(KDZ>g1cUs*7iVZ;1uUh`~dc@ zbzNo9g_l%dhPcOF4)la|xWkS^iVhWKE0(zQj@{W~n0Hqw2h@+d=`3g!+u~x7-2rO! zsQ6e%yGb$zsf^q33#~qo#AHZ~K$4~-J>-(1l#6)Th@F2a5NQKSfk62;?v zyZ!vziQ6acKIV+J!J%fn|HsdK`vMYd`|#ahw!<&O` zvHJKn)7OlT#xL^SI?P3WIV?rl>fBg^i<|e8#kCo5K)$RCuHCAG80|cygP5#pg%!Ct z$v-rj;~A6r0detNa>^qnhSB&bmkO6jUX+h1g#gr z%IWR0FWg#r>cRzRzMX^6axCq9;S|>FIJ-O=YhwM-_2{`bpG=5t*RglSmokg*+HS@D zMcz4a9rlAJ$1gFvu4HUiX!jO@p$`qO@^p}6tvfK7+85$Wpz|jd;TSv(yx+7a9pHc( zEfeDpdolQb4<=c|{u@d52!Hha@h`vl?b!F5k0KwwSc}^I(D9>d;3M(<@rTf34cYV$>EQ?~u{Oc+r{5P%v4qy1NTmjutQjxIco_XDodsqW?%E(CcUdF4R!Z&3~ zPiK_7Uq(hgT`)!G<$1C>V1wPu?c;~uK&cR$#iM=YJMF0_aKh>Td8hw6g5n~>B7x7d?NEtAE@Mr?=DC-4$`3J89Kf~%y6e^-T{zrO{Hj2XToMbwSur%@S{-oX*2~!WqZgpuT{^pN9q`8GYWQ5t zuO0*Y*_(@J#=gCIW7WwD|DDBd`Q5~aSj<~1&rYSNeWg3`6#TqC7g1L8t?~{PH5G|7 z$+NWPAfiPiP*$#}$VCKWL?ROBq=pp^S-HY4jP&~Z;mWz^_p$x_%T>Iu&j~Jj{`_mi ze}3KwYwnBZFMhfD<@4=Vu-?5G1x$o`oT%I;B&7xZcKC211#P1_LC$x!cAu=d@FDyx z%5IdwN34A!>h5jRqoYs;r{8J3P&UVD;`#nhO7G6k@A$&K9iGC%eGAH(W2O$PgxL0h z&hSacX&K#1T|c9t0krPGw%nfS``um($$kBcL$|ydAFk9$IFx~bRRw_{;V?(LRI;&f zY3m;_67y9W2nn@@>Y7}xq>feDu+3+6- z1gC=s5M}2-%1`Vs^_Rx=T(R`N-Tg!NVS`*zfqdCccq$YiAR{7H6~b`{4iZR|IC0LTIpK+;<_v-TV-km9 ziId<_6i#r?V8YEAIcK7gsbLt#UrSb(WsC$xHAWrcOdvy$aH1M*&HD9+3s)}5$XIy{ zJ%`7$kQ@2y5BElIV|oVid-L)2yO(`gz21ABPuC|x$4=#*0X%rGcH16-o)fQqD0jtj z8^nh>)-TCc+*2sM$+NWP06mFKV_B+-MODZe(ztdYZkPp!{&>~^PS0kOo&N}&kogbJ zwEJnzjMz_OXEeGcp`94#ffl?b?$a8wmh%jtTapL3s5oX?j_F5;`I0E!+y!%3at#hi z7Y$dBjk-AX$wx<>M$fOq>V4IR3EsVb5q&(@=Y+wBZ{Oil3r`Ij_UQx14~1Pl=Oi3V zN1mLkOkaXE)t|f27!$F{5xQSvkM>pROVW0%L@WRO2`Do(>?=S{1#smMal&z>jE1)y z#iwN7<-?0IKmU3@{82e9l;xwnmzysG7J|8+UzlGg^YrlSDdtO+3y|{O#S4%p-o>7f z_RuTbU|W~YvRokbuJA67jPkF#mk_COnM2lqB)*SH_5M|ui#;kb3N7{oC(Vwq#`AGi z+Dhwl;VCsK@OILC^gfLn1)MZ? z(j)k(E=)$dd(!+-3n$H=G-={kS5b@=k$c33pl={(G`fm7DVPn}g+-?ry&*>5pw92L z#?ec0okL&r=*+2{E zVLk?Li-jk&_o7ZlpIRGiDJe5k0VkHE92p}xwZ4ik06*8 zn^BGwuZTAxEBXB8vzO1_nt=5@X0G;w&Tu#xZTicZ*R$Xseq-&-jkjLD-rsj_&xPBq z*dPxW@TilTnc-;1j8E7H{}wj9KRj<&os5_l{&?c+DdgglbkxvUs%ZF6@eL38Lys$!QY=t)Yu?PW@J8#}>=e$#)l(YovAjKj)4 z0sR7C3;yXRv_5V8-SO)_!;S{bNp9NN7+}mgDE4n_?52hMW5TR}m7T57zhxY%&A@ul z9@-|ObwFq1qSl-rrh6ks6l^rCO^5+C0tUz(gjgd-Iff%BBBCf3UupOz5d=^qLXiBW zHNSa%{PkOGci_`-eBoQzg?GJ1E&KXyGl}0>NRA$UbSw>PdS;_pn2lm##k0aCtkSX# zD47hogKWx5h>zZi^1ZiXvaCym-3QPa%o7CTv67ARfyr1OtAEc(^s{%dUUXZ&=DvAC z6kjN9>uv3*RbYJ+Cu9aC8$3vcI>-?NCa6-ydW~Gs!Cc~k1OFT0U&8Cp_sd|%bOaGG zOp*#7%}E>zF;EiH=%c}r^2KptidU6UgEgS>sY1VNBSC#lO*P`xHF#fZuv1f2Q)8@C z;DW>+qeAOmD8>+7ibp9>)5Agoq`jQPln*W|E_`^k%Ja$5kiZ+YNd3#qO+!AMQ%E zUbYGM-O2+VpV)x8FHRrj%)(p@M>r=1f(QaDN(9TWAW8#0RG<<-yAgn+RQSTU0u49~ zgCoAaF23H5zAoOVCmg*Adb_yz!hY!D3-oq$bo6%gc5!rxk55PtdxpfbNH-?FGM=Io z@$tYA&-i)Jhdr5igQrUZ6Ms{C`PN_yP0)m1=tY*_07hTBiMnO5Mc8Ppt2h3;Uc(m8 zS#?To$TQ>`i&&}t2lS=gy;zGr;#vdBi8fPT4hdE}=B_@{;^I*7tv-lgQ^NQU+@}ad zoMQ0#18GX+PXx)o0*|u5>r74{XbKkG7q5k5nr=5gKXzw#^U>RANj!hkjQ*2GGGg^u za}$_jx8FWL`rGlQH;o31N|8ZSNmYs|${;Z?K)*^{%Ru=7aZFMfV(>HYd^~zD?y-H5 z$K87r6>}0rayQ2(dUQ)GTy}twe0bU(mM83UyY;b&+^&;yi$J0t1ua&n>m=h`3g9X8wUuLDoZ85B+t1 z;n4iwVJ++5;bjN(9Nswl4Sw;vp{tSl_I-R`F8g5I*!Ao7$_`pOEpf_n!o8MRW@Fq- z*Nz3qJHcYdXnIYo4Tnsc_-f*(z#CsTpv2MPwWw8kjep>$yQz4{QVQ!%WOg`Z(>{B|$cU1QYm4|j5GY@sLdR#m~&pud(8ys-- zIL~qM;MH4pl{fIW78QT?1!@_^PN2uZ^6ju=DZO^%h1i<%`OYt_58mq)`%`3fyN2xA z>Bzh2Mok@Bi!qT8;0@cbEe1JQ=d>@nu}$qD9qzT22bxBTl8rJU|4(t#krsw3!fq*D zM7-fh@)zMhz(Ml|hj*Oj7vTuar{*S)nv{%H%#%k!QjHn_oHL5E3xh)s$fNM-&{-NFW?1n9k8J}#!xT4cTAq4|>Zd;C)PWl52^j;M`Iz9R8X}e<53xsM9uP)*# zVp!E2f0A9NsGi@59pRxdwd2PW)z>d{K7Au0-eo?1p|uB+3V$yM1w6`$64Kn+CKL#< zBgAGZQrwYzS-v!1mMbG8>@l={59E4&KH3SnGJ-g=CpTXL%ds_l`1Y@U_z zk6bNTmexK!e8a|e*%)IH@}>s<;sO;cV*QqtKs#8zY4y#G*>lWqRdF}jngOO0^oXmV z-jZe_taqL!!wLo(aEw?A9|mv;I2ArWXdmJT-7=35qG(Hk!xzn+KvOe*OI|o-Vba*? zu%z5iPDGs?JcC$-lg7pVhBA}<0$%pF7X~L&X{j;Kzqj{QiYoKT-w)fb%Nxi09ea5vf7n^oNoud_T+|UQ z$@ut?C$O!J>CA_n#nrY5R-SqBPlk_^kCFP}UR!rykp?0FQsK`54E{KEjC{Wfu$&-D zJ{%%~jQnGtGiah6qN%9~s?uiHXkZJ@Zp2$#273x>*%~8Kyrv4ARinN!o9D&jnbACj znF^QSnUQOfrHd&ZI9Nw$&QuJ>rly_YRQ9>-!baGI7gvr!kCm5`5p_mT8m_v$-LrX; zYuWvd9!*U6Hq0q3dcxLgXpK1d_JXc8|HcGp3kzQ$m$nr27~trTcw_A?@jrw$dfk2ZUylr9{D%EM-tXKc>UzN?PE= z$UasKiWnIkh=Gfa~$<1$fC!=lf=;xo0qX+ot+hee^9D4)Z^=iQQ#rA2tRzNdL$xnpkEI$u#hiYf4wd?17bG=vN z;_p-7bFvDh0)+&K<^~^+hZsS^!O%+?wMGOzKByH8HNZ6X3=o`_AZ*6Q8bg{vY{wIO z!RO9PgMXBG;oost)M&&H4?T(kaHNb%Zip^l50#DTBiQ{a;D+ZPB^Fc&u=)>ZcOqfy=snH>=qeM|wuqra6fl7@(@`M&dSw(eGF$tEv zZ;Tu>eax7l_CsNrZ%}N-C}EqHaZlobJY0;4|${<)`K5>{CIvSmnwAuPT4x@YG&>u9l~a~q!5jz^2Tw$6J}RymmSXe! zS5|EB3Evnz+cth`_|y>?FAV7>)r>-qePrYijDF$yb-)WX)podHl)vX1f9_%a2LMTn zz;gI!_%lPq6fss3QDrJ$rZY_@73m*b1{YE+Qt-Z3TLg4P4)QV(&&VK5Mn-@|wS`e( zwBQYwsf7b=2ez?CK6GjWnJ<&cWzy} zfMdy;IrkTv?z;6Nm!3SNHt*d%^7$m~O%;WS1;J5t1-ynzl|@Qd9CcH=0hP*P$eL1R zInWiHD_`la?2!ng?_BbMZ6(n+5wkz^=mGQv51dQ59zA^LoX{H2YX%2R)SN);o(W=r zS_8D!putQa`pZs(I}xjO*KRm;r>9@1vV-{tDe9}%5%3 zzuJ4HclSceN&3RG#xq$cwdcoQg}k~{vpy4cHp~eMtiHM7#`#O_$w5clTbhBKng$+0aqp`^wz*(D7L7@zCRhk^e71NQ%N7L?| zaW&|lBV{jra_>XfxSARs;?9C%r4V3)4QDM`ndgrUUxr0$^2+%^7rr& z-e`Xv<)qZ&9yBqp{uIG-h_Ev`W8PRv98ZxmWR0?n4a+xJGslFqC zGy8}uW=hrG((>K=GHf!pE93j;K!e-)c_I8!mwfJn9v08-`(Vvlx;({ApRsevmio9W zbHAK2x5*i8lC^PP-o_g-Yf2Y(kbN>s2r(X=^5(IvDqMHQ8l;E0NLSHUQpjF6NFiJr)f#SWi1 z3Guf{1QRhLdsOm}iHQ@fjM)!^w8k?;Pk@?iL`lrfZa_TH3h^MV)~FR3vkm%R@6Vom zZQqmajwlsZ%)AGq{daHnRLo1T{a5sqxD~k!maRNC_r;0B+xD)jII^eoTjbsM8%{u; zt}8rTg4XB3!$t6CI%qhQ_qcG$SV+MCjeuln3GBPbAKxZn2I<7(QHb-%877V}TpVA7 z!G@!p$6a=#v91QTl?GxF*4DT9+!3ax5gvHjx|oKxl%8kV){+n3MT)kdEP$d+T*=bR zl8D%CXwEw(aRWUUm z<-h9m?0WupWFCnT?S7R&|F!uBrEm6#1K*+CeKTV9uesNs%x?W{#&>p;KXL#OlF)w+ zE&FgH@{#C-cSzO$s(*4rNFi!V%pn zAG%b&G*{NMuBXbq*m-+*$SxP>4ob9R%zKt#7CUfA{R&^@hZx!IXg;!iZrd#nUD|Gi zeSWY*1!R}Zdo}X$2g^}t3l{AhA-^|Tw!hqFx^_lvY+!7*JIavH${91@bGdt105pf7 z*lO55qo!X-8dfshWyPN#tu@9uBQI`@M+5Mp;$tpoiI_5nM+;g`5(Ejff*N2p@gmaT zG>w4FSwlF28cG8Tu|}})?ZUTj;a`_@2R&GClL^KU&$~NslYz~^q&xGcC4ZV$o3=fZ z&MZ3Pk3RFt%uL{^w0a<|FCv(EF7w2hQ<;_hUN351c;fI0wG-^ZUwQ9D{Z!K*wM8HQ z0dFw+i=O>i&tKpEG(S@)ba6^E+gjBP*W2y3;Ux5xf#h}KjdU7>G2r}TVZAM_r?!0v zs?`S~xAY9ryYz^Xy>|gkK)6`HYebS(2`N_OIa>-7n(Zwyj>Qo)TwpoTSK*`4L*uH^ zR6(x6#SRu>*i^vitEx15wkECSD>fsgsJtjw1j*0K6`>ZeO4-8V&ClI}cxkx>j#y<_ zq@1-pVP#okc|EutMlYPHNB@-a#2G6n6U(zKk$30ng9o%%_-Eaegk;Rp$U4kUK}%n| zzHJ2jhsKGX;LVvS4aG0`@$7k%HwP(D&RTi+bK{S@RW4oMCA5hlmMjD{1~$$LQHLl) zup_00Lqp<|IcvZH)tIkF8R91mmBORW5%v=V*j6}%jo1-!^*hGfTk0tF4h?e}pVHIM z=Q1>eIZ=twq?~-b#^a}Zh9G6}4{5l0BY#XYENqO<;Y}C5588ZU1%; z?xD8Nuh2I3cgs^{mt~o{Hypf%2(e7k!G(ZdfW;kS5^33*ib~CN2 zt;yZD>cCJ8MbUV@@u3)hAfsatZ3(nLJ)CBAl#c8(rP%b?Skh|Ig3)T3Qt;piYGK{Q z^j+Fx&1KEax0`+^9(He!5zo8h*~gmE4-Df9WY_JZx65uH&wjfh>f;zqLo~J+%w!x> z+kn~GX&p*IL$q!>!McWqnC&qobW_t8=byV@DHBY={P+8k?!r^}?)|0EbW1Mbe>SN) zUse6szj8C1aE9L-1i&f7MI zq7=WqM4ptVl$W8Oag1vIe%b!t%CA3m8<#4l54x7SqHi(2d?&0geQu0x72(-}xAScuWz zS5^NIEt94uVADg0u=BU8n(NarckyzY^sshSWR!zd8uVX5S_n!=Sb7-j>^4?u?a~Aq z_q4Ooi`%Huqf#1RJ^bbKv0iBZot?UkqIAM`KSDcRe(ZCtSKhc^&Q)onFN;d8cWO}o zYoo1G@Sdd62E@B(vvlsghC1S%eESAa~$}mkTBrTuMq|R$)9(svhAt!cV5dKhrV=P#S`3kMM)~K#kDJ zcru+#DpRj~v$DF)#x}Mv(o@2fm!LOw&idjRdVM9!CQ#JXLgC8OW)oJLXW1yIh@}d1 zvs9GerEADsO6EDCj>t6ynWKK0fVJyrX3k2##bsK1pvev5rdveTp9B}j65ho9qI6VE zR5mMvm5>L@z+&P7v={Lt<#XUfgN7ym;>$FHnh^mT-RfdR`b(bMf`GFKZaM=j>hXhRrED-exXH22M?!-Zn=aE$D^=q^P|-*dDB{>82XH0LQH-NpXl zKdS2s1&n4qlf$!P(kM6vm?769C`}-&XkrTGlUX%Hz_4{<+6KSYssl-G(}uLghQJau z#R+1MjIDM+-MCLG*XFjEfMyvbZS_VBKQ7d7@#YnxC4 zPO?X0FKe%IbMDx#PY-ElTtX!k0F~XG(LNV;gGL~2C-sp!s4;7M#GQn7q4_gM1tMi< z&h=jc57j*p>rkqswdigCHb3M=V9|H+iTffj**e3E;Q2!f450@iUp?7ST-A@~r$V0a z8NY!tFM3uH?zoi)0q)wQU(o^@F`C;a9ARk;DFM%MZUU)hx4BXfV-DX!ek?c@Uk%(P zaMPeHn8ylY1uCfze@61B3zL&)V1q=PPi(;xKOu3#VdjG5NegErkNR}t{8jhLibEPU zJX`}QbE5I$F4%F8WZ#2Kdbp|>?tcw=yK;7|E6Y4G&VSOlu{ZpOPl80-U>mj;wtzch z+$d_ux0A%U_U23buRJ!~IdfGUV&U)LZ!^3faw9Fo6UV5wB1k$L|C|u?sQ9aLV)=qj zX$JCS{}VyP-K7ctb;CsC`WMGi1)>!6aG`8KIRj#qI7ny05yY9i0B{(si1CU9VFfH} zB$!R&MsUREuCAn}s;s82ETeaZVu>r;b%`EzNJ<8N$G~PN5C;dJYf(l@mWb6GQg#gt zKHJ#mRhyW4o!UMEUG z;dKK3IS5Eq(ZbcnM7q}MVq(}BynDJp2CHD{B3)qxiP7nxAb`P)Hj#n4pPPSv`*YLJ zO`oG>^5}duhd;xr0*=E+=Ra?H+w|zXxgc9(p1m|}N*Zh{*=f)M%2uRlpuwiCu!07g zT~fB9tR%Z^#cy|$vIi~fJ^khdSh{}O`z!2@<9@?crzhWxKxvur>+4cS{p)GrqBHW+ zR9C(wANLgEIuLg`&`p#Hz0r~^XZM}!I8oae|2`vVJkWa+SXA~{3J%M{QHW72L45zQ zWE^(Iq@UiXugTCu4bvMS(W(eq)YRxGAPL<8Uat- z0dIE-(Ua)(T#09jgl8`)JJ|KBphatD-bBq`{puWAj_15wzrt$7GnYYswsJq$ePzT| zr|R-{RM%3rNUtLI#2Sn6ySwxjp0F?%rSAh%drw<*(Tz!#||jBi>#-MQRS)iqhM6HoWS{1`+4z?F>vb`QNpm(%Tu# zV(HG_orUzeWmmOWY_bl ztL|Y|kGdC-aaTUPav$r-d94|;=7+rJzYMI;!ptpQ&4zcv)$3zQtDo2)r8QD z&oRiCqYn=O-#+9l!r+L{-BBEAZaV(3*624n!mrj4;Rk)yP+0}6t;AcbtE@Fp)Lp;I zs^~$341Tr8df&dg_Q;iqxf5XpKDN;Vdcv_y&;m9cyL}7Z5Jxud&h3}o#i~iUt!OK6 z)s`a#xWDpk>UQvNJyNt47R!B~9YKlRP_8<-QC(V&qwh~JgFqoBU6*0KhVFt8}l)?1q_DV0jXn+X^M5M*wP3$9tl%+t&sY1J zy)^s95mMZ2K7T&!Q~Og6ApV=#FR=a2hos$|AxF~p>d{Njq z&A9M5$h9f+CL>Q0ladyp&1ko<4@C{N8L0Zy%4Jc~0#!SK(9wbxDo}U0doavjRvFPq5-WoV-?=FD&tLt*jbEVE*j9Qt4E{6Sd(2- zhW1HKO(Wjr?DN1gWi@4xIGB6|*m%C?eB;BKnlc-`IMt@3Kx8F{J%!TZN?HMhs|rLm zBFMsyT1L*u!F|%@6NgV$M7&*i5B@`sLcc~I@* zIJ7}TFctF@6ivyzBwi9?NP#qhiwZ$|nHSNmxH$0vmYCVg?9JNr?H1G5v6D`XfA=P~ z8ku(|h(T9bH)sx@fT8BC_gN3uwVvDF z+Q|HwJh`Y}V5Nm$+iKWIPc6y7Y}_Iq?k~`<6Y|)S;ia2pC`FUC?@x{(AL3@st=O$@ zs@RQq7bRr5+HTfd;BIvsybX6NH*MeKt5)01ZLV*cix~^%HlYWD!=|SCX7t21JwFee zmISW3DXu9fCtDvBQX>uuLFp;FNkhjiB|39Wh*b`J?m}+TqiJK!xzY>1D<-d$-8uPS zAlAuxeAtND^G;uIfgen#3wMzx-8O0uryM%p{AFdBUr6XhUWm;_Ti^57D!~2jY?3if|Tf7udhtF-vir85Y@cNq0U}+-VXIX=RTVB3j?RSx4t816?{5E#hoB)JEkY?( zbS&tH7RQmD&?52=etYzBS%mwR0e`vge*obT;~c4MaE@`VLyb8lY6{TV0~ROe29Frn zr|LBIs(L$TbLZH`*v7b~xQ8XfOb;nH!d(m2P>6`krbqyfnPIyXeK^r-ySZ&Y+Z)+zdb;eAEWiBuAgT*@Icu zZdXhw6wRq?D0+Xhfd0#)(Nqh^iqaH0*jS_ujp zT$G->)Loom5a&{8rKAwdO}WcfvKLbf)K1$i5`A~Qg}yiRYabz%q=#|RbmXy>%bBej<*+4faPfa!2=Nk2 z;zL71Jwu^GNlA|tPZr{ZD%6jlXDH@Im+-_AsrPKh*}k*Y_&{EHJ96j^bn#XLeR0%# zwy&GFuVV1jq!eeBbEOKhE1E{RONerrhOjSkic~SSQ|JTQ^x-Nsq zc3ns4zaFW3o zpc_R+m12A-IG}|tD#|@LDmJPS{UnXt2B~#{^q*v}AU!AGvI*9lYDE7@%?gWXy)ac3 z7?FxAEe%M;Rf{b$20&7<`W0EET8yZ;UD1Bu9JOLEYMfi$(6{)_&F@gR zj5;t0ZB?^<&EI{r@w;2g3;yG--=kf@U!LWipEt!%MG{ba3b|&g_JODiT0FvdEdG<_ z1CfVf3HN~(z&W;J4+l9EOKb>-_-dZ*h}CJUQ&um9)|zVz`DdHo!4JMfDXVez>ZP{1 ztMkFel^p8U--v`0TOkJ zhs?v4^k(_VLV+T6A4o8d4j!lloWX?3L~2Ui!?%as9A6+bA*cQXU3_}j!G{GL#x@Qh zn@bOuepGPKf>4b(aG?h6j#%p;y!jss(WVh=C4sPti^_}F$%0StcDE|q4gjLLP{D0 zni}}6vl=7h7#pMs0)r!3trp8MU_%QggrLEo1=eVTIIICz#TX2fO~}QrKw!gEZQl^7dh3-THrSZsCS7|Y z;~NKu{dXL?pgeWnkd65~3fF&w(sF(6w`k3Xj}>$gbuM)1jDPyag#>9EX)Hf#cx)uC*UhFKpi zxETQ3)s$vmdtl6gT6h<4vP64F*V(zCx7>Yx0_@s57f%Y z>M|UMmW@5ucM6bM%G?wlj#4W(m9!l!m`-Grq|DjP5f)slK1ge+RfSM0lsf0R(1At0 z%uhH!`1)7)TwFZy9p>^}s=)s^_g21wjX32*?ulP!6%7af&kJ#${-|^tdl`{pok&Lq z65XFhi=$VpC*E<|p4C&x2!We>r2Diupa<-UQT|iVXQ-M64T*5D1;+VLiS!t3K3J8L zdL+*?k4m*&2Oqg(9ge}5{@9T`TsAW`6N>YurTse`D{NkV$Ui!RUvr}7p|u9OMZNV2 z$g7K_*t`TOv1E*;O$F-NAQMUUJuUy+pl4u6o$J^rVe9U(^dSgmA<+*H!PTALAM$BG)9v zk0t*sUJ#NN243FnRl|ToJ|2R$AiYL|nIJ4mKJc0Jv`yU* z&(9T~erCv0xyC_i{d5>*<4S?oDR>HN`lP{I_4KB%O8Z|vn1*)CdlF1GU*5kxjcM=S zIh+s~G5HgZh`76Mcq1bxD}l<%k*Jg7BH|Eti%^0K{!}^HSZS=RLJ(BpU9J(erYHaxC)RvPHF*1{m@^_am5WzDNiXOkaYQ zFB50tIckZ4txadiMo}BtRlrSbs)5*X36sul%vsH@U^lS>GN&zNa>hs5VJIXpxc~|b zkNoj8_!`7x1U4Op^CkRHDP)(_wk?n+5kjlxhwwwdNU+p{#I^&^_I&p{`nx*=+`mIU zMD`o20*Kf>r{@&3EyI1BQF9FU_V*gD_EdWgEU$ez zuwaOMNFGIftR93m$*^eyUqZJom|OrahrD(bgEEJm_;}iyMJJZ0PRXZFz}|Io|J~j2 zX}p>mi@L>O4e}%2Ml$xr^mh2Wr0sEmtwvZ z|BPZdlPk}Di55}MWzQfp+g#Whm}*zw3HG=!g~sB9 zo7A~Tc@IMt(Zk4DY$~K`N6bjzcESyHl@f077&=rTJ+t)i(ht@cm)avwx^B!udH5>- zEaKPG&H&pj?b@O5vaj-YuIKLc9|p3%YrThTmJL|D8STGLYdb-o%J1Nfo~Yw8^jnSE z+@Vv4PO`Q6`R1|av373Z)9ftaDa`Mwgk{Ry9I__d%?_HAom)?(og3U+_}NQcR~#&A zOpT$@#wi(H0=uxgeg#SkrB5ZJMy?62rM+|=?H-V`{8U$g{iU`Fl+3ww0~G0bBJ{^w zyt0SX>*k`GrI=>jpqcmnWC#1VALYF9Om$H8d1KHSXh>&Fc)l7w!QjAvH*M=uJEj(7 z7G%mZF^X{AI#{zh=B-027i6~JI{CVec^&g8fso3y771BY{w$9}q6$zVbD|FD+dz!s z7%PmJ+r56v(+5#xwVy|d2dG0Dx<7D+g(WItI<({X2B!y#(rpi&{`P~sMDwk-%4$8@WD{3*N2<6Ge*)f`;=xsC&A8r?gb2~?8mo~~Lv%U&{ z8gU?MwGJyaOwI#-S^iZxMeU2INPzu7m#13ox2pFl{Qr9sxOemKJWc%eSyL9>n^m81 z4?0vud<9S)za8^P)W_GO-|AjGIQ1+~HC<9fPb-Nmi7bM(q=@*4(nT~R)3g#DFtX$x z9a%)z))p-bVRM#vri-(D;G3<~Y%6o}QElTZ6MX)tlkg?Oo z-d%yN_N9f8|Dp_wogzaoqbQZa#*z=}?$p#`An|zNI1a%Tr55v3Dl2pSLi`L7$Oo)h zIuoVEa4{F2T?QTl>p-X>o(Zuq@SZqaSap5lqzSifZGfa&o{ko#{?_<&Xd~Tnn1D9p zo%D7aH|Q^C-+bTF`|mtY4>Mj{Pw$atUNSTqYG~d zFNL>Nv6Wte{90B@FrAlz6bFYgvsJXDbcrj^HQk_j8m7_EQA`~?f}&=sAerjwpjE5F zd^EUr)D!1~l5KU{>TG-ljhyZmWgCV%-pgIVLw;#AC|j{Y8`L`^74BM3C!x@3@ZWic z_w5{yPpl%&ceVZ`7#gj)${J~CF0hKgtk|Dee}dj|3v*TQ$L3b%Dl3hZrc98naTlOg z5D*jyt&qyu;L(}^<1P?jOqJL?k}uZe2y#>yI~<&YtL98oT0>hFc$y;~RbUNA*vOpV zM9(QcSLeOx)ds!!E{ogDf-j{{;#~Nx^m^6{{fzUL&2j4E9kn01^v?@vHY_P0#Lja83e+LSdgZVC|mF z!p&RIvgqA=TU+!Lf7E>~Mm%Pbk&t^b#htcg*?-i#`#0B_yvj$F-&cQ!IY36-K7o0p zjFlH5<8EC49vFD~JLAdkzFBe&Kk<(XVV+_y^PW;qJGAkt#XL{cD&i0z=Bfdj_vD30 z`cQI*J$Q(2%dfV2-|P+S;|!2)k;fkBV@K8Fd@Z(uZpGgzGR zs|={}gDzZY1j50NoabjiidXp={A&CdgSOJ~8QsIJyV5BVwSsbI@m+$^kU&Z&$2q`u z$~}<^$DPX3Bz~lIxOoykem4w0<{=aD7BGt--QvK(zr`ayIKD|dJ{&Ug9~iLeHF{0r z{l!RS0b@!4qzV;65k?$B`4bLyWg80akPMedWbHsuByM6L>BuflxpMD{=at$ktZgl7 zg^IP%t=E3}ElSeWqAOtXYnN55uE_s#ZAIHmxpUv`Z4d3j3)0o~6Ichl^m12BI+SOz z3l0_TZm!+ro^sJ4{KP*l_?ugr>r|2ElS|;uOZg$0?fHDXulLM{10zZTM3d8amW1P* zi|sI{1Zo*irPvwBohP;T5b7+nxt*`iz`l7xZI(Io&=}qsl*fNw z5E&@8fRSGmqn73QbA>`HVlgWdXW7kRz?`5MiV@|=bF^}~T#M3UA<978-9j$Ufi+7` z=GxYZGvpRx3%P~d$0}%o%1$LHgA{ak=(h@`z(#<&#of-wf#63D{cO5hb?xG&)6owU zak}6-jESfXhCXf0i`2owa@P$wEILyWxMc;;IpUv}Bgh9nkM=?v#m@{@6}|=ZByjkk zKbVgi+^nsVXNGlTXg`sk+>as~j{EsB@MG}vL(YJK^Z_#15cut;mc!5Ygbyl0yqT*9&+m@E3)ne``WpOdl`ch8=x22-o<=v}D z%`Vzr{ADVB?jIL==_tm5>BTr`$%1nZ4CD|?1N(u){8(DKp&uh*fEckpg!q!5Hq+zox}Vf)XtoPZe3Fg4j$YH<{uYW3-|jfpz7Jcxa%rSl60tIVe3jY!2SvoKzNZ!Vv_=&q>Wm9pZmt+>ps%`wxjk zYkc6Sf$$MJd2$>yU1pF!daoi!4jdF&buwt6?Vuj*goAnrOS8LM)C8_x;_=`}w^8{mx{*bLKgdnKP$6&vTwr#>Cyt z-Kdky$5Exkif$P7V(AFYnJ+M$3>(ZOGD0JwkFg9$0#*q@!wwo*J4(M%GVYt%N;82O(yIvxO=+eybC;C2v`pWgF5Ux+dwFVi z;GS}5X~F3)RwFm}fVSr>aLsn(p=qg0%#B&(NgB@{H7RXPFh+oRBp~l{)Vu@>>ew1% z_5Z#c!5gP0q6eMb&O_RmFXk|jv`pAsHWkS7Kr>%#o=DLY&BM;8Nv`P;G>Xu{?`T3J zdH11{)0R1%=7_GiqQ&Wb4czEtr;05UHAgh36SOqfYfjf(PdX_&9SipH8Ga==VDV|R zIFGDDKKNZc7XaI5a4`JLwL~p@#jjd^4o~=B=X|lWU4nowHjv}h6*NPT0AEa6kp=>p zFP{-8cnb7?xF?Wa5EeO*rbd48;z^H)TC4^pa5UJCQ#Z9(ZYgVX?}r9R?M+5YRsfTJ zfP~oe!zN>lxiC?i-CL2HRAA&^XFDpWPJUd4hbe_}Ka7-VGZ@;kN^V(={!M{0K$S?_ zc7IQo6Z?4089bZrfG_nf3aq&`z zbs;zFPS!oHdkAbqe|%#To`nBo9YIjAeIfMbxd{y!ag&}JY#;Cpt(IQKhhY(T|2Z7% z92A&7fNuSD$l(F~!uF29*n#G`m1&E&FI|;jxd+UE>txOVvGdQ6UJD&Z6Jt0_yF(d8FAt7#r z8Di$aa(~McC?Si@4Mn!QGH(p8Ynf@{mvm9~b4!YvmKYQd36Z!Cb>U)Cof~FTuLw(u z;ZM65oaoiBR}Zg#z596~mAv}uu@~`!Mv&03_r;^}7T+BW5B7hf&{W6kfnO39jhLNP zj+l8oeuFPDPZ{5R|Cfp-P6CGC6`55D*y9LW^iA`B&Ps*zd75=_X zJ|c=9N)K~Km>3H&V@zDJ6NR@KM&quu4~3>Qm26pT*~)TZ_wHT0$3nu!cJ=HE-Lk7C z{N1}-wj$^bT5MN$&@p0rK+pEWjYoHm@rTYMWQ4FgdGrLA8Er7y0Q|&XCY+=2=t-N` z^|i6>9H!~>G7L6&=icpcoiGe3+cPW$*eR^HThH-!-aSpe9{Re6e53jBSM=aE;nzc0 zF%ph^XP(gZV;DjTx>=P z@ZwDX86{_dj8N@D3GWFP%PGb;hzdfzKyC;Pk$#y%#uwa|l7k|k3_;7p2B&$b5emuN zLzqZ_I#7V15hOJ1@Z$?ik8izl)wQ@%j5l0X-WmAY*Y)SoAFFFI25q+r)5Ol}e!IT% z)v5MB*+z~y+A4Aps6&)UQ@e;M?J>R)G;qL?kG?XCevRxjnVu?962Fu;xEThC|<9C_a#ZYrs>oVVTp^T!BxlZ?dOE=7`&O|jGp46 zw095Rj(wlK_pd+xXP;IQY!%VDgb8jL?;ll291f62Y^YxCDM(j+}Y14sFBV2cE@!C7N zLvU}{!s5yxyY_6U+>iX(dlbAd*y@zjIqvhu4Ws}0pa1jO5T3|mpy5D=A&bEa3D9TP*C$!Q0CPW{`WE(P0CtQ<)`lQJwy&4*uNf@aU zpqZ>mZIfvNLsA>E+7CaAk1$ClX;*VJN?-#@mLyZ0>aUDYMn8yFMn^;XMmusCaT_%~ zI$8<5PiT(OMxdk37uWNvZ}$=VPHo0W#7*`Nuut{z{)Cwa%Dbtjeq+J%X)TeDy^gUA7G6CiCPfQ0l7o47gdfdf93bx%Hm=j`#PVMDJk@WFL z3I&EzLxH2vhk=k_CRpJtbk_0}kn}jEf`K8)LeyX#X!s95m|`R$$-z7pj;x){vdGbY zLlPE`U4u|eKXJR#`BUzg#tmA`IZ#~2dtTP9?SfSu%EsW%Yqf7`FW-N4<&DC7W7$R< zXK&M<`!MhQnhSEx{TUgROTR$b2!Y-M&EB` zMs|jt0%~?;HtIEb{NJ7U-ziwtE5Bs(3(@jC2b4fjA(^wfeOuuYl-;6s@vt*%)^9Vc zD0$R&>)&tmAN`(urJ`(#;ipvHFG z=s}~$jT<+5!61yQAGZKJ#c$joAfe$K@q-qOTQJCPkl#2&Uifcm_b(I%7c`7{ewyEE z_jun47$dpxQ#5eEz!cPwHa`baRD7#vFJH}mG3Yt^i<{>@vZ<{qm6cTGaAs8Q|g8JHjJe& z-_bXhNn-LTgwbTc6*AeEMh(fQ@_|X9#7ohfjxloyiV2z&sAQmVkgxDhAKa*Xhb4cJbYK!Nt(9KwK?leH4mO7wO^pg4#VCIA=H_#_jf@mK zAwo=eCgvn^ECId4UH?U=`5uB}VQK!GCs;mk3UbRPF|HQcaehAT`CRZE@qOabu6jzN z+m`vcC3AMpUGj9mcp~RzyV$I=Kx(#0hc^Kacc3?i9ehuPWMcY%_vXjdVN=y zuP(=olJxXg^!kEEdV^OZWKDW{`ro4PAH8!1?Db{+Mj9F;CF~!Z>jC|IKu3SbF=pCX zq~WF$@6FZQ7pvd@$D8~ukd`q!@6D#_ z>V~7GRi&xyajadPNE-5WnboH;YHD?(7WRm0r^H-lU3FNh+Bc8MgKa#Qdj^MWo-cGd zj*`cxsa#6HqGje~(bb}x#Y8XUtAUrrPP~o1mnT}@;TCu&?kgrc@u^sQ3a-B3Q?4YR ze>)xZWXb9!(_wua_z8I;xm|x7SiWRUVZRmojs5F4)`uT|e762U6~>uVZN^hu9IcPU zid>JicQN9->c^_h{aYSyS9LWfJLl@vt2tzb%GKMS1}&y@Uy;#IUY!=lq5QNHfFoWXH67gDYp&lGTCW_Icheb30t*YZ6dG}*wJ=Mdm3ed zDspllC_su$>PXokt`5Qi+JP^tDz#JEF+wHkhZ0vH!UXLYQcpxm_?4iLoz}tC)~4vl zica`kGU{Y4qXQ;#-%GC5RV&Dslg;o?e9?HT*VeXOOxEZi*PmQI9SPg|&fZ%g|28jO zjFjy1^i}QTNnWSkx8EXR_xrCk>3ta^>Ie6(26wA!qlN{yWqYL1{>!}v_r92AVe2ao zstsxgYKTzN#hGeDl!ae4T_Nd8 zvvwP!ykX;!Z&w9cVntTF$a5{zjB`~xcI73pa>|c2WaX?OMX?l%vW1)Z z0R;%55Q3csjca_sM8mB{N4b;ea;=f3h3R-S2_YL?!JUFjnu0g=6}W85o5WvHRx%6j zVQmlDt~+Rh&}Gx=yKdc;l9_d@r*W_GeOq`#)_Qx3akYD&>=lsl?JIrc zFbc(HV-%)Xr?_G`lxpqd1L-l@U^4oC6pAdw(%kF@a?q!9xIw^jMag7h&l1pR!;{2p zasqOs#k8J^!UWenqPwG~TleTzeL-#26}fBKy?Zq1Q%Op7?`zq$9b@K?h=C59J7PwC_4w4iih>BmkK_1gO!@T?PA z?KZA-D)g?8Tc>xL*{OQc3-6pY8LM-C%UP528|sin4z%E$j0}RHacG^hW`9Ob2E`zv z47X8aj1u?|q3CHqoZ(!+{QTz{-Izw>^^+1IyG|+kLlapx4|Se@!}tK^TO1od?`g`+ zjFCiXYL?q2D{zU(jc|&HhmKniiP{peXO|2%sN6j?IC*|#K}3AS-pIluhC>Y(W5Dua zLl{+#tvUuBckvMi=*$j_fyWF%^K-$Sm>>sZG})tC}>#siP*NF{mt2Z>cE|19a+yz-R~@TN@mx}8t92HDz6|7 zUz{DSy>-zcwR`362n;)Kh9O%ZThc?hTp&ALJ#2bLls&h#VdrFM_>u-5$^LXiJ$)s)G*JX`GS9EzGrD zY%^}fU6Ll=PGLpf3c|0_&bB@a{=7XEA!$WvNSkby&}z45y|DAKV_GqV;g+aF*8O`- z!gyw$eK1ON|E-`{hW7}VHObPVM>y8e8imFpgZ(cz3SL4Z$T^sUG2WDi_6M=2plOEs zPPvQikZatxz(9rN#Q+S9T;92Z-wuIOvU4KJ;nT2zC_QCEJ03({s(zBf{-evI%vNYF zogNw=w>WCss|SzoL!KBV@Drfvp16dVK6n+6_T#quMoW^ay00->B9qAk9Rc=8L!={z zG65_spb?~GJQ)o{q_E_t{);Q*J8Ps3MPk%M;l90wML)&%_NF@$q>+5JJ+#r@IjG0i zOr`*TGWlr?7`L}LE^XG~&XTs|U7F)~VFvB!Ayt8P11ZYR)E;)o`M364vf+o&CCK^Rmv`O-UN!f}h>z7BfJ^E&-Q2itclPejzC4ENPw|ML zqZo$aqCoupIezR58qW9>Q}1*i!>4XLDW%ZCo#Zso@xBw3fJwIrN_}a0gm1JjQVMO2 zdyCFVqS{o8tI_5!l}tr#u|0ne?=P1egWjc*G}@e5d{b?Whp>RY__7zZ{dM?VeB90Yx)%GDmHi482k+&{Qr;7uBeMHUXoqgq{utR~&OS z1Q;}WQ~=szHDs1d@Rc!F$6OB@Rd>~hHKGJ8xGzin!?l9nQfs6I!bh=`5r8;&H5`E> z69Op2ui=j5oVd+J)wdj1P$d>NsJ~OQ7eHDxoG3zW9JGV>WxafR`JKv6Wf7huv(fynBET%{(_d z8SNOW7A{DUIac2IZeiHW?AfEDt!7w}b~@?-sS9K$2-OHYiBH<}JbdR}Ps8_-tog=# zV+vXuMvg+p8}KEts!)VRabH0&HphYd{fFF69Um1fVGWhgizx#w+I5;O8}Vh*lx2zN zvAw;8HDHEmwrPtGh!pp>=9S)gjIWW2?jT;_0RwfgbaZ(Hd2;XhrO9`CMGia{Ai(zq zD13_+8w8>rL4jY791U~{VvFTJu_GnO`gvp}J%XTdD8T}JVu|F-q}*)pxSqf7Kn>oQ zm){lkuDk_@AqNNctOrYOnc$K>!#>xp9JgtfYkktA%IcBbBdcQY$;xh(Xl+$`RH1|w z^OnJW^2n;vs?ye@UFEAdY2d3V7ve%r!rmD;0&hLdB;OZ&CGIsiXKdX0DVI;q;?D}C z#X_+Y2JAS2@1oVt#X$%^73>Xk!i07wipYGk#9Dm09h(f-B?<+gR0pLHx*5`$pim~P z6~Yg@k?!z#&!HP@Ja6DhbrxTMPY;cU2CL1lLCY-6;)cbaI}gRxMTXi{-|H4R^YaWy z1)mSUq6Oz;hkEj~n>{Q?Z@X<9y6@MLJB~h%j*ha907pkiobQNu5SpGssE&?8(w9SY z4h`5<6O!H?js|2vW1x$qPOu!w_USXT4J1ZVUHuzKuptGA--bTy2$ZOWN8eO!*rd8Y zo4iYN9A7~zk`%_+F*YM8F^HfP{>=&_V3MLbC@HAgr8;PW#-!+E*4T9?QM*61od+&r zlRW|cQ`uv#K&DlGY#oP_k0?6r6^#Nv*Xhwgr*|hmF|Y_kzIK-Gzq00xUYvYqAT=q_iztTXghZv z?WMF+lK-6#OYif#MR|)JX^_bqcP>W%to`{u@V7V*J!JNJuT5U7ekpvv(PN3-VuzQm z2@a-c!T;FR0TNQw6IVOr{iueW!)+Zogw00x*$c;yKLtSm2 z%h0S5ozIeFhh(CKwR!~bT&p!Al(TFJ%H#R0kX9j?N7kHO?b4~N)X~k^IiduupfcYr zWQKFh6zBp@?#ZxqlmwPU6isoQQYJ8<_yT?+Hu8LyAWH#Rexd=|SA3RDMtO?oXWyUAXms+7lc0Uyj{bGKI54fzs(xJ;y zPp<7bHM8!KxbKQSgDiusX8t&QuOHfOHr9BH&Fw5vw|39$I*#B-n;zp9x0`4K^RIjc zAX)`W3LN+qD5!m$pHQMzpr}xtSc==hUs)kb5EMjJkD!1mWGC)ZU7HlY#ml=4wx9mI zXV53c#{^Pf)=XDJ)yR{plNqF}Ld0Q!WexhOk}W}zgU%!e zF$$5z()8S%Gzps#kDQOsNQV}XF3E*0Rco{q{p0G4_>7G7^!#*NPKwxkmn2*ygh0J) zA&4_VKvyrt$Ic^cOkcZjhJ+>@4Y^2A{~Z9zdiB~Noo}qUG!PnB>*b@t5BeNlavW=@ zUbRLp4P4Y(^z)EuuWW9qS}OyNjZ%3t!O*>@$w#9uhbt!|-N&h%U@0CgpKCN%9^U^~ z7Pm%nB5AoJhahn@px)t(M2o2KT#PtfzRBAZU68m-vJ0*R3p1|u*1oKiFQuJRNmz*Diz12L`;FGbN1 z*_?-*a*H{VGl9Pus^*>U z9rOM?>hI{AL!qy(o5JFIue!Tc7;SQ`{m7f=bc0upve;pnI&A1Ak4rN#Vm0GD-XdY- z>g}jWR%^hYh7LV04q25EdVCnyn?`!npy&PHk?T{lpyf)rjG?hZw^quOKw2rs8-WHt z0~&+IC7pXA*2LXavcR(o^w6j81|gPr#6O|Orenx0@PBKI7Sr2ZvK#g*UZq(sHZ_)w zz^7`RktZg;QwT=HrXtJEGSQ{lHON(lEZ{bV#^Z^PID+m38Bnmp2`Lupi~zG5xJJXN>8^YnR7dM9m$a zJ^~n~JrC{i(is1-z%wJ(hH8SZg~bO21u0?xF+pf$HDM4Q3lb z_0Z2I#@d%pl-kD{@SrcT+>ZEiU$WNMcS7UdK+JMScNkajHAN2|N;6oLJ3)8&E$~es zV#5H9Y@lO~=#csc2S#Rf*tY?7!#4d8bf^OUFKDk?Yhgv)H#_I+=R*tK_RQ!bFcZ&N zIDfuqDr#|i9Eyi+AZ6fvAlA9HpO5=g`nbroL8Vf=#kGrR-h}0lDo*w5@WPeyby!o{y z?;CNg*R@_HZAgpB8COs1B^?Y+z1MkPj0|fPJH~muyYIAEEY9VLUNh`y`8A=$6Xn6v zf}j`K$Tb4Y&6X=L!Vr;`!x1F4M*tf93Xm9ikX-H=CH&ECWB!$tbbRBfdOrA7pl$bJ z^w{+C^roo3v5QhpZx1n(`jdBQj&tL?QCL!3T0m1&u2U&NH#a```V@jPX@OG#9q*Rw zG+Cn%`J9@xbX+xRqjr!MqYDBj38536oMej81;@;^j*YYeIUf!tK&2 zdo8ZOH|IIjaY)!_EyBo7D9v-1kFD}wmlFE>v}(w{A+#DK5K4l47!EH05P%PVKX;3Ht#|HP_{_+K|0TIUOHOB8|3l#V1NlK_y!Gp zp4%eSvgz$>)1yu_v=3TkoGPv>`%s#`3ARyn#zyq|s#n`1Rlcyt!AYKHB&6k~r6r?o zQON!O?qE-mVwaHb5QsS>XQ*3z4ncuo1-qd5A>GN_oo9$zarosA?ih)F$JvLBB9-A; z?%w?@I`mX9yTDV+o{10$dy3VAenaZGvx5?czP z18JU6L=y>9TWzMRu2xjDwNT4~m=uC?_=BpI@XKl`Go}`Ed_X}9aqTzLKhwUY&u7Wl z!d3CGA^h(Rkit&qOs`k6Ok zoN3RvtFW#7I{qU#+w~4{R<3h9Eb1w!%RIi{VROumM643Et0)O2W|shxb#GL+7;yJJ zMFsm3%XgLU(ts!GU_a5Z6!jfh;zt0u8R#Tb1OMOMKce+_BIoxF3icmN1>b2o7kuNr z?g~o5xASsn#46??_-7}lkHU|2ql(b7Z=OqZr`(M^6FnF6!B3oxr9czVs6^1vGbH6n zd9cnJn8*W`_>K5U?iv~k$=@*)TtqO@&=9S%7IGzK6GW(b$bU_oD4$5ozFfnd{_FX; zHK+thh=2?6>ORfW&eAKy9`~>aCPm0g9E*E<#F8(=+FMyLUPfMGFD@bOAC`VoEmAFN z=!Y2#lVm7??~5GaZ}@iqFm%^%STnWnw<$9p=6h6(%el37v%zUtBYN2QK?|yopasAG zw8jDB)+VH`@_u@^AXZ^Jf~T%s@3^5r&^tA)hPr$ zG3SdfV@|;NDx}J(RwrA*GM-)>A`0nrq0@PKmaO5tOO|Y{3wXNVrVR6T1db|j5m|ay z*!Nwo30BL>WNQUP)rAVorV5B0AxR2sqQQ^wRLnsU6G*nEk!XpGL{U(R=^Re zxjt99R-jYp7;Lx!^)>&x*A3ps*jo6HM%-4ylJNK@vhk|)Q$5DqQChl|NjMq*$2lyx=epHF{d3f5nOr8vkYRx%q@qklY{BSY zltWI+Wr#IGq8%MUk)b*@IXoD???{M*fFBxB6#J%s`QU2L@?Or6Cx`a;a)uqJ(>i~Y zoKcmpP;wd$P44BCxo#+X@M#FnO8GgcI z%1CIIoO!SFrn5}@mK48_)(98yqJXgu5R>K0u9F!lP?C95H0J$egOAU*Z1@|Jz zIqpC>8)0HiG`y}pkks=g_b*I0@U&BHeY?k}H*P@>v+Dupq|8GYhBReA>bSaR&aCbX0^3I*dGlGr_8qi_dCNb#ld4n`RULANcpdr}#qSfiyNB0X!@NplF%^HiGz{3_y9#@^$Rm zwR>pyU}*6CSX8L)QqO3hW%pQ&BMj~(_7r1m3zrar=YnTJ_d=i4zCj!6e%O1o(rX2X zLFoO^C(vErhTac)doyu-Eiaf^ljcFaJ2x9^HpXon37z>&IPx*oGa64B>ecbG`fWE| z?`voWlBOVbBsh))|MKfY2%TnWMk;{q7w>}jCjx1j0q+vfEQcfnq%~(ohPPiOf4U(L zwefW!;>TMv+z+w*%@TRCC}ZT?6^j%77NgEI&-ILG6H%e8h}eZ1m+722g`jr?#-jUH z0uMxFI(M6r*(TGOiDp#J{!a2KsFPBv1W03*K8kXZ3z3o~{`)9re;Jcc@j-|Dp$r&~ z`yvv0Nn(H_WEC);aAL?)4Z16|5T&Gzz;LJm5*kG@pkR_CH>w3(QudqV>$r+V4XBqz zWw-l+2OeAjovCusLByaT_+giOj^a{!+s9W|BZF}uAbb;6luYp%S;6EYPv*EvX z?Z&I~-^N`THv+9a1x#5CX|H~73(6JnGaSZ%hL#{{Bf_E9P%I9K95#{k2T({Ld+5dH zmyW+J*?hkRbi_-4K0wRyUXNc9VALo-m<^gf&z$Pm7zdTx*`C$PH^?-Xa)}5WEPi76v?+`iR{EMic`e2C;lEvGBv9 zfiNEaL+unVYV)ULzhnn;W5<{sy)b4b?sF=74nuZ^5UpTG?2cX@3un*z*mkbt;1%(TgKz8l^bh!>~mCy6QLmuxRalEwR;Mv-nf8F>$ z`z$d!$R^4r$_VWfBTLC}pqPvo0*ymHXhvcq%X8XuX;&X!HOa1r#JO{>0k+B`=fDw0 zYp&))+TPYZy;?6i5_pU}jWpzK7~&HW5a@v%1Vof@6gL;Q7_5oi5N!qt+tLjsLgnUG zir(62#~@;lcH}#nMZ3vRdod>Ci{i`JMZ=zc7>_p`_;4V~o?^@w%v*Xs^iMo{(c^(1 z20ssc9PsedhEMl%eEarZi#p_d5NTj_sP+?d((`YS4<$K227X;T?9iw$P~R3LG!zJlKtP$v|WE`)MFsfO-noR|E=|r%9`fXA*qXr3W75X$%!w@8V!A)4+!Pveo2hNTK_8rw3~rpWGiM&k z(DOE3+J0?QwAPu7@lyzcgbE$B7)2A}QJ?@3hELQo07Mwoff8W{JLQlgfkGUwiN?(A z(q}{TUe}&pw@_;@M9+sD3!8>CjM~xF75$+5V=r_(=pEyQI^8@cP8Fx7Y0Qh%$k)Z* zs}LvFMhw1?R%DZl)}dxGm8Q{P&VQj>zR~s-xsKcKLk{1c1-|eizq}v~kak zRl2n~D4jXQD^Z7Xat{K%8#`Z)~+3(a$~+w?;zOW&4O<9?wL7r~PRvfJP?TA3D@IOSqQwGkL7 z&$hL#fyf}h9QkkVV~(finYOk?u8DYqKp9AAc>!JnDDYa&;qe%K2UK$MLm;4J#6vbE z6+vn~$*+HQ|4!k;zZ?}k*fehA@KYBcyTw;f8a4Y}PCx@0_SpyH@5WslaqYZQa#lL{ zu#z(Oe+Gv&4%fYG8tzk5m>U;NRwn!MqT4YJxan;?EHQ zhPPF>WijYsob)rEJ7iKM{Hohg@XH#1o-sA1#U$6;+#|_)Sw_Ui2)J_qD7Y)CKFJg~ zvBavCr?muzVU$%4-K{PE;fIhl5L>ugOFEEi`~7@4s>kkr_uTNF5j{)1(Pz@_a1?l# zL%*lcICnp;D65R_HnnF$QvQ;hyVtgy-2z=9G4}!NU5R%#gM;PW&4*3y=)UUP%Ss9l z6p(xV&HZ~x_)ej5m6W1M%;EG%e1t|&ujW(t8jee*{$5*q5uEhPrOX>>3*D?ns)U^! zUX5O!6Nl<9pZfL8`ZMIO&2ctEZHBrBc=(XfCsw9p6iz!)+o6!j0p7s`yO}yIGZeKm zUCj2+kN07H(ivF2wN zTaH0?m^tO39dQickqU61y?BTqJ#wGM5t4Bt!T>ips@a zL=zO!d>SD%pGqDx%)O&|!L(E5(DBAHZJ>?Dz3hipN}sKBQFh(Owi(w;5@Om5e`=Ov z%qY2`!Y_!ZIGuz-FiIM#3`R;QcJ+zXvC)aI+3B+C&Bxy~ zsP3zv`8?e`vn6Wu6652<;#6<~5_i^H!5uv-2Dx8`_{F?Yhmvydlw5Soim}w%{`yb{Rv*$JcmJb zw8Tg3BgOmk#U=#Z#WeI;AA%AezPZ#6!)D!OEeitcZ2OpHBBx4&9t7bXQwjnxs;9jS z?|!jmLC{6Bi=_?Kp#L>WR$BYYzw5NlsgqBQekLumMw zAxf0nX}+G)SbhkZ6^?hia{ly#5f4J6{=k?F->4Bli_6HL2cZw@U|}2)G|+Kf#2 z9g3Q#8WTBw#GIKu!#`fSI{eQ+KHj?Y8f}9`gJ5B~H+OC*T5x~NMGg+Xe(dne&zlw; zTOfelM4%F=h%Fc`KcGx5018w_1VJI2O3rB{WW78AmjgherC{gQ(4z!2cL7bsKi{^e z=VE5{qr|X{BYqM<|(x#VS;u7+`55uw__nMRq*-!r89LH!G25etT9RaUqQ*#N& zVYEoeBNRav?udY?W~_g-ur%mn?PY8Up1GTRpU2C?0%g#;hczU#ahUNM<4i9vk8aRK zG(vo4nykiX!|5s-4gsJD18jsgPCDY}3k*1miUDGY<gwK9I2fHQS=WAcx zZ22)ld>myVy?=Yi@|!EqT*h3cUwdBxM@X$db8_(Ygl7_Rljb-KBo#=7d_kcN$^t(Z zDNghGsF_A)Bsm;yz}mzRW_<0#V~s)DNm~EgXzxEft|fDuk2PYRr|zK+eXP3Z+ex>d zX^*4cQaqF_eSy+j>~X?5Jypn>kuzt3GuEKs3!HG~Bye)Ub6uQ4C-H$_AT*m~M!7l3 z$hC8}%*z_yc~nVg9MX5vaA3R1b5ZKToadClI{eePxZ!c*=7mju>sT?d>+BvKCGViA zZ`lR?xBI7G?V+i3+|vWhu0uE*iPA9 zbAS0@_lex5InFa)5-*N-k5{rJC8i-gY{cE;@gDJ>`Jk}0B`v^Gqn4~Vk+ooXER{-8 zxv9mtI!(Idm&`*HBc@uveXjcWgCU#~@N zI_zuP7y9qJYsixeYxf}sJG5!L5B==W`4mFCMMVJTy} zhHjghe?n1+fMz)n1j5qfWT6T85IUMr78ns3*|02&;L11Q0N6 zh^Fj#G&Vxz82}AHfgdy=-+*Vp=TiotVE&1;`$pgM(e(=D?3L_W437 z{ORMb#l0F67xJlQdGfZJ9Nm=<*=Q|3eXu8nw~FZzzzagDFaRgk~TCj~JT!@eFCh+?b$2wT-%% zlt!d=V{&6D#>)tUYS9~B8v|_AH5NbA)CM(@);SM7%un|Kq2__5rFo;C3IE2K7Xe!gV1${sd5i`gX#xe1vb=Qr-Y33fCFfSC#}u7Z-*wzr zkPq3hZ!_waV{svB@s@gEdB^u|u)%aZ*zvPtujijO3t>CKXdtp<{*{75;@4Azppbds z=o8mK8R3w#77IyyIZcK8C$2*<18M|2n0Lc@iQ*@4$a%x#)TkR1!(O0WHO3MDxaQvG z=&DnSAx9RG|2M}$9H_UeQ`Ph^L@Cts5E_0v!DI;46&NdA;{8Wa&h=9{pzjaB#?b?wKe{VwR$4Z2#(L${itaB+r@< zIu^X{`ZF#c@qdm28l3%B>6_@HoQ$q)I< z&n~;PZ1FPPZ#igdx8CQA(HuF;(qR!^zU&&t($*YMWM5dGT>{S5RA;%n|IdKefXRUy zB8d2q80nqfqyl3EM-x+d7p#mP&&j`F!eUNlk5Lik3u_w8eSQ9&-C;@-hL!+dX~hedaY1{R`#QZgtZ__PI( zftLbZk3LEtA;b8PT;XIUchm!+>4&Q5L%CDHMBXl+i9PObe1Lo|>s1I&UG}O6B<6h! ze@O6OZ0`4c(7y0ZY2PoQp{lK+??MYLA?*^oq9(dklNRA-`PYlEWLjog?h+Ixu1F+0 z25FRPSnvi`ryQ1<4pE~2M&Uwf zpwyh^@e#(?S8fB)&{s;3Z~mPoc-{H@rb)>?GG}1D!5#xIVMg}6A6}!a^2Q1Ivpewi zNS$hTxP3c3p;^wyE~8dt&J52(E#-x)A@9@2?z+CqB-~`?5mvYL zNb;R*qT?n%Vo^RdMOygpBx_TVk7Syx=CLNZSQ^gyUlZnOf8Hn0({~gV-QnV7Fa7#pe;p#0a3oeEL(Lpv9`L_ z>vNAkc6!aWu-FeSFsuh;y!G@R)6s`v5ov*x^4fdOYh=5A*29D>#hPh_Y)jlp7;J{S z3c(V9pusA~f(8odCBi9yLm@eUWq^RLXXG@|Zdl@Qgr!P6L1cH&=TZRt#%D~cAtBn1 z^r0xbyrD?zVmnivNEtO@(@KBef&QUBDqTNcSmp2=6}}ix6%@5yFwjav=m+PzbU(AfzdDlkEbJvUc{3MwJ*5aZc0?Gsa&o zh7P{CMlcDZeCMw&I`J}fcSas}n`QuQAT>}L(R>EljGBhvqlQXJ&4eG>cfzP?U#@J1;_pgo+nJ*PLbn{n9Jc>&?#4 zU#y0N_TJsL!L?t@u2!G1a_2ANThI_YTe$;!^@Bbr_~t#f%@a&r?!b+(BR&4$Nn~u@ zcSQ3KenM~Bcsw5qLvx-4{0|{kxQDrc54?sXVity!mDoyPF7S9UvG4TIviQgOCsdB8m!cEQi(_c3z$bo7oBsh9e^g*0rQYu~lK#71J{nPmiPiClw}OPk-SJ1i{e zws;#GezxU0BlCr6Gu#a-ENnN~_V?Tb%aJz^8UbyaI1+=O;N;O7@T1zXhfqxsATXXGWbF3?#<*zotb+w zbPHM;r^26N6v41j;7@aOfu~TTpVdENo{St8d->IjKOU~V`QqD#-e|jDv=ze^xcWTq z_Nl{%y+kjz{o&@dGppBLBxCCsZ~_K#fQgv-2ZBaQ5@?(g=wLa~VOCZOuAt;*2owl( z0MLN798Dk>{N8(I?|EC@sy#=*_Ic3~b^Ctt;=U-a5sy)Gx{p1l@JzjDHW(7Hd5%d| zh#r|LgvBO^O^^^z)CCIhz5zm5Rj5a%RDfowPQeUb)Nf+nehU`$>j&+@Yi5srNSz)A zhfr>RTyz+mbKc(BJ^H=gJiP}ZL>xv*P_WEv4fLmkqW5X}`VfjmIDXjmz@6fRQ2+9C zC)cSCskVb}Flqf^3;!b<?ZkE$cw&$Y?N)0 zj4{G(Q%3tZ&tMK<2P)r^`TvJ^2C;vb~)ij+Evv5;o*1rJq`TMIhg+TZJ z_}+HfnhSjry%4kT^P_HJ_0%x;UtwoEUpW)~pz+j*yrnDaT)W6h7YHRTAiYv!;;G8<0799KG>5q^> zSB_t;yRtzCdQ(&d$O9o+LX9|meh|dOAT9(g6}$}L6r3YI9%;Z30}>n;00NKuXGEOx z+PvPeKe=7NY6SzjBWK13IzNUc)jM_yk#4gFbU!*ScERAP-wNthH=JJ;*@%|pZo5K+ z-Lu+&9*p-A)Dz>z?)R6JZQDKMEg5r1@hOQpYA!6q&^665+X{~bjUb_s4c$EASTx$C z!HAcHA3{++d{4v=G!8N7CD@1W!T+Vt#J)4V%lgbrL^&BT7GWX7JL1*-CcmE#8UJFS zu`IOz{Lwqfn>ELoNKZ=h?enGiH2M~!>s`=tjW&_uJ)|WB?aO8PwB&Is)sk)k^9xpY zdJ^ewn8w?VG(1Y7ES%_e!V6rqwc#p*zPu%d8ASgjn;h?pBaj?9zaIGr0YS-7Lb(~u z1u6w{6i$H|O^T?HMko!20^TB^7*MFkg&%g^xOSt=b_K=^eEOjbGH;)z0J>EPnUNJ# z*QKC+Qq?>Q=Sknr0l0NYqELyLCbjKS#pyLSII`WDt4FMYN%<<-L%7z!Z7 zn!1!i37ZLL5eDGdpx|jj8fTNRE6|HwL`E(%EUrVJ8^Ra{Pb4&g3W{N2N>;e9c+oez zQ*vgGImZe$Mqozk4+HG_S{Rd=rqj6?2E7<&`-Rg6bIN_e^hGlN*WgkP3_-1`!F zrFZOi&p#diq7sb@J!{-r7ftdXIv45;p~1-4L^B`jKJT~oJ1)#3Go{A855RM0R`$Mu zSdKmC32AuG=a1lw*F78J{^>FQ;e_#Ete6%|J8l-yP+ud|V}|iSDQHLLHfoLezO1o1 z#rkB8&uSZxvh;=t-gj!&l%?Pz$;%XwJ}JpT&;^!`)+n-HB*)}%j}qhm*jdbwZ_1+Y zF~Dd@eF%9e3hftSTjM_H059#YQ1le-NIqFNzd;i}Z$h$Phv1lTx zW;9@hL^7_1SR$mLz)CNx2Ffg_f@Xx#H6HIU@e)` zCn(q0!A49KB?42DEY}q#{aV>oWcKf_mAimvbL7Cm+G&5(b4!~cQ6Vl;yqRI4`xfqo z1>?X*)aWgT=In9q*Llx0&-d>yzPwenVejR9Sb?oF@1l&p-*p`RU3KqKpYk&kRv%sd z$MPlr=~@32=0QWrq&z5;L)2bB;phyTD zirfFAyIpXx;M~1)_x2Z{@6P7SF1)#Ibs~CB?%e^q*njWQfiDG*!#=d$Fz#jV&-1=* zTaI?jfOam+pq~YcTSLar9fP!4-g(u^p39zj-daEjXc!$2;Dqfzm0`!I*s`l{(KYG7WlH`)8#+<})sAj0E2p zU#!54su^l;XejfR3{!VL+Tnq5b6;Cg8x+GFXHr_=9t8?HWP{2~fs)Upkdb#jfozZ= z6D@BDvXFV%_n2rEPV6b>kzMOM*t(;BfCXf%r=hXUWyVh3_L#i4AQ?S zBPXvb@FUvP_v~qABzK2zOxt{9iBFynY;tNJyjy@>M>Q;6>cViePmc6gZ}bx-{KC6; ze)p}go5$W%w?{Ad{5L354!hn$8(DYY(jG_z+fA0c!k+H7n?X^m{>eD7a2)C>9D+i~ z#PF-e&e@N3@Q@M3#L`D-dVU8c$LiS0GP6zl?$#Bp_k(S1ThVEx;VD{<-mJwPRnQby zwjA}L z22Nf2VCyX8=>Qts+3L9635>x^DtPbuZoNYb|3Cf0#$N4vM;Q=}7Q#&5mXPr?=RgyD z>8%?D`?+UCWJHHIGtW;)mF21}4^MBZzFf`L`w1e100!{To|FVT87|-jG!*cGo)i#N z1MC=oXBBB>9QNjJXTy7t9dfS+*EixcyL~LtTq=qInly?M?KF19bP`4)Q zLuky;@zmmT)KD}8aRGoxCK7XULahGy5q^xZ!Yr~`zy&lHY^h0pPUCFkHbH2`Mpxpl z!f3MMr+28W4|Kk9bEGy#vPovMWj(k4He&qO;LVV=m$HpVV~phb&_AKOjEM?H+s)Sc z*08Z}58kMu$Ot94B6j59t(nLiO6%dVxh>8?N?7AR&5mB)hEQrWqIZ!w&>IFnEBG$9FLu{z1C$1sG}zJ%be5%mR>UgLLqVsx%vZZgF2vgEt2%chV;;D1zx#+ zj@xRCC%j%Q$ch3JJ)|Acs<+$JY~w>yZ~0u|mKENN9`qEpWUs3Mu-%RP8B*}gr9Y#G zU057(I{f&BtK>|_&!ElGKMrtBcUWwbk%Bd2Xn}k_`VD0O#)aR41`0}yq7ATobBS^N zsYJVK?#mu%uMZwvgY9Qkf8=cHnqF1##XNNPyGc8egl~@EdEI~~v|`EFM#@dfAy|kj zDos1yS@AehijQ_~&S=UtClzIWc0lzb~Zj_yh)624Qx5ezN6u1XU_u$O^FpB%2lI(thr<{ME+ul-IHb6 zyLT={Kk*pR9Az-igRJu064MJ7Y@^v8z1rJOnA>$W%)i94qwVL!fP zAAZClxk#v&C=!`ifb7VV01u+&;3V2Jr9hSFNoYi7%;?8F3~avdmrqb3fS5*C&4f{jP#lRlusJ2cE09yKkdg#5RTB6V)8JV$Ok$A~@<>oh_s^=!FCDdNQt+{|x7(K< zb)LHrcBeZ*7crl}hP{RDYw11@SZVWK-}p8CQ`uD9g{cISI6SnB&!QH?_<;%U-sjZGBHaE*Ur<6rCVN4a-T36g~t;ie(ow=mnI48r`#P3UaxqmC7%j zui;nl#RJ4chYg()5P`Sr;~dciwc#v2i&i>xFrJGrZRb47&Lla+nNP8Zh!4dJGnN== zPzNk16ra#=hr`k=!3gUN8#9Agld$#ILeT!tkNgE#J$M}pz2nBlO!S$sUq&X3)P$%< z&O04n96DoQeH-Mi-_cpfDYyH;t(al_Ft0yK)h4Xau&`v_Vpzj;17wuKa?d7f1i3&7 z0fE+pLD4!?uUj!{{SU1zJX?-{_ONOMD$k!Yd?mD0Nip)eX+V4Pk;YfOM*YDv5yyW5 z?jY_Uo;SsK9>-H$GGj||xfm@dZ-}xK94%Al>*g!}^W%nWANFR7(z5+?{GE=HanuGG8r}ahgF`0S#e2VYFBu0*oxQb#i^L z6&>}^oGHIaW7fkP@=bqtSf5hoR$!G(fbytJ)qPycQ(vUdz+WMi&d;gg0iudS8QeU6X5wTOZ_oA|%WP-)^6^vqP6@Gf}3b3u`D#k4F%$dy2j zNt04i02q`M4^y~8+<#D{kh5+6W1jwcbArc&gVeg7yD4yIPo4s!yM<3A_^W*BOfqh+ z>)S%le0&_OcwaQ>-j%~294mHWZn29Ix_-f}Nv~j~?fD*c((l-=o!t!$pLNGC(b}{h zeDfJ{g&~~K2r$3j5%4n7G6VvAp^gPMcqAVem~+?Svvept=| zr?QlkrC6I3>|eXf=Bb@!O*Oo5edIEfNM7V)=rI$my8=I%8F@HT9PN4%KLy|?z}bkG z)CmFhGnOKD7I*#^IL?N%#|?in^g^nfNUkOPn7PMU>``R65^wG$<3xIoQAyHeH|G@& ztz3QjPCmwvF8g}F!NB|`1Gd_%EjQ7t8r~f`;I@DJzP*JCM?$6z94h5i}%#x-CW4DVgZN%`apLG!<%-X$0+j3OP-Q36Pny!7A zTx@S+(NpS*(MUd84z)-wM|}1@Ex-#cT=9|9a-NX*JZ0dcVzomd5A`4*VM4>O!NLNk2UncUO!Zm^zzD7{xSLI38saK7q zs44|ken94^3It}<3bkj9vdW*!wUkIL9PFi?&_g;(^sW@3QdclO< z__=L#t`e5>Xj!y_kFci8gdeLeyzBU}G5hg%SkJii!Cs0wdg{bS*oE)ElVTnHvj6o z`R2zw)LO3Zw;2C>49o}DI@-|C0B^_v-}~kbOde?Lt82<&8dx3<(*t^YlUZ1*HB%5; z6Ge;;FQw!;?eD#9>{h+EGg`}nTo3Tf@4wB%Jm{3I=q+;jj(rxzrafFpGtvpT?wqd8 z);bpYev4GhLeYMMn{hEhh}T*|wlMovw9t%)tt8A48Lh^L@T3$vh13*bvs9^;C$XT= zG%HLI8N=Xoms^yP~BqakZCi1{P0_11@j?E-H|1&Rgn?MG9sA^Yj?NWJ|Oc9srL(SEM>eYf+J<&d4KU%pJ@KZP^Y8EmCGLz4z> zr=WO{69TVgsEKd3KtoY!8W1&s8Ge~gj`FEydOdubg`n|7=?{YCz)3z1^ILtLB+zX2 zcuKQDW1W(QK#qYv#!w7Nbhv`B2S_ypt4WAbm@CK^IJE8{oh}X9K3qB5A{MQ^rX%on zo9rN1UuP?)VfG~|UHh38>xVz;7Zi*JuTr6m$==;v4dLxP= zdR*!Yoz{1C6;=a{eThCZ%9?l(w-~qWc-m0;g+1l?y-tuMPjX0bnk=7eifkloV!;2B zk{r+n<;ikjCkgW_<(9-p+`0irA2ULqclHo#O)N09@X!+VDScpg>tHdIc0H7C@sXzl z7X-l_)^=Fg1})!Z!Ink9YW`*TPp#~50eG#;f)0yZ)Fx?d!p-iq^x-5cv)n8{{jWd!PuB{=Ku+5$UT1k%&;cuj8nhctAbQAvJIq;lVqP(> zl$XmZg?@1j6$LjR4Lx^r478E(#&gi|gXdy&55GF{4n;Ly`P47$YS@!c&>#K^(!UZY zDLxcSu@;&5uteI&3ju>hSr8SAvH<5wp~&36AVSb3YOdm-`CJU3KDp2#R&Mrdfw7=Z z1gtG*Y`za&K1?Fm z7ubw(ot!_}V6wRzTavrs{jA;TsHt8b<4_yD8-Gp1=>9%D1AE9HuW6Wb^tXhX za{1fUm)}iyg6xH&1ka#Ba&RqLtlh;^EVPGI;TZ%h_k^b&+51&&W?bWRqGOQBO)H$1 z+;S4iQ2t@9GrU)N__V_ql+?fN?4b@NuKmAE;Xj1~WImBb)`5|>QJDMjv13pV`T>z> zgLZ+yMs|6a-7(f*1-fnk+X_#~$O!+UC@CXDAD2pb+90r!Vc@j`w{YZMcSyBQm+u{% z&F+C@uwPijfV(eBO~B}$nuAF81{aBnJS`V-GWUImo^a^-#~;j_!zwy%5cSCCVZl0HQ3cH`vI2GPGy3fO=ew33FFXF|#l24@lV0uYG#h0z(ftYR^D`do zfNxFLaRu;i>H6u{U9+A)Dwy^6lzD$65nH`h_~HVi##kYXXYkL#SCgJkH&IxMJ+E4N z;n(5~5Rlf5k6#0y6x2jlm&m`+QHAFV&I_OV%ye znX*w2qsQuu1>j7@H!`r2F>PlGOptnl1sfOi+R|&!&1XM{FMC(~=_kDX#*4ekFax%F zA*8HVH`D8(~QZhZJjxof(Me}PRrK^AieehNNMYaUe?ob0;ystd>$;_ir)t@nsQXk+ z27{g%6XyqOCZDOr_;jBF&X2Oy1S*ZBUOM*^Tg*}O`L;ZzE%+6Wvcep;0zt}#Pq2c| zV5O5Z&{ly9ok72_S%I+;FVD#cUJo95*6`Tz;G*RVjd;*>o!VP_eBb_hTW=gG>Acp!F&=JboanU<3KM zr#;a{%_FNE6s$sx(qj2M$VV_H)!*Ot-HY<-m}AE9)8y1K7(>EGkA-Kif`c;b?3Aw= zDpYz;ZvB@O{`HdOuuap;9HMm73!$a6Ea|J5cp_sm%}GhkWS6J?YXtvtgC%qx3-l!* zR^FG_x`hkAEqvtO%ce)?Uhw@rw&Qzn_maX#u(0$l*bYl%ugCizABj8Kxn$IUxl{XG z?LFeiD3nK_M{_i|;iFJa$tJxZ5zX$kxy7-8wIeo;{Cld*-$)Dvnmq~t>w^d@`Q5l; zfl?tfA_WnHiw8Mj;*hO*TS zBBkSa4ss6%KKhz$W^00Dr$}M9acB)J7xfG>50XzKwglY((upJ4*+626yhG&z*OHKT zqG=1f2UkX064Ryjopg&3PZesuJAeH8qjkrjlbkcT8v=Z_Pz|5{^~4|H`1RAEojY)D zwd2zsA7-^5GyWsmbymp;)JgC$-xu)MY&Z#ib^7qM_2Dh=9qPAJ*Mx_N^~JHAsKJ3b z(99|SY_wO(7&2+BR}vU|9LHbkmo3syq4Jo8k2#&r*zF9dCuZxVuw8)aQaVQlQLP7&Y zN8r$Zyq~A`H}GBi;A$$vA(%Wh>BIgX-(EfBjq-Ka;fs0Pmc+U=@c*H2Mw1O+E&1CBWEWIyGvBrR*Ytn z(v#3w4i_D_xGVJPvRC_i9gu-7w@X^Uf(gygD};6@(cdjQ8^q!ys<;!j7@v*S8Z9)k z?8j}XLsZ&FoCfy_a&vMO3h^F1p~wjqOH(H?dY{mBFlt&3b|^P?B9>y^;lAh$w|DE> z^%3E)=mpOPuA23L3)@AT`>miChS!2t6|e56-{<+H+x{o}=u08_vL|w3!L_RCM#_e9 z!HW6Vd#pIuA-X0_*OX(VjI`1w)<(la+3-jY;ZRzL@#(@i@ud)p3 zy{wvL1)2;$UE_mYn6LI@;OjzBh!k;PH4_PIeeTqL!zn*MHSYW`U?S=+=^qhc5{UAR z>tqBh=+qAUX->#66L9wyae9F*+IF(-Xq;qR@N+GSK3W_!HIU_!B8B-3nJ84LFjLc<+UJlQhJ>qu(3#Aw)7ju<_m z?e6@kket~wTfn>4?&V-DhGbu2_Vvq@%@SSHr7^RMT?Ki>>M3c>g)P8QM9UH8aS0Yl z+H*{V*bGkB_{ba?jLP<3KCk6f_J43JH1YolhK$mCt3S=>)0$-XvAtdM4(k^5jbZim zndb8|l&j?SZ%yAFYj$PfvIbQjrggZ-hz%HDb336wjjA|X0577}e!w>ePS_}0?5&!( zTj#{*Q>psz^nVg$lh(-xHh@|VOC)IE0!936K{g*Ww|No1^9s!8Mxh?|&An_6uG+RG zc*9(E=K+`jF55o%gkheC2(q7UkRbgvGEK23YDg8dpxrjNAf@y=7)eo?QNP%rf5tdh zD$|C}Hhr&KY}l#YP@g~8(8myVV2a@kmEm`(`sgPvgms5!!)sri zt2f6+VP&0dqU&g^)|=i1oIj^VxgQ)NYQR9O3ZF1Eg6(!+)Da_$ZU7T5e-Uxt!sDqd zIw{4lXcR6fyZmiV91@E5~>PKulUDd{8jm>CfD9QMBv!y7SUHFr8V7&c{(i~3kQLK&F2uu?I+ zBx~ojZK#t6rW&-@leT*BRTxmR0HbfYQRR^Ds?$;*f6mDliLzgWn}VciF|I*E3;iky zjUM6|?fV3c7eUC{VlT_?Jr7ylC;V*f6==oKd%P$klwQrDcII8H^OsEjjhmXTlg8M zXhrFhJq+akza`&9`4c^I^cWk%(Q$V*ZQjL{PiHXJPj&2S=TjQL^H zBWtE)W>ugc@0O`IgTrp5jzBIp8-iBU`sMbWS4{hxUSIdOH2;mjrm$!LO%4xQ&4Ev+ zuxJsWfqzoc6i8($TEG5(i{VdnJWjDJI3Iq^e#YbhLL(T{LE1ZnrYr34y2U+wV26RB z{opCzI0Iw%lu`S;Vuo>`V?0`B*I6^?w3)JH+N}NkHal!>IAFC0+~bL`1Xh);s&AmX zegAl0XV~fwKN0RQwNJ0bv?uScN%NX0U(oSTN*Y_X02yDvqTjKrfHz}HAn^4RHVyV( zb~R|5*(~;&qu=IFeS8o9XbsP^$SLSU;odjhG2hW83*oea(~i^a8ksupzb5)`*Wv`O zsn}W)WjPo8%3kULnckEeiP2afR-$G+WbHZD4iX2`fBXGk=@!`G3ZJGmnMd3uz&rXjObwwi;o6O^P2Im>*N6Q)GAu9(P#v zl!mRx$%Pd#JnR@~)YwoQIw&rzcr5Pg8XtKsm98)@imVVGMoD}MZ$L{mmsEtnF3#D2 z+TI!25PZ1WoV!KBy}w9aa&-Bmm}juYp3BErv&|qADa}86F$&ygEPqROZ*J!uh1fL# ztl>+b2}}4BfI`g?%n95r*xfc4Ts&$-6v6N31QOq2TZD53QGrncoS{0x%dY!@tv^f@ z7;J{5*EL{1c)meEAFTn4Hhj@Zak(>}i`Bs)}7 zL&qH$6r23H{zD{R-XgrZ=|ia6xU`tie!Kqic^$$-j|@IG@z9im^Dt}cK4Dfpuxu#8 zQ}#H2kD1+l?)CGI&YI~iJANyLcXjvtNe84@r_OzV-Y(mgco$O8rZFFY_ip6u<8ZdN z*{Va5+DC+ZslWEHGvpj6EdVo82$R^Tg&ajAro|Rwx?IXg*FU&D^?A~cH-1T&OG|bJ z?sO~v0lXukmjO>tI`GnRY~Cdo=#sj@Xj(Zo@vALYPS%F&%L;~kaYjka}P z`a)W59VtaQ=my3P##jWPC(=U;#_~&G+F0vzC(@IHA|m%_W4-^WpD-C`cAr0`esKxy z|ECA9fuGb4$$*A%MqPk;t7k8tU3&J!|M=@tY$-J*-lg0Tyr%1^mM1WGotAPA=R)-D zdlJ7qwM?(j-!@WJW}7~MWzItUKiHu=Y)NOuo8nJ;nT$G5w3lkz^^_=i;gkp$mf zwp^vrnmQ}B`h-SM$-*McS70u}cd zU|i@7?p$|ot6g@IZ&4C!>KD!dFW>9l9IdDMLFgd4UDwR$*vHg<)yo;a<_i0jW;fPd zz!UFRUBVNKXAA-l`e`iqMwj!e-kaaDTI%$irP#lv{Wl5-XEhb40ySsVfhdVUjTT=! zdy3G{s+%aFUJKMD9$YyYsNk z=?1h!Lu$1 zwPDa;e$ig(8e^w`PBRx8xh#E$zVTw&WqoMr-*m!A>SELhWBi%{50)Lsc=+w3Z)X2I zGi~bGLH%Z-2FfRnv&8!N5tGwkeY!JS4}L|JL;H*zV>4o9gs$mgGZ>*|W~WSOTok-M zfGL`XoOfEm&4f0WiES;7Xh}aUv5F?=7&Y_gbrG|x(Z@`&Qq#vS$EILI(4EXXU!Pp8N}KP0Hv-0iZOUyp-TsG>^}=+NEH zpM4As;Se9=MDx{-LhiLC=f6K6+I8hqt4T8-JB)xg7yoT6ddP(pjpQ`bWYm&V=Mrhp zq!|}_pMfZhxBUzJg(Ai6guDcw2W)zCXrnl;K{j~>?wJVn*T0Ka)xB>4ummC1buiZi+Q^AXk!JXNKtQ`jX+wTS2; ztO2-|+LMK}pz`N~qGGY!QB|rb)h#ysf-owTnqtTg_tP(ThL1znef##k=4t zcEgz*>uS;4olieojL|1nVw2FX-MVYzbxm1?16=a?s`dHE?IMFkz-sOJ3MzBediW~v z2~7>Izxac9bw$_a@6X+b=Vkbs!5Ga9`$20T_%VGn>~Wpf3^vm1>Q#t5=mtTFqCujm zL5YLL!V_Dn2iZW+LYRo&Hz){LG+J+}$y7ZHJ!oON0X$J<_;2z`6>In8hc7Cm6p)|? zgMwS1_khwZMzpuXZ`1G9?EhX_18IN31O}MaPnt&Q1_i zv`e3N8oj6MvuPNai%Mo zt3D})7I8tB^aYknc;0j{BVylU}yG;M*lq7<)IDUBW7V#w9WTX&e6KYr%F@h zcgf@9htO_RG2r)p`Ko-_SIejj;n?9AIF?8_?HBpwA1vUwglw zhjm`t@3F)Gtplq4b8+DIYZ1tf%~=| z(FV0U%n<-!q&pZq7!5lOPM9cMGH$SST<}dY=Aq-ETy2z zWdA*9e>`E&PEVN>I47-J3wWQkUWVLdxJIUcLu7PI!+78SoVi`M4A*qmr}s_;kGZud zvGxZ1;_9aU0$+-CS7^XpreziJ-2tR{8kX%npa@az-_-nH0h3RZa@ulNb}!wUtT_g+6Q zJ9Avul+`M%SY%7FDhNGuh&l*Zq2_~^VjUwqdsONnDn3*&#g>?>iriXmKpk4hWRpF$ z;QSZX`$02_S%&gl<70f8DZhWpc!93za^)+8A{c+kW*i(Gtp%&fiWO3fW77F(3vvw1 zSoyw!3x2E{uyE>1r8(Nwbr?#?&L z5W5>K-`3q0xI<{bljikHT?3vR8F%c#(5sgpyuJ_ri7m6izmL5s>4CiszWMnctizjP z)=ztPY}50b7_t9I`-|Z3L_#C-vi8*LrhS!u{|N{8f{5NlmNt?LidX#7a?bp&maEyL z@Ekf&*9RlXe#?05_O`(CB`o&a`nD+T+;`g~XY#NvP&OvAHR~>G>R}2VJj-+#GxA@t3sUmAl(k%7=X9_y7pEFoYxbzX((#x=!1T|PS4s$ z2k4e6(I?pQ4B9U(kOh}1*QBBK(G0?sEFa-YN~88m+fD6O>lz;;@Z&QAme1l17_A3($2Ne)M25P zeF21~UE0rT^{q^EHLl@X2Qou}W{%f`{DVeJsX<{}mn5D#yJXU$iI6IGv}uXArQ1wC z1I?tr(;~c_i-A_CT)h6oova!Gbr`X4|&dx1uM*k|T>^xw+w%2nXy-=zg&C1X#Y z>EP~%({`j?t6ZYm{mA5(kkBb(ruMi9S!-WM$S5^C(ni8}GO4&HMcMznWM#a^qYqn; zk)r(_P^Jho1pN*SLZE{MOD(vxp(jcOC*eVc=4hENXdFX=}O z-v4@UMmB7u)AV3ru}th3hIEZzXLcG~Cb<=iM|A>&j6(};(+pTaI=t78bc9XD^|0$g z%s-?V;NAHdHU-@{=7(H2=@c0r@`?0`@t)ul=N$#F1g`)kD1CinfG7Aw!=f7L!+6E| z`1p9~8XtM?q_|5$sFP-m1~r^#Zzad-Uq@@azyJ3&80W4T=h>_I&AM!J{Au_B_jiLX z&xwRqSlaPNXu)F_{Op9)&{K(KeD zz)6((zyf7!buT40Os34w7{*VGYExP<7_ugP5*#*;?=~9q-BlYjXdRd>ijYc&s0k3mUS2r z{N-z}84OwW3$$F_K$d9HQ6^O;$k6&aiO6meohVBSijpd2_TXdkPBbOA#vR>a1CN5` zL?o7QM0iKIyEp(l3Q>xZrXBln{xa@43T3*+N1pRvF(}_4)qf#>C#(XMey9yiUr*GA zL8{*Y6ip7ZirQE)NTU!3eDipe+3l0puVSnnJr1Mnf+Z?QX*aJ78h}}@VWD*W@s68H zVn}g*0px=JcM8Qf?g$UAJ;oMfjTE+=u|laR$aV`t`?e?{;U2k_*eP2<@e;Dz7?}!^ zl#Y?$RP81sErF5!eTZjDQyW;@oJ9$DSGRj)77{Exvatf@MUy#kFLLfwi?DY(qV zD-1?3=|weZ-NGF7oHdGzl#XB%SRZ6wEAsrQZiF<`Tu0L5E+-v8J zrGY=gry+dRJV;#Ap5locXZKnZ zMT_V~;9ao)qVNw(*A3>^W+$> zT$|1g)>5?gn2s@+Ta1nI!n}CT&gh3$((N&wm`ky@W7@~g9J^z~ru5m6wPts|)g3zT z>|G~eYuh{za@PpMxGwMA=k|Y&(S|}yzX1mU{7ZkkZen8`&}bZ93Em|q*@apKEJ`74 zjwKsjQ7j834F4k5o4p{Fthln_r`C`HgP_t;!Iu;$y`QM#kPg<+YZz0*;7fziqI83y zLz07}#*~j04{t|F(FT*mLx&)Xydh|bJYy+s#Y-|x0{77kEG+3x5=%!5vL;B|o z4D&2r!CgN5pzXN0oO{Iol4KN`SOs<;fuseED#!}B1rW?w}im@$+gvhr6j_DhPwrAmKTWWiv zmnuo%M9JGS7y=lyR9~`HIOXLe$0*D2$m}4+^Obv>ddYpIQkF`i(xTGvjRnn3m_k^= zD%0>>niRCoxKkNKcB08RpHT@euE{{Y($tjO^8{-@Fu&;Sy_emLYGz?qj&)Ec z3tnTSJ5jb5_MOOPj+jw7QTW>9LG$X2D~S86z{g|v3K|RE9cwH=Jrp(&{O!Fw%=;aC z3%#JRprNp?py5$NA#H-~V@+riIrKZ|EWk~x1VKj_cSQCkFv@6oX3HMUOyv?s7t3Hg zD(RD0Fz^qjA1wt(hND>nD)e)M&$1EVr2%c zfTjt?N=KS-GMZBOC^lygyEKlxOTE9y2IH8yCwimWClkCT-`Cz>WP3EI9=ZQIF#q2C zr;8WQ-*p38^qs{`c>X#G2m#1joVe**d7FFt#qGP0Wo9G+xAp7`eEVtTV^~<+L+UTTd>u-M)~v5szQ{b> zfRt3}3Qs=TvPuoUuHtKe`Krwbv<+sXkVvBFst^a@N)Neh3~pUO6^`qaJRz3%Lu0xP1K* z-uWB*kJ015Dnk@w882rdZG~Uzo zrhC~mo6S>zo%vCL6b4W94T94!P=H9`4gfw-pztVuIzL^b@-rm4Q1c1a@X1aw8WrD= zU^Q7#h1uWj(qe=kUPqg09QGJI^M?bS;TbFcMvh%uw+^fKz0MR5hBWwf!0DvR z$+uU&ANuwr^tQLh-oo=N*#+TaSHAbb>h>!i-|l~Vc2L$U&dW0;SYYU*LDhL_hvyFB0=nGwg%)LGxu+=3$|&NIY|PRtPT z14YVt-!AEhArkf*22B0AfI*0}|&Fhz^@d+PzXXFf= z_Zx4FvJZU3D+O-2g;BMAzagJC-yV=R0Av5xfkX9&>c_j)yN!3lN?SL7;L~o0>cMB- z4k0|=tsm~3J9OGDt`?tI^1&>}^Ek*SadBE4MJS+?yK!;P$y@lXZ)0d0 z10WSvv>$8b1*a4_u8 zmq~{j_@}JeuqvHr$ZO3r$Ajz04!P!;nP~qwGyvlc&xX* zvI^^0qob9;&k4;sT0hRpL_Z~pt}^*03$rxyM*{OX`k9bT(xO&lm(BU@CGZ|dZJ&zN zz6!hveG~B}cD_2!8YCO(?<=AmeV3yxoORtaVIHwlxz&6q^RsJo*Il6{Ou~}D)*K5W!d_+ zOtm4;#@J9uKG4tkh=`Kf*nrbDQ4C@DF$6`*&y?)Nf=*Ez;(aJ;Mb2@Q2=`!Q615Sw z(ehd>pAtzV!m*p8HrI5}bf_{yy1xe80#}F{y$N=VHV@EeG|dCv*Q8%p-knX})zNLQ4q0Ov&<5ERInD=mK<>V zOgZsY9xhHLMb71*Bq2u2Q$<2arW{8ZOPPgC4zm!{RD7_H2}g=CK8MNyCY01vDDs#S z4{Z7%N4sMJp%K+;a~E4H03|Sn+^vnN?XQUU9@Z|xVIypYr|=) zmI~XPFj}i8UFveVFJysreHoNn>w;B~{6t6gL3&F#v7+zl4;{+er)1Mx`BIgXkGT0X z!G?4S$`dpzVDga~(uPP!N@bHA;RzOlI=;w2{|9`&FdEbH9(O0_+=}_&&IKEDFw42r z@E&^ei{@yD-0FQfS=+X7S3y_%I?(-n{QLNi@$X+C2l4Uo&k64S9{5N+!O!F4;tS&A zU&OlaeogFLUY&Vz7VJsm)c;pN4Njo9R|}v<^6bU3P&@yIbr2RGmoZ z{|y@ICf9}4gTX;_>4TD0y=C&|x=MBBsY(^F3gxHL;tZ{Y zMO~?GqJUainL#pMKdDBc9#d+ko`J%fl!h^con4~<@3z)N0VlFn>ao;xO4P&NLJFPR zif4wpl@R`K=iG5CB9L2kgp6y7eYhn0E@WM<6g>e*iF@RmU;tlAOM#WzJD_W=JC(?% z205y1${n%%z_vmtIHiYJe=;<&e&erIoo^Vv4fUA2BqtB^9kDTLoOgGAo*(M`QqGcX zxjwPm!SB8fG#+jI;nSzaAI^Qk=<&n#65z(hqnK?24Kq@ou9uwo)Yy3alNGHelG0L< z)B^b=XY&C%Vg!)VR$5GTlv>bwgo<7G-MJl?NmfodX9?>gy@d-~E0>ENw9;XIB37tz zS4y^UizUbPih8aIaFSn?_J=4ne#Q7Mm^oRI7XWG0p-v8NCY%&Bp1D)hZwHbFCPgvB89v7UP|b2kx~i1* zu(n*&1hMA)3mUXt2F(ESGKsti810voU}In*d4zI~_K0@2bB=cQ0M*&q6clUcIN&&9 z1#?EHF)bWEaG^3z15`0dYQVVPLFF=hT$NDPRq& zh7JcB`iUmx6pMfD`bzMFjjQ=K83!{cln3QQ*P_7cmJEmIfS`cz13UUY6~`1~s|PdF zQB((B2-)RTF7ra)NR*jI;E9ofcEDV($w3K;ooph}w5b#UFv{>ZK=Y?)9>FQ-E94tB z3fm4$Jt|@?^V8bQPVtHVor>iHYZj4{Wr>Bw+A2d&z^j#wCD$5@vu>e<{LuIg7@Q2Fmwj=RCJJH@xDrpKK%MYJpy>$*QJ&--5|i!Q+B-Q~m7v$SCzd3@Q%IgL z6gV~rE)yR)wbLwZ>|X($Idh`^%$fdY&Yi*f z5Z`kr!0&%NgK&S);M;KK9BBCb$kVqqin8Y6cxUixYb~}C7{MN)&qE7~vXNNJJ+#cMQ&%)~l_Bc86$Y8jmm9FOvx>z? zJ)d}@XD%XZXIsb&RzeZst%F%0W$_A(N_a?U`Xed6Z%m)l=v3XotH_B!TC8 z`v!x;fcE&?9dlt9|2ojocicGVWNU@XJLKk#%T?fMW6^%Bhj#LTeS4b6JD01@(>&hr z#T17x&}%+^x`v#=7Ov_J!Q5E5D@F+~9`-yN1>GAQJ(@pkl}vBs!dYHUafTIz+V zchM%lGoEC8jvqgKjN+x|T^tO2*KirAEiHkU^shiUZB@=m#4lON5>8>u{!73^0W2zm z)rPbPiBdvBBV);Dfa!$Acn?b%d7LGf5I%=h@VZlJDYku259*yZapon|yvO?08(>vE z-9?Gn=691uQT1k;|L!DJ7AlK-pmrWK~OR|D1vVCmI& zX^Rm?f7o+KU;XYp1!aZy(YwLB(gb56aV3>2q0K1n zXLMS%d+Z)PL@A|E|DXR!=nMEml@&|GX5wZXGhs74+Khr7i^~;DpqYRM3KzJUM9k$F zPZqs?9dYTGb>PF%7IuAMTQgW*gqea(nRw@QQw#34Uu`IgwD=;C|JPt>Xz0yr*F!_E zgR5MhQBN=^7)fvg;p_FFT@SrJqg+{z;}6S~fl9PsEdD3Byb5C}Xas9F1Ssc$4r~7% z{)+wATrY0F1?%31DZuSisvFoV?b?won7zFuTIYDH72iBe=q$I~V%WE^BnWO~*3v%(X;#cy^uiIb4aBBkGe6VF3xpr6&kPpMYTFM*<{#<}Ny_uXtW0=^7|%NbEcB1?Z)Y&W zbJK0x2HX2?5$)B!7>r*BUqDN}e9H~q+ih;mg{0sXe0k`wx}W9X^g05WWI<0Qos~u| zn?mHbzftBRL{p`P*{zq&)^wc#Df7FPzmnd*NR77e!*oqoNR_cWOs3oSE%8htzx*2T zal*$5cksCZxpidRoe5ZJ@cbk29ndlRp}lwUd01R8Qzuh%Ygbcqyobn%_@jx8$&9M2 zvn#N+Kp$T5f z45%J6{6yjX+hV>r^cKdQQl7dMdR6HHLs$eOZu>v;@2@Hyfhc*}Vztngkd&hE_Xk+u z(n1O}#yQftnZp<-OC@AHrG*15JCTvd5w>9I0ewnpA?L~*mG(-FwKA^%72e5b1JNr& zYv;fVZH5N2pWd2`Xw;IAa!&22xd~R_6JG~5Y{)7}&)Sf+4w7$HlPx$a>oDe(vNmjh zZ6zyf%et)VSy@>ys|z&Re~MBEssn-j`~;BtK_eI&1>od0LdQ&R^^O9zqP&_|f!F4& z%mGi@xd>8BTE#+e?evP3si_qkcID*wT6!jMhkDI59tnQsB}d;I;_U@t$~$TB6wGFf z8e||WllV^k@wbvm(+1ezE>>eiAm;&>Eh`<3hFzwuu){1^w$l*o$+YMiz|RIoAm5 z$Q$O)Mmw&!@dv<^ijSF_5ZnJF$W)xXZ2Og6i|q?ORe!a9QczoPzsqj&fnKrO z64)-bpcazIZaaj#?7jz@UBQIiKSnl-oNxV2r@*q3Kt_vhQ#}Y*h^HF-+*lV2<8SmkB@+{jkZl{ zi`L%GsyFZeo`8X0eVo-$oMRB(!`j4J-?oF*De1}l>(LjKwK13lsv~=YSF`2$Sm76W zL5Yz*=DS<>V=B~DuMp)|f#RIv{VR)#idGhb!)#lLd=wWK?}uJpRGb6bT=B|#D_<2C z=X?tfdpLcN4~j#>Cs;+oO%&n|qEZW3t%pBlm1~vcm%Ye}$%d6F+Xpx|cl&EtTyqoi z;ISaJ&gJE9`~G!q$zs>-q1POKu{U-3y=TSloSf9WJcT+pU?FTxIkHMf2UP)a7^$qHQFqmO z;++!;o@94P{c{J=_7*a!_6Lwuu~-p?6p_e&kUhx-SQN&1T5J#g8f-5F#_>tylprB3 z&zZmp50g3Xyz~?^Cw-hS(qA6n6#z~hDcgw_k=}dYs(!1_wl(@Af&bso_Wlq0=a%R8 z%}s+0dcvuk;0NF{pb%W{RPL1a;KZ{N({1GfIWK`Hw}q9$Ccy?0l?@3e@TOZqlC@Ie zDpDQ^I%KHJmj?x|==(kpWi#n|>Dh-i*G+(NnxRP%KGAVdohOWGzx~9bop#;We)`dlQc}+Se0ejCV6&+S_w9u!vwix|yf1C+*GkCNE)c>gHk9bFWwq?qScx z-u+bXSC;LeEjURWod!Xq?DBFU?!26O2iUKf}&;;32-MfJOqjun3gn?h(LF7 z%Xa%N-g->#MD)O2d*Xp-?Q`1;er;`!#JbzLAB;cPrT!^&ny&*P;UPCeLPNr@`h%-R zjMCzeaO_b58nFN6(4YMyLT*Q#tU9UiVelzOdoNc2$DTZ?ghd@Rf(gy`Wb8@u7Hw{X z-Z(WNbU-P1^pfz>=CCi%ymvn%cm+8 zpEhg@l}9))ABT3W_hukkYKLKKI)Wnph9r?0T-xwy~Vk_}vvZ|iA9a`nX7b%ec6J@la{r)N*`s&vK z@x?VO2y6gv&02E;6_agd+P)1v4X;d>7{g z2a9CKWJ~lr#~??L9fir0fs={filvFf(^211?&!4k!J6$Q3;O6yNyA%odb1FAOw+Zf zff7BJyO?d|P0gFS&}Oqe`t8?&@RIP7(Dk8>GccZn-weG@yu89o;GC@k*?~oA;YJdr1k|3WY>w|}w4orq_sqpkm zRty4a^f@3ut?uL6BfJ#wfsJbTiIZbq*%JvAn*{rTZuk%&?oFNqt1FIeYi_>HP9J*;QMY9Ipm zri2phZ-})8Y7?Q*v`|c>6iRe-SZCh{lkO%y=m%;)i(!bZTQ}g+Nn3-_Kl@vZ8XKS6 zjmF)t1Cg>wnM~$jO?HVoEGv?sm4gB)7&LGP*WCns7$l+rM1uqs!4-P|bwD&MF5r?NBH|K*K)@{uhz4{}qsBl) zMS}(viAH1G{r{>5^IqQf@-p8!CVc1o-)-hj^{twsyOvw`-nvy)A~l_5+Sew3@UDIN zXvckfBZY~nDXdqvJ4_)tJ4k!)I-2ipYSM+gg~IrDsFPj1(P)Hk`|b?K=#*b*gc^2p zbOUFjPKDdrMA$`g>#|d~gk+t`nz|W|2}x7Yho*{CNq;?0_K|7aY@RlCv$_67NXir? zA&B$_h9)5vBpu&xzue<|Bi-WMC%OBBTlSw1>^^fo;h9(_1lzB_yTCmbrep3%FAuLx z)82TQOoq<5us5DBJ;8&<44!<27iQij^^WKrpW#T>)uA6=L;-yS)bcW*q0I+2cFf;AWO z+fRV+1Z?q}Q#<8jbMSlcr{944Tj*Z{DnK)gJ&X!HPQ`LrP)N8Em1-_OozPvVRLRq6&B@#Up*br z3R~r#)=KPtae`VFxg{1>hl zJ|}s02K2SHwe4#=$d-76+I8T*2=}!e2yAOhXwtrRw(}Ro#riwZv5XUZLSj$E!3!rY z9&h6xJAV;IU#AnXRZd;vyg@;oO>VWE+okWQ#o*!*k_d13t%O@yfWwU*=nI|RDq=<) z9gycG$;x)gc)r6z#|d>}ZGB(|tYi6EC_PVW7b|d5>)kt?epI^Lv;M7I=-)!W3y_K- z;27-~ZRZ!$7Kz?!)7=sFs_^cPZDilfNCn=myIuE4!$?P)?oY-fs+Riw`hFlRU9}$s zLaLA_Ce~t>!jGJHx8Q8GU*HOPVlCv1&Vh2QjVphW|D+u4zoM)HBWy)M`4fyPPYQv{ zpMd6cys*5WGPMdT0V}C08oVI0lHe+`9<-7o7;%|ZG$=~)7SZuxaf2dPG>?SNxLYy^ zlwID@s71$?_rSYH-u!~<&oBL`(0ak4fH%9gdG0=p-m}fMWG;Bz6huA#7 zmz1GZQ5n%!Q1kRwNZ-3@5jbSOOuCHN9L&x)HSAuHPa~I3fx2Aw%B*Wd$$mqyvav1~ za!_tvCW{;E8tdznjV6J@K%tk>r~n^m_c{R>%Z-IXNGV2MCZo{zeS*3Kj5Zb;>5!Kg zQ#v+Z8Ndk5pJ4_tj|Wa@mOKt=m5UiyaIjLNhr&8lwJOU{RcmZ>E@iC^Mv?;EmXpBY z3RrY@u5CeK+U(tV|I7NkF$FDHUsZ{+?I>AN$|&aFuz;cR;4lm~A?Lx)&SGb=EAgk% z5poC?I|GAeN+^_A#~It*3O!%+e=+4zwrd{)#BCO%LQTiIK=KzqDtQFG^`@BXVQ|I3 zl$9;;zy5ZJ3tAYo&}X4fco6tZkc`C(fk7iU$Op8Dg%LrKr~JnAoI zZ#*QqA0?evI=B!icx5J&zyqC~^}uV4opsT-7M2fooJTpQEc}x~;WHfx!sRWp7OYna z2OnyoTWIjE8WyVwCA=2#bW2URy#An?d3vYq-lCr%@7-V2iZ)ZRud|bGh0ES_KnLvoP z#mBJ~z-B~IAT;z7*jx}}2G2aezL=wa1#+A<(t@&yyj_X%h$3}aW8Vh+IO_JK!t=LV zBg5_I2TYDMjEMXNt@xI%#{~F~^a!4eQP$RN4rXGz{L(EU=%ntH(Gs2$PeLx_Eukd% ze-i9F1PmIB36+u({e*)gzmw856hi$6T%b#+va$Pk1@`Ef{K7Hl?K$M!l`?QLctS}F z|&(hU1z$`!% zf>AugRfAnUF?yQ?SYp;FIK(+5HqbQ${Q&heg5NVETVRreah)-&Hi1Q);sZ0u1ZP-O z@fblRr7Tj6@gF1DQ1#GoIym7npHO1?oC!_sTe zfmYBz&mDVej&vD`bc0;6aOk+iRr)sHzx#W7$n-1tgphM!r-n=g4#gc#uk6565koF{ zRBbD*l1Ek7LPBn>1wPv37O*PgAd0R{CmJmkFz$H(Lg@mC!?WddkXs~GtEVCg&Js{C>PZweDm97ck#iDY{i@-UtydvWT>TU{t?8-}=7G00d;xzBP>x;Q+pq`p&VlGQaw%G)Av0=L zzsJZ)n9v9&v=~Kiy_Dv-`z<*YXX?rZXVRrCgfj zLM~W=3$sd4I^`uKtf9DM70jfWxwsg8mTrY|O;4`C;=VGiT19j~*xG;w`xI zCx#&$HgP6wI$^LQ&F98SUAmm|dA;l9F5e1R921sTKn72+^|=PAqJ0C9?m(Kle2r+Y1CT7k~;WElnmJl-%2Lu0I_a_HG7FlfGxS$3wdii zGl01WgGxFC?pQhls|qeYc{0&hF=ba+No8VRZxxUDclNwpbhRP8BhuS|+f>BDqDZgp1`A6% zp|#bjRXBc#qa)#1=}1t*#Mnx{zy~-IRu7P{rea+}fkegxtD-BnQmuJdSKHhihWe8) zLfO>va~NPtlDAnz%i&3@ljJVoR+d=6Qtmu`+cX6JwVb;KNT4! zG!Cxk6VN9Jd9#>&y$$o_xUr{+{e{cJ;cwutuM}KIYNNq+kVgoO zV5uE@*?jG#$77nYalj4OUHqk&V0WQb%h3AhQ#2%&hjx>sCnb8e2$Onp8Yr|A*qb2F z3`4S>R|E=1h=F9UEF-Caw}~f`h^Srne`s;6w5ut*4=u*F` z{GIZ9cX5$Cc?A6-Fl>wg&ykvG$mQ81u_2G|0FLL-A2D1Wm;9W^=S3M(j`qC8wGFf9 z=fnmlgutE>8b1~Gif>K5KEB00#uE^h&LZ>hc`hN|Bp|z3*=2xavqE4t3gew^uF*s8Q6y)Go zAk7a>8gaB6xcJ8wkf*XfDFk<3H75_FMb>!#6?t_T>s$sVT~4{I+?m;2xE}mYxqmh6 zT}l&#jmkK9g1mxNNqMV|Z#bMuNhA`omjqXW^6kK6fO#9%#37c!KPSxWpMha-G#Eh= z7(BIL=!a`&f3)xpwBi?W`LK8G8@Lo}kMGVyE%m(f-|*U@QvkhD{v4z`oJ)YRNM zaPzfQ^;N)1VqvLEWpN#7b!(Mtl>!rvz=|g{a+cwxh0cUW;fz2ao&eaHM`++&;0C0& zx+~XaqzTrhW5utb68wN?(SyN`N6IwhUoQYB%rLXy@rRyU5K@}?=++}w>M-={}1|*dX z0vb97Aez0Q`&?OfebAaddq=Lp=wUf>GqeEDPoF_X-rEN)Vq|LKmXT{d?jM7?>Ku3i z+sTv2*WphhA$`P`K`ttYC*jwiVvnLoLbJighoTuTju*wQlwl|(8L@pBSVQ2^01T%P zS_xlWyg0^?@XBd651d+)_hXQKV6VVv(Rw}(wRl_5Oi^L`ceJf$W;N?Q9o5Z0K`&I? zjS7V~5?6OLe8GL4&{B2AScB_%hw{9Yqmlwf2l9A4BYXhJoZY8D!iF^zP;qJOEaboc zIZU{#DD2+a{b{$?(4P*5pf>6XYw)b=TE^eSKX^U8wbz^4Qxo?6c}{;#3Rq4y=bC4l z=bEDjn>PaI3Rydgxdt~Prcu~vE?gjX)eSTb>=J-7gYz2NyO^9_Zwwk{Oaei}HcUF8 zL$fdoqrTyCEOL{`NW-ddKg>XF?dBhVY;}lMadR2v%`j%tnOLt)R za>P+A6w=D;5O;Iy;e(wN0u*VT`H%jRzmgifCt5;Q$%MG)F*P^bonPDgkJi>DJ> zP(V;R&OfPyk&_Z0Mt_QfTO z@r^d4Zn&{G&>+gfwbtj#k?%*nBE%khux^!o45nCaj@x zG7BvOrcwI<7UTbbLhx_!Z-yAe6TsvC6SUoLy>-5&(yQqvyq7P0Pw=F&Y#UpQGd3pr6Di#Jovdk$4>R z4$xHj+q-}HMs;fm#a|bPNItXaQUu9L{uTc#%%Z$^;A?o^^(liqp7$C5tD?{R2g~2n zGP`%4?8*yx-MzW;Is|fI2)0lI{+@W-U3q=w4Z<%74WIATwd%X@Q8`UU@!BHdgZvQR7yF4qletAQ0 z=tT3k2JUwK~31?b>IanT++Fz%=% zLW7J;Xc{|_GyL8iAE(O2p8)OHow4TQj>^s(_M_>5g*?nub(C(7kFVL$x z2jFw3R;RbsMx&IYSnII7tqox{=mf)Rtd_UQTf5@u5QDA;T)Hb-l0F42;isV=7;p_x zF5IpXeZ=r3q)&kT**^#l$OupR7JGy=r$9IMZ7q5U4^|&qhFUtQFPGJ>Y##sAIs1_K z*NdeWLok|(Q!5T&<;j5qkP=)2OD{s_d6b>`D7&##oXaOhtV%v(zz~kfuOwJS!q8G# zOe4f6`&r-?h+sZz^}-Jk#@sw=omgWS0{mAsjpOI0>Yu##IYyH3y{P}LTdvk)Pmw!2 zPeOCtx!2~SeYLrRW|N9(+;6+PJLKCH z4g}Zoup7yya4W=oWWGDFLy3oU5Xnc6yh8xzqL*x&z;NMKv}=F2O!TR*A+Axn!-a;h zi06h)cI&m$->`FtUL4#Jk(VI*$j;;KV6HD;Q z@05?Gn0~!U^H2VM3~#%N<8KbXIer8_JV%ZkXMOGnescu8>-d{tup7e*Z?DQmwg0qD zTES^=%mCG=RYMA>Q=!+Vfd=_elBQ0pK3kVoN8Vu5Y3Hwvtc4#1wZT(($i$u)8)C)x zFwT4=M7e)Mf8aK0#0YnHrxc$eTT0h1Wls`3R*Hs<0Y^WbfS1{%OdVkg5L=oIRTE8)?&W5oaotp_qU+(r|{dwBW! zlY-b^B=tDGPF`UEW^_TeOGuQNg)zi9sW)U=sxt3DUM9a7t3+AMR~}M=k7aI0KgcWQgNB2rLBZ~Y zr_=rRT3C$L!RX|#e<2rM6W!CtLazz#qktALHn8iN#-?pwEmyaaN%v#~2QA6MgE#vWS+4a4s>z~GDFu^6F$07F$$3|3#(WqJLrbMos#^9}}NDkA)t# zQk00)N@@#iOMLDK4IFGRq2aO$2)B(<+IeC8gMUl-FE;SMb@Pe_U$CnRhn8rU5dN*5 zo14Z5-r@v5bI~@>wH8}oqd7hL3T8)UTQcxXz3OrT-0YKq_oiGimzeFI9@I!*y}G4o z`5jnif)i$Ax2lFll#p`jSn#feFC*ob=XS4itfvUu(OfXFA%sj=%(5eP$q8tDtRRIV zBYaziBm@#kOb{`}ky%LW{_#zZi^u4mbngJX%i{SDz>OC#Y3xl=p!7j2a(_7A-+l4K zMHuxv2g>1F_oV6e%X0Ak^0IPhv*oPM9VoBSo3E9Zm#>ExURgmzk*p}9Xhu;KtS?ao zz_;y-d@wT-Q4~QimK{bF+80R3TLeDs9X8Zx~wn?LF~FX%jMvb&iaijp+=qh`)iUR6|1LTmgX)_6kkC>bAP z624J-Jc0=wn2#7Pjmh6In&Qh0B`og>x7NDRheF?7>QG>z$cWc#OJOm zlVGph%G|0{Y$}*)%oU4Q8f`Gj#8YQ-GxdP8O+^?HjW*zYK?hBlor#eFv%)uu_x*5h z#}7ZYk{P1>3&45BsF@vSN-E(`p>!#Je*S4*dvSm?SQ^N4mXvs-zFe}CVUx`amO`=^ zasVy(2rpYu!p&|pHUH<5VgC8%w=gMqO7h$7p9H%0-r=w&NhdAsH1ya}dKlw+pU@cr z>C3xo8fKx>^hR^XZrThmo@112~E`nvYU=4+FNg6zLJlaFB=G3j?+eWO+=0>#skA*lGUn8iNpZd@lWJ&Pqmz?}wLJxZQcOwlhcDKom5^0*jZ#up zrWD8uK*xM%uy`scqHp+iv#?j#t)(PuDLp z1i!(L!n-3*X2`3APNOnZp@i)DbzX)Fg)H5Mg4G#}h-Q$ELJ0ba1|;~R$%pBnyp6yw zeoKV--++x@dwbQ%&u#Ydk0E1=;!WV%M~l~;f_2n>E#7&*-8RRKdHa~7`M>?@Zw|n> zPIIA^uE`~~;N;xgZ0Ou#7H5K%`AzPc+*q#IHP9>2TO0=7A`x2wyDZ>h?C=UCI81CM z4#S#fDS3QHf%~*&Wg~Kj6vJ8~+S3nqJ(J!Nb*16-_ia@B0@N17hC8QR-89uJ;swE zGtk?uoEDc;lvC6ZJik-r_Qh65!<=r||Jxt`4a5~or-!Uqx?*XgnxG2d^Dy!bs9YcCedzwHNUA2J;Vqn^rb$Z<>0w@hW-b z8QDSCZ|KvXMWCJ+|LU;;=W=~(4czkCj4g=OS@>%g;j;}Pkm-aB_!VC&LCY6#fjN{l zu!K*BS1xL&uY@Bcr)rQWFrj}2+=A3WL_Geqg?_`Oy_5qD`c?U044rusxMiVqDE^~E zSIB2m`f&=Ku)C!87EnnHc#fxjfig&h?k$ z3FI`5)-0EMBj`=Azc(%Sr)%Uq5=$wjhBo*-a(osY2A$`*<9&=U(O<>a^Jr>XCE+p*%EpK+A*GQk!U95}QZPy|H4tTaxWR|~Q=}lkp?DI|Sc-;* zIbAkJ8&oBmwW}l|&sG?LH;ni$88(B+9|&)?&68ml--wq!rV-C0@6OOBufHNqmTQ(f zKDaO+ot*`)b)+QA9nZ&7vRpwEAIUmW(qpjH!=l?TTTwSivo>NBk*jpTVCeq3!+YAlk-!#0 zW1^gtD=AX}4Tq7!PEq9g=y z38K6O{;)3dBq?F2Zm1MagecI&YEn>oxb2`2dIy!H`*SenZA?k6_rmKA~1|1O7axzb1tm%N1cjQLAgvkN2rtfbZ9^ z(Iaf64DImQy(N}-bGs(srp^9+=$xq^#kSK(JC;v%CHoWufML5uk1#MGw+1i)bM**~ zPA9C3!V)XovEmeJBOMezZAemr3@~G{HppIIZr|6;mOlVGGpg)Vv zj#19mTQ$H%V3-DY%4-;z~!ky7+% z51DHi;b4zPW3J=2-7MhC9^o#{F5w=LocTg_y^&UokdiSe*>Co_nG8Cj=APbA0q{)`fRZUsn*50^6)Qr44>R>&Q&8Udpzza+SctWTxYY6Jo3%NIjhzrN|x9q!n*s>J=l#x#^$fUx}Q1u$a7cX-Z{JGd!8OT zf$xo;Ai-wL_K*_zbQHQue<}@FPUbpv?7gY6QIu3iyw#j>Et@;E-ee1SM(03X>e^hD zl3d_JD^phjudUAoqfpkRBCG^WnVO!uQjjU!Bv~oRbV3TDFcYoHTqxLtf=~)Ffmdb< z4=Bw!=Acv5oCEtxPD{?0)}px5%2T_;-yyoa1mUvN2at1fZF#MC*O#BZM2$)EC_XQ* zlA;(@9*zE{B8@$dBIc|-Nf@1XUdk{GR6-i_QW)wQF3GM{fvaX;#=8u;{J7`QEC=4DXXp&cv_p!f<0wcXec;QgkT{!)T|}5gb|BpEuY2OR&H!~ z;gYrMqP``@(DOGNd@#biX!;Vq6Dx0{MzcLOH(PYM`1<@!7n&P}bt_y5W+-bB3YE*v zM>vbMfRUM9kpxIn*aVYL5{or7+yc1lMPjrByEGd!Z->a7Ie7jVhEd?HUd!xIGm(4j zz(xBz%+QrPdxg(ire${TJXt&7So?l_FoSjbIE!z;hJ~T69pPi`#}V_U{rK%>`HbKh zRn3)myeNvUJXr%hqxnWH#_+0UIp#~fDr;`}_%zea$$;ruqeC<>qSxZq?`#_;MTlG;|{9clk#oHwI z5*}3}NwKFS2%=|E{qY}ghbNGLM#AhRu?Jl{=x4WBbkN<(?vWHNWOR7~=Gm4nHbPGB zRwj_Uq?<-R@yMKavD5lVZgy%mj_b+H1;5H|%xz>bv=l-E&IK(O%e5ORm%u=yK!I2< z01Z>j72|Ehg87hwapo25xdB|nWCms=&HV$mz%F{Vu6{30Jy^q?ig|_XIe5FhMOV*Ux%Zv-Mey#*A2iU9CQy@Kf92t%14|SGpj~&<~ImgqdI8qKz-^vR0thC}_ zT<4%7Fn|M5)*Q)ND=QIpKay~)I1(!fhl6zZ08>^ZHK7p<3NFNG2|-GAvg~so-IOn2 zNjewQ2Oeeh>SXl%l|JCDm7fPqNcp6fI%tBH*}d~**oqI1D^O1$NnKK3Qe&h8g=i)k z{p1p2Uc=YgNF`v5%y|5CGjJ6s2EaTc73>?JK^wN<(>?w9WO@m3W<|Fr=LVhWU3U|{ zIwv0?&jYK+yunC6Xbo!q+^HXSR-GQ$rQ+)pOiCF~_f_zx)*4^NOn8M5I5I)zumOVP!RR!}}?#LNO4$C*cM z;N20kA9{%0oDq9q>7IEk3|`YV`(uvUnP7doR+;?;iExgghggi04P7A@T8g35;RIQX z?iz}#SZwL)O6WR0h7E`sW^Fmc1&!3zillb69)z(&Y~2;($uKL|8J;3nG3cnzxH~>; zZ;cPnsKI*C8bsPcTNp8g#%4dUL@x9RNBzz7e z20nY5wcN{FpH7wV!-yXF_CkGi0D1^ZC7AvE7V|d6AAWN;@=8v8I&Ndvbc!1A>E4ZK zEh0V0g=aR7LU`P|0iUkK^OTvfz7WmcN zn0gBqhN`g-pdq=nSn$h>}%B^H7$zy&KnLF!QoG|Vg zB(mYb5W8@eMdNMQF&<~W58QUte}v)dLx~5yXeul$OcqYhgjb%_8&Z%j?S<4~G>y8O zIWy9Zj`Zeu>tg3EIm<3d=D}p19l+vB7SntgP0>^^OV8hy#%>NG&F7z zyi&jYr5YCJwrRk|_Xi(MIJ9iT%x|=PV{big%9~5;(fEPLo2ZyvZ*Ly@sGheTFmAab zuD1vQ?ch#GZfe$;>~0y5823-z2t8zv8CidmHU0!BIpc3n+c+&PCk@g}=Rj^|W^SD_ zH?vVmQFk)$WP)pfg7yO%!pb|Db?mj<_>i%xpGsdf6s^>pgEnquz8jof)o-^Dcqqr* z{-9MZ2XBUc(%*7f_Sr3}b?70Zw^SR#Z%f}Y5gN@|aer7?)YbJf<)iz|f;^!eFaUT2 zgK2_gspJHM@)qOZ8SL8%k7BeF?Z9f3%><`UBxmR~&{gvU_?=T;80;95HTL7se%1(z zVpE;+obt5G?cJwJfNDbc6j>$pmR}{XVGSlRgl;!^R^m!`8@sA-`1VFfBjHOCG3x{H zV0Rl|jLL3y5kEvG%yNfZ1+DANjW?bR(gx=Zu(+RhNyaa-cS9EL|8&o5%z`y|WQ#IC zJ}^CB>$ic+3Auik9(Nj=X0iV7;{N2upu3ArZ^ItldtfBV>L%&|v&^79=y83zckPZ@ z9>s+oUApIwOzyPtqz&o`rg_`iz^U4PYWoSey-f`aVDV45$0d(QjYzWbo{=;cGr4t1 z82|I@>QDoxZRNm81?%dPX6GxD>PT@+Xg$+vneLkxSpXl2(<6}&_p@`&uuZDZ0$ZfN zIhB~4cJ1sIl2#j#@FcP*+CauuiiDOxpO8p+&_rp1qiLK{B(;%9ZM4aYq_Irxx5X*d z^IrcV$RN)hp_x3rr@tHe?HLQmTGCX9J^lASySE73ymJ7XR>I1wvGn#58iNmEM@v{p z2n|?_-RuD7!VR2Ffg4gbC^xLah@dnEUo+0$gkg2V$_*UgRmPbcHW_CdXOp)my;XX$ z{Eo}YDDcDK&3V8_vr8dymTZ5t6Dxjp7d6_ZMwJXN`Cq;<;a(Jji~SQ`AkOaMa?`+Jy(etPT*~1dVeoc7=*{hsW;bfo@B8I{%<v!nPiSqraIIAiVzmSvftVa9^N-Y! zv>=mXD`#N%1!L6LI1v9M#eWJFHkaMJd*kq}{4j9R(*6g)uYN6WhP~@y3GmGso(J|c z_ulFG=s)H0SH`JpYsu6vF6e&^>IPs<_$_YG#PW^gm#li)PqV54w_tbovzN=)V_(aj z{GPyy@LHQ1d-*=(0`KeY2Ndq#&RA1q4es~M;Vbw-4jZb*7@9l}`r{DYV_!L@wmIiP zTF?#*DFtSL4S8hNi-J7{HW-;9g7#$l4oW7cc@hPsFhpz74l>F!9m_LP4woch#m1f% z@UBmfZx{g&=#tE1Xi-_G_7s$C+%e!7Qtn|)}FJ;t49B`+#M&fZCULEgga$itP7hnDTCg0z3>+f4x!b*gIc z0eI;j(%FGN)9YBkO7ndOo`COY2SOq33K@$dLcim1C@I=XAdMEo;W(4lBjs3gt;OE# zvIea(TxodHu%Or4@ddpgH=2^u@qT!3HSp)5JJJ0LdKDNI^t$2k17bS|YO#Ab*)P0S z1xrd=EylZ!u!f={G*HrNPE%(tdDo1+R152tN?ioU5k__fW$uj_>QbemNKxCws2TDW z$7gRCbL-G&yLpg>eO}47Vb=cTm$Tu0u={vF%xrxodljDPYtkKjLOYOEDi}l9hSfB2 zS4jtts-YDO*cdIVgq9)$O`cBIAXYmN1_cJq(9!jR4+gc&D)QdumtI@wGHvvv9>!g!je<>6r_Z=hw3#kl zz*V~h5!}Pbvd^e#wxhYwTRI0~+GAi_iD4}%Z*fc;@u(+$^_mzG-njYLX30h-Yw%&5 zs&u#lZT#x7qnN{{HYR03r$4s(*x+N0uj>&DTkCSscfMDAk2{{nPD39Ix_~z~6TO0T zb4`{Djpg$3YYta-SvU{T_Kk;&hQ|(Z8zK<6pl=AsnZicB9Wa*JI}Mo#iOY#{61W)+ zCst$aAohGvHC^q0J`BBL+9N?AB=({6DlsY49udhcvdhgL#?{+X@UTAZrOh0)4v9Y0B^k=&f*ZCjmu_VX}cg_MrW4V^r0t0^Vux{|rncfe_8dbsc|JbY&a$&JE z8rwtfhjQ$y^r7H}QFD)-(;gscrvOYSYGOy}u%v)%A*lW*+X@@k*4CWzyv8OxFWk2f z^6iD&)zGjm{9J>%sPMcNtaiG6v-(tZ(a-H!9p ztae}%@_30(jL!Qjm%uve*~JsH2qK3Ntes2b0Iyuq#Z!5BQ}GE4?Q(nfsTiol3^tc# zkTqk=@PKtm%VR(TW@!wM(N%89T%Ea6xieP@Z@U9ifrn&fZi4UdO65w(!pf-!%#}kj z%?^+!yqEMk>1?urjtaeE^WJH9VgG*p8ew&EQ8G@Z*O|Jf=SMj|msflT+t;OM zH=jO`H!QxURc3!dB9Fcv9?!G@W7~X)rKW&0P`5ZrtabtVV3BIYg(A#^gw)ws5bm?l?PKa|o_!T)4h+x*v z(&4wl9uoxmTEldoa6^t^v?11t8Y;SjyYbedS8>u;rb9P3RDjmPBYWY(lQ^O%!6vaF zdYuETM=i%n0*+fCEWjV=a&y}eRaUO0&Q<&+$M~A+i#(Ve^oHM7@m<8ZkwEr&-r}7 z4lT2L=gDB&!=;N;K~TOvI$pr6E=8ya3keMrFTE+I&LYz;lSQUzo~B)aIi_Ui2ffK% z0tv;!RL7KK$^#wajnj1}l@sHXjQ31Bcmmefq=uamcpp1eI$`F_dCMW?p{y%O54C+6 zGPesZ2`)wc7#0%>!_pBTG(yl4ew|;vZgOk#$A1%V@?)_*!cCDYe zY{$@17m^Y&8g>pO#KlL#G8~`q1an$XJP3}DkA&41G+JjHmP^KlN^SvF{gea=mQBnB;e+x1r$gT4;9SPp-QosWXxW_R-Pc#Ndx z7hre15OHzs#rVLh#J^2Du+oumB^=49j&diZFR>B>SzDo0rC?l$kx*9J<%U+#e&=(~ zu5&*B9MbORmV@xKf%jU^!A898F0`n_8#zkdbDsU>p2(Zq{{~LtIoL3g7p4Vqm^T_kn z^pQo&+IZLU&SJh>5vjm@sv-s4P8OXq9s0z_j*5JBygEj$?YkIOf?rZ8R5b_GTAOu$+xu92Q&Rw1wfN>lY7UPWO5YVT3&!6ZLHgo2jF!b)3fnhF~xtchC z0l4{`YC@SGh7J5(CzehmFELuL)FexfGO+|FbNrkdczLABEi`S95&I}dKY@!9gq9KC*z#m zUwK+5IBWTI?>t$eUrbCQd*R9O4ZCnmjNjX^CI*({nA%lQX$SL;CPhgo>SaN20ko~? z)^(5{soGW8(_Hd2rNO2(DvBMq$E`p6WT_}*VkqjN&%6-GXd^?${Y>`Jv&;fEneH?F z`ie6nA8GqCZc--!IV#8o{gOlJ3W0fU_%V-XgQwxa)WyU8)7tyo9c})q%I-!%(&J>j zOXH|Z8Ipq z1S~dh7I2NZX+8Ko7)Y~>4udwHp@%*HD1H~S9!S@N0xhEpp+m1bUz;}ur_|`JLFsFs{ zn9+_wfpwUrSPsK(k=U<1v08z{AcusnwmI}#hS}%x^4Ar>CJuve3g9+}_uxBl-F?Kd zkrnI8M`)GVUy$f-Yg;Spn9>F-FdS3}Zbb`z8z$d=_^k6^_aN16ZN?f%R_hdNFwUl{ z*A-*D7p%b;uXIaOtck$Tj>=Q+1(bg??=Xi@5pOy0hE(*IEs<&F@{OOJb5Jd~m@#C@CqQ#rP*0 zN)kh8c}e+6R#gv`oNugXuZJj$2bDMQ9uJB$p<&&yqS02rseI7BloN7@{062tNr4J6L+M0%7eyN$ImHh$gk1!8b?A-Y7{JRuvlm zv}5cigg=3u-x&MP z>n@iH*9zAiC)iibR^daH)OX@XPoeuj5XrO?O ztG=!NLYMq5P7%eNV$?=)d+{BLdeD9o<6^9C26%dLc=5hs`{J7q+Q~E8g}H{U3agG1 zp-xuy=gtInx5n?XqE>;DWuG)a8nwzrPix+#N>{1uQ}`)SDcIkIiNe##Yl$V2&|qOn ziBic^Yv?uplyEd zI-M2AiX*|t$_gVV06VK$VFaZlx&#xtm94Iot<|=*BR71xW!q<8uECtiuMxHOL_`ISXsE#97baPq8Np;p8=2v$K+} zUu+U<`z{@B^~Csy55Ww(#bbwCy@saQ^iiuUr-V!yH)&#==n{I|!@viD*zoJ3G)J<)XK4y{vEmJ$NcCdD^8f0Z}1=&bCKso?&+yH9_ta!Aywnw`eBpo0bFw@$l zzjnD1kDEb1E_M9qi$n}lb3;Eigw-n{0X=-_pv0dLJ0QB(PZJXRE`!aXb3k2J%kE}N zcCJ-dsjGk+Y7wpn72!HUgD+lH--Tg=`-j>sj0}Plu)F_G%q?z@_!8wZxG(swG)S5k z`R#z+`9Q;FUJ8f1n_9EnGzGpbrjOIUaQ*!MZt(lfV)Vm^L!&nfUN*tq|DWhNQH!t4|`T;AK zOTUABwV~o7*vNPJm#d-K-2A%q+tSQmH-HYEB+K)#^FHShPQ{o>c6l@ed#ZVQ7J_bK z?TP&N?U8∾=bxr1cwP?Jsex*8w*r-@rQm2y5af9YWU*5?mJ_7-gN{IvP4zSJ!dC z=1Ujg$!CEE4`Rz6!$yrfv($2_cDcR#RPiy9F~p}X9{egUF%EOHaWU~RZ$0ng;|VQ3 zCNA;NmW402oxwE@Hi$EYsE5bro`L(Uts8g-e$V3ys)x^c8FBj@dBU#TWb>|5&vYfL zQL2(hfrCv=t~SHUlc^`tFrGB(WR5fcrfVHW8|}cYY*LF`i(8FR3)YAgy8#b+Ot4Xk zTLCCFZe?yYj&XxonNOP>+uCl14!ZsN<;Te5RdxLXVCl_QSVP|S`X%yislQua^HTci zrZ#!~6=_r%DyBB4Hm43HS8G;>l4EjI83^a72qg!!990@)He8{;>h^(~Z-E773O5el zNIZoVGK4>H`+(s0dJgZs+pu46@{F|d!y6w~jaG&utf=u`jain6umHS#pEyYW^tt)lf6((DuEg3jQGe&SW6H`C-bjWZb>5iD$3g%_M|9T zGIF}kSVA*9t%^M{HpgLel$N=@^JIZy?7b<*6$mdnd=o524<0d@OTXaj!=yZ!(T(o8P-m7uD86eD2zahn-)$AHf>i3TVXh z&JX@}F)e;=-yV2%_+svNxuY-&-WuvN6!PuTtA{aXwQb5F>|?#{r1_}abIZ>?BhM#I zb6QoLEJ^E!Qa^Oi3i9vJgH8;*D$*pdS2$(&6Aj@GEk1-_YX`nFeWQHm_~Nu8NC@6z z(fxdVeZgNriDWTOIRXXkT|3}^ulH!_=O1lbb{0CV{bl6T6w5^oEIls&6qbkj^N!d2 zrpcyvT0dd945fl0B?sk~!4OXqhGFn(OcKLI&jvjw2^8kk%<9Eo-~0OR*EgZ{{xJDN zc;Qr^UJ9M-?&z5a-<NKn9$Tuk64Ko(^7m)tDJhxxEw5h`n-YVM@iT&WtBva;yI%XxhAMv6Qzz7YQ`!_iOE}M6E4r{ zGGoGM`z}$6*7T_8D0M4%S8H@Do~tODSXiRe#ET{@8nib1C=#n3G@C{YoAk0KLA@K= zqR+8g(Bo$`n}UyBu7s|lcs1mt`c7a(oR4<7{ROG4I2;ZpACggKTn+l)7s79li<&1uWcBJ+Ia6dIMH2)L!ZO@H+42B!2_Qu4_1&KTp(ANz>4;s!UL-U2HGfA$qMD~p}so@D%?HXVLNfJKu`6ksK7J9 z(&7#aOMC^v2_7C5PeAdo9rT5}t!Konov>`|8np8Zf^E_3cWUsQl>=?RC>^xRk-P=n zFs;&1#XC=<2Iyeg99)a;4ojabgU6s9ZlKK-IrhO0TJvjXYwNzQ)~;4!{6BGDvWKyb ztuuO`*xH)#T5GYL*xJ-u2eI10V$*8z!ueOZPfAcHvlrUoSAkyWCqXV(yI|##%R<}u zLg59@80~WVZ>s;6!2jPR-~<-Fae9iK1jRr;PVl0}m%%@Ub)2Y5&;*o|4#o0Q68GQF z|D7`Es54znok=)4$4EVrI@9GiQF$HT6laFNe&O4C>-bH#xA*`3{=ZY7|E-Jv*2RC@ Nz<=Ao|Ft&o{{a8kgWdoD literal 0 HcmV?d00001