first commit

This commit is contained in:
2025-04-06 00:11:28 -04:00
commit 6206025f5a
16 changed files with 930 additions and 0 deletions

View File

@@ -0,0 +1,16 @@
module multiplexer2 #(
parameter WIDTH = 32
) (
input logic sel,
input logic [WIDTH-1:0] in0,
input logic [WIDTH-1:0] in1,
output logic [WIDTH-1:0] out
);
multiplexer #(.WIDTH(WIDTH), .CHANNELS(2)) multiplexer_inst (
.sel(sel),
.in_bus({in0, in1}),
.out(out)
);
endmodule