Files
fpga_baseball_scoreboard/rtl/common/register.sv
2025-04-06 00:11:28 -04:00

18 lines
359 B
Systemverilog

module register #(
parameter WIDTH = 32,
parameter RESET = 0
) (
input logic clock,
input logic reset,
input logic write_en,
input logic [WIDTH-1:0] next,
output logic [WIDTH-1:0] value
);
always_ff @(posedge clock, posedge reset)
if(reset)
value <= RESET;
else if(write_en)
value <= next;
endmodule